TWI709053B - 積體電路設計系統以及用於積體電路設計之方法 - Google Patents
積體電路設計系統以及用於積體電路設計之方法 Download PDFInfo
- Publication number
- TWI709053B TWI709053B TW106107397A TW106107397A TWI709053B TW I709053 B TWI709053 B TW I709053B TW 106107397 A TW106107397 A TW 106107397A TW 106107397 A TW106107397 A TW 106107397A TW I709053 B TWI709053 B TW I709053B
- Authority
- TW
- Taiwan
- Prior art keywords
- integrated circuit
- random number
- circuit components
- characteristic value
- manufactured
- Prior art date
Links
Images
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/32—Circuit design at the digital level
- G06F30/33—Design verification, e.g. functional simulation or model checking
- G06F30/3308—Design verification, e.g. functional simulation or model checking using simulation
- G06F30/3312—Timing analysis
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/36—Circuit design at the analogue level
- G06F30/367—Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/392—Floor-planning or layout, e.g. partitioning or placement
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/394—Routing
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
- G06F30/398—Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F7/00—Methods or arrangements for processing data by operating upon the order or content of the data handled
- G06F7/58—Random or pseudo-random number generators
- G06F7/588—Random number generators, i.e. based on natural stochastic processes
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F2111/00—Details relating to CAD techniques
- G06F2111/08—Probabilistic or stochastic CAD
Landscapes
- Engineering & Computer Science (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Theoretical Computer Science (AREA)
- General Physics & Mathematics (AREA)
- General Engineering & Computer Science (AREA)
- Evolutionary Computation (AREA)
- Geometry (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computational Mathematics (AREA)
- Mathematical Analysis (AREA)
- Mathematical Optimization (AREA)
- Pure & Applied Mathematics (AREA)
- Architecture (AREA)
- Computer Networks & Wireless Communication (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
Abstract
一種積體電路設計方法包括接收後製造積體電路元件特性之空間相關性矩陣R。導出隨機數產生函數g(x,y)使得位於坐標(x,y)之元件之隨機數可獨立產生,而全部隨機數對滿足空間相關性矩陣R。此方法進一步包括接收具有預製造積體電路元件之積體電路設計佈局,預製造積體電路元件各者具有坐標及第一特性值。此方法進一步包括使用這些預製造積體電路元件之坐標及函數g(x,y)產生隨機數。藉由將隨機數應用至第一特性值導出第二特性值。將第二特性值提供至積體電路模擬工具。
Description
本揭露是關於積體電路之設計方法。
半導體積體電路(IC)工業已經歷了快速增長。在積體電路演化的過程中,功能密度(即,單位晶片面積互連元件之數量)增加而尺寸(即,使用製造製程可產生之最小元件(或接線))降低。此縮小製程的優勢在於增加產品效率以及降低相關之成本。
積體電路演化之另一態樣包括了增加積體電路設計的複雜性,以及縮短上市的時間。設計者一般面對從積體電路概念至積體電路生產之工程排程表。為滿足這些挑戰,設計者一般而言會先對積體電路設計進行模擬,並在將其定案之前盡可能徹底地檢查積體電路設計之效能和功能。真實模擬將整個積體電路區域之元件特性變異考慮在內。這些變異通常被稱為晶片上變異(on-chip variation;OCV)。製造積體電路中之晶片上變異可由多種因素諸如在電晶體當中之通道長度變
異、積體電路中熱點、互連長度變異,等諸多原因所導致。典型晶片上變異之模擬使用局部變異的方法,透過假設電路特性(例如,傳播延遲)具有一個固定的百分比變化量來進行時序分析。然而,吾人已發現整個積體電路晶片全部區域之局部變異並非定值,且這些變異在元件(諸如電晶體)中實際上為元件間距離之函數。此現象可稱為晶片上變異空間效應(OCV spatial effect)。
為獲得更加真實之積體電路模擬,目前已嘗試在模擬晶片上變異空間效應時,加入元件特性之空間相關隨機變異(spatially correlated random variation)。這些隨機變異必須同時滿足在所有元件之間的相關限制。隨著在積體電路上元件之數量增加,此任務已變得日益具有挑戰性。
由此,此領域之改良是必須的。
本揭露之一實施例為一種用於積體電路設計工具之方法,包含接收空間相關性矩陣,其中空間相關性矩陣中之各元素為不同坐標之一組後製造積體電路元件之複數個特性值之間的空間相關性;從空間相關性矩陣中導出隨機數產生函數,其中隨機數產生函數具有坐標相關因數及坐標獨立因數;接收積體電路設計佈局,積體電路設計佈局具有一組預製造積體電路元件,預製造積體電路元件各者具有一坐標及一第一特性值;對於預製造積體電路元件,使用預製造積體電路元件之坐標及隨機數產生函數產生隨機數;對於預製造積體電路元
件,將隨機數應用至第一特性值並導出一第二特性值;在具有預製造積體電路元件之第二特性值之預製造積體電路元件上運行模擬;基於模擬之結果修改該積體電路設計佈局,其中以下操作之至少一者係由電腦進行:導出隨機數產生函數;產生隨機數;導出第二特性值;以及運行模擬。
其中Ra,b_c,d代表坐標(xa,yb)及(xc,yd)之後製造積體電路元件之特性值之間的相關性,a及c各者在[0,M]內變化且b及d各者在[0,N]內變化,其中M及N係大於1之整數;導出R之特徵值,λ1...λk,及特徵向量,ν1...νk,使得:
其中gaussi係具有高斯分佈之隨機數;接收具有一組預製造積體電路元件之積體電路設計佈局,預製造積體電路元件各
者具有一坐標及一第一特性值;針對預製造積體電路元件各者而言,使用各別預製造積體電路元件之坐標及隨機數產生函數g(x,y)產生一隨機數;針對預製造積體電路元件各者而言,藉由第一特性值與隨機數加一之和相乘來導出一第二特性值;以及將具有預製造積體電路元件之第二特性值之積體電路設計佈局儲存在非暫時性記憶體中,用以在晶圓上製造積體電路設計佈局之製程中,由積體電路模擬工具使用,其中以下操作之至少一者係藉由電腦進行:導出R之些特徵值及特徵向量;建構隨機數產生函數g(x,y);使用各別預製造積體電路元件之坐標及函數g(x,y)產生隨機數;以及導出第二特性值。
本揭露之又一實施例為一種積體電路設計系統,包含非暫時性記憶體、一或更多硬體處理器,耦合至非暫時性記憶體,一或更多硬體處理器執行用於進行操作之指令,操作包含:接收一組後製造積體電路元件之特性值之空間相關性矩陣R,其中
在R中各元件Ra,b_c,d代表坐標(xa,yb)及(xc,yd)之後製造積體電路元件之特性值之間的相關性,其中a及c各者在[0,M]內變化且b及d各者在[0,N]內變化,其中M及N係大於1之整數;對R進行二維離散傅立葉變換(2-D DFT),由此導出空間頻率u及v,使得:
其中gaussuv為具有高斯分佈之隨機數。
1、2、3、4、5:元件
100:積體電路設計及製造流程
102:設計規格
104:功能設計
106:電路設計
108:實體設計
110:遮罩生成
112:積體電路製造
114:積體電路
202:配置與配線模組
204:模擬模組
206:佈局/GDS模組
208:設計規則檢查及電路布局驗證模組
210:寄生萃取模組
212:晶片上變異模組
214:註解模組
220:產品定案
300:方法
302:操作
304:晶圓
306:晶粒
308-1:元件
308-2:元件
308-3:元件
308-4:元件
308-x:元件
312:操作
314:操作
316:操作
318:操作
320:設計佈局
322-1:元件
322-2:元件
322-3:元件
322-n:元件
324:操作
326:操作
328:操作
330:操作
402:步驟
404:步驟
406:步驟
502:步驟
504:步驟
506:步驟
600:積體電路設計系統
602:微處理器
604:輸入裝置
606:儲存裝置
608:視訊控制器
610:系統記憶體
612:匯流排
614:顯示器
616:通信裝置
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭露之態樣。應強調,根據工業中的標準實務,各特徵並非按比例繪製。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。
圖1為本揭露之部分實施例之積體電路設計及製造流程的簡化方塊圖。
圖2為圖1所示之積體電路於實體設計階段之實施例。
圖3為晶片上變異空間效應之實驗資料。
圖4為模擬晶片上變異空間效應之方法。
圖5及圖6為本揭露之部分實施例之的積體電路設計及製造流程之實施例。
圖7及圖8分別為圖5及圖6之積體電路設計及製造流程之步驟的實施例。
圖9為本揭露之一個或多個實施例之電腦系統之示意圖。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭露。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭露可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
此外,空間相對術語,諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等等在本文中用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與另一元件或特徵結構的關係。除了描繪圖示之方位外,空間相對術語也包含元件在使用中或操作下之不同方位。此設備可以其他方式定向(旋轉90度或處於其他方位上),而本案中使用之空間相對描述詞可相應地進行解釋。
本揭露大致上是關於積體電路設計及製造之方法,特別是關於模擬具有空間效應之晶片上變異,以在積體電路設計期間提供接近真實之積體電路模擬的方法。
圖1為用於產生積體電路114之積體電路設計及製造流程100之簡化方塊圖。積體電路設計及製造流程100可
受益於本揭露之態樣。積體電路設計及製造流程100一般而言先確定積體電路的設計規格102,設計規格102包括積體電路114之設計需求。其隨後進行至功能設計104,此處積體電路114劃分為複數個功能區,各功能區彼此相互作用以產生所欲之功能。
積體電路設計及製造流程100隨後進行至電路設計106。在實施例中,電路設計使用由下而上之階層式方法,其中基本電路組件(諸如電阻器、電容器、及電晶體)構建成多個單元(cell),這些單元再構建成更加複雜之功能區。單元中之各組件互相耦合運作以達成所欲之功能。各單元之間的耦合是透過互連接(interconnect)所達成,亦稱為配線(routing)。電腦輔助設計(Computer Aided Design,CAD)工具可用於將單元設計、功能區設計、及積體電路設計擷取至電腦可讀檔案中。在實施例中,積體電路設計以暫存器傳送級(Register Transfer Level;RTL)語言諸如Verilog或VHDL來描述,並隨後合成為網路連線表。在另一實施例中,積體電路設計可使用前述階層式方法來表示。
隨後,積體電路設計及製造流程100進行至實體設計108,包含產生積體電路設計佈局。積體電路設計佈局包括針對積體電路114設計之幾何圖案。這些幾何圖案分別對應至積體電路114之各組件的金屬、氧化物或半導體層之圖案。各層彼此結合以形成積體電路特徵。例如,積體電路設計佈局之一部分包括欲在半導體基板(諸如矽晶圓)中或半導體基板上形成之各積體電路特徵(諸如主動區、閘電極、源極與汲極、
夾層互連之金屬接線與通孔,及接合墊之開口)、及沉積在此半導體基板上之各材料層。積體電路設計佈局儲存一個或更多資料檔案中,這些檔案具有積體電路之幾何圖案資訊。例如,積體電路設計佈局可以GDSII檔案格式(或DFII檔案格式)來表示。實體設計108之各操作於後續將在本文中更詳細地描述。
隨後,積體電路設計及製造流程100進行至遮罩生成110,以產生用於積體電路114之各層的一個或更多遮罩。遮罩生成110包括諸多步驟,諸如遮罩資料準備(此處將積體電路設計佈局轉化為可由遮罩寫入器實體寫入之形式),及遮罩製造,此處由遮罩資料準備之設計佈局經修改為符合特定遮罩寫入器及/或遮罩製造器,並隨後製造出遮罩。遮罩資料準備可包括光學鄰近修正(optical proximity correction;OPC)及微影製程檢查(lithography process checking;LPC)。遮罩資料準備可進一步包括解析度增強技術(resolution enhancement technique;RET),諸如離軸照明(off-axis illumination)、次解析度輔助特徵(sub-resolution assist feature)、相移遮罩(phase-shifting mask)、其他適宜技術、或其組合。
遮罩製造可使用各種習知技術。例如,遮罩可使用二元技術形成。二元遮罩包括透明基板(例如,熔融石英),及塗覆在遮罩之不透明材料(例如,鉻)以形成不透明區域。在另一實施例中,遮罩使用相移技術形成。在相移遮罩(phase-shifting mask;PSM)中,遮罩上之不同特徵經配置
以具有適當相位差來增強解析度及成像品質。相移遮罩可為衰減相移遮罩、交替相移遮罩、或其他類型之相移遮罩。
隨後,積體電路設計及製造流程100進行至積體電路製造112。積體電路製造112可藉由各種製造設施來進行。例如,可為前端製程(front-end-of-line;FEOL)之第一製造設施。而第二製造設施可提供用以互連及封裝積體電路產品之後端製程(back-end-of-line,BEOL)。而第三製造設施可提供用於鑄造工業之其他服務。
在實施例中,半導體晶圓使用遮罩(或數個遮罩)來形成積體電路114。半導體晶圓包括矽基板或具有在其上形成之材料層的其他適當基板。其他適當基板材料包括另一適當元素半導體,諸如金剛石或鍺;適宜化合物半導體,諸如碳化矽、砷化銦、或磷化銦;或適宜合金半導體,諸如矽鍺碳化物、鎵砷磷化物、或鎵銦磷化物。半導體晶圓可進一步包括各摻雜區域、介電特徵、及多級互連(於後續製造步驟形成)。
在製造之後,在投入市場之前積體電路114通常經過封裝及測試步驟。
圖2為圖1所示之實體設計108之細節方塊圖。實體設計108包括配置與配線模組202。藉由配置與配線模組202的運作,標準單元、輸入/輸出(I/O)單元、及巨集塊(諸如SRAM區塊)配置於各區域中,彼此之間依照功能連接並最佳化訊號配線。實體設計108亦包括模擬模組204。模擬模組204可包括用以驗證積體電路114的功能模擬。模擬模組204可包括用以驗證訊號路徑時序之時序模擬。模擬模組204可包括實體模擬
(諸如SPICE模擬),用以驗證輸出訊號變形、訊號位準、及時間延遲。在配置與配線模組202完成之後,可針對各前述模擬進行簡單的積體電路電阻及電容萃取(RC extraction)。依據模擬的結果,配線及單元的配置可經進一步優化以改良模擬結果。然而,佈局在後續步驟完成之前,仍無法得到更詳細之電阻及電容萃取。
實體設計108亦包括用以進行佈局及生成GDS檔案之佈局/GDS模組206。在配置及配線優化之後,完成實體佈局(在一實施例中為GDS格式)以用於進一步佈局改善及簽核(sign-off)驗證。實體設計108亦包括設計規則檢查(design rule check;DRC)及電路布局驗證(layout vs.schematic;LVS)模組208。設計規則檢查是用於驗證是否滿足製程需求。而電路布局驗證用於取得元件之互連以產生網路連線表(netlist),並與電路設計106中初始設計的網路連線表相比較。此步驟有時亦被稱為簽核(sign-off)驗證。
實體設計108亦包括寄生(諸如電阻及電容)萃取模組210。在設計規則檢查及電路布局驗證模組208完成之後執行實體佈局之電性參數萃取。依據佈局所得到之互連接以及元件的寄生電阻及電容值可反映各電路元件之真實電特性。
在本實施例中,實體設計108亦包括具有空間相關性之晶片上變異模組212。晶片上變異模組212將晶片上變異之空間效應考量進來,並以特定機率分佈隨機化寄生值。如圖3所示,整個積體電路晶片之元件特性之局部變異通常不為固定值。相反地,局部變異與元件(諸如電晶體)間的距離呈相
關。因此,具有空間效應之晶片上變異的模擬,相較於局部變異的模擬更接近真實情況。元件特性可包括電容、電阻、傳播延遲、訊號上升時間、訊號下降時間、電晶體閾值電壓、其他金屬氧化物半導體場效電晶體之電氣參數諸如飽和區域電流、線性區域電流等等。晶片上變異模組212可透過輸入製造元件(亦稱為後製造元件)之相關特性,由此產生與製程(諸如在遮罩生成110及積體電路製造112中之製程)接近之隨機變異。圖2說明在晶片上變異模組212中具有類高斯(Gaussian-like)之隨機分佈,此隨機分佈將應用至選定的標稱元件特性。然,亦可採用與類高斯分佈不同或除類高斯分佈之外之隨機分佈。
隨著在積體電路晶片上元件之數量增加,如何有效模擬具有空間效應之晶片上變異變是一大挑戰。由於隨機分佈需要同時滿足在全部元件之間的空間相關性限制條件,且滿足之相關性限制條件之數量呈指數增長。模擬具有空間效應之晶片上變異之一方法是將積體電路晶片分為圖4所示之區域,圖4可劃分為區域1、2、4、及5(其他區域未顯示)。其中區域1包含之元件1、3、及4,區域2包含之元件2,而在區域4中包含元件5。此方法可減少模擬製程中之計算數量,但仍具有一些問題。例如,這種作法並沒有將相同區域中之元件之間的空間效應列入考慮,亦無考慮跨越區域邊界時產生可能產生的相關劇變。因此,相關性不再是兩個元件之間的純距離函數,而是變得位置相依(position-dependent)。例如,儘管元件1與元件4之間的距離大於元件1與元件2之間的距離,然此方法顯
示元件1與元件2之間的相關性大於元件1與元件4之間的相關性,此結果可能是不精確的。同樣,此方法顯示元件4與元件2之間的相關性等於元件1與元件2之間的相關性,然而元件1與元件2之間的距離不同於在元件4與元件2之間的距離,故此結果亦可能是不精確的。本揭露之實施例提供更精確且仍非常有效之方法以模擬具有空間效應之晶片上變異。晶片上變異模組212之更多細節將在本揭露之後續部分中論述。
再返回參看圖2,實體設計108進一步包括註解模組214,可將隨機化之元件特性註解至元件中。此舉有助於達成更準確之設計分析及模擬。全部互連及元件之電氣參數被傳輸至模擬模組204以根據佈局及寄生效應確定進一步功能及/或訊號時序偏差是否出現。在實施例中,模擬模組204使用由晶片上變異模組212所提供之隨機值運行蒙特卡羅(Monte Carlo)模擬。佈局將基於模擬結果(例如,若功能或訊號時序不符合規格)作進一步調整或修改。上述調整及修改可由配置與配線模組202及佈局/GDS模組206進行。
當設計規則檢查及電路布局驗證模組208及模擬模組204均顯示設計佈局係符合規格時,實體設計108進行至產品定案220。即針對遮罩生成110產生資料檔案。
圖5及圖6為本揭露之部分實施例之設計及製造積體電路元件之方法300。特定言之,實體設計108中之各任務的執行期間,方法300考慮到具有空間效應之晶片上變異。可在方法300之前、期間、及之後執行額外操作。或者,部分操作可被替代、消除,或移動以獲得方法之另外實施例。方法
300係實施例,且並非意欲限制本揭露,除非在申請專利範圍中明確敘述。
於操作302中,方法300製造複數個元件308諸如308-1、308-2、308-3、308-4、...及308-x(共有x個元件308)。在一實施例中,元件(或後製造元件)308具有測試圖案(test pattern),其用於描述一個或更多製程之特徵以及獲得資料點,這些資料點可用於寄生萃取及模擬具有空間效應之晶片上變異。例如,元件308可包括一或更多電晶體、電阻器、電容器、電感器、金屬互連、通孔、觸點、及/或其他積體電路特徵。此實施例進一步而言,操作302使用一或更多測試晶圓304製造元件308,測試晶圓304包括複數個晶粒306。各晶粒306包括元件308。各元件308與晶粒306上之坐標具有相關性,後續之討論以坐標(xi,yi)來進行描述。此處,(xi,yi)分別表示在「x」方向中及在「y」方向中之元件308-i的坐標。在本實施例中,「x」及「y」方向為垂直的且平行於晶圓304頂表面之平面。在圖5之實施例中,晶粒306實際上被分為N行及M列。在「x」方向中及在「y」方向中之單位長度可為相同或不同的,單位取決於在晶粒306上元件308之解析度。在另一實施例中,晶圓304為晶圓產品,晶粒306為積體電路產品,而元件308位於積體電路產品上。在實施例中,操作302使用積體電路設計及製造流程100來製造元件308。
於操作312,方法300量測欲關注元件之特性值。量測係在大量元件308上完成以得到足量的統計資料點。元件特性可為電容、電阻、傳播延遲、訊號上升時間、訊號下降時
間、電晶體閾值電壓、其他金屬氧化物半導體場效電晶體電氣參數諸如飽和區域電流、線性區域電流、及等等。在圖5所示之實施例中,值v1、v2、v3、v4、...及vx分別對應至元件308-1、308-2、308-3、308-4、...及308-x之量測資料。vi各者可呈現特定概率分佈,取決於製造製程、元件308及晶粒306之設計、元件308相對於其他元件308之位置、及其他因素。
在方程式(1)及(2)中,Ra,b_c,d代表位於坐標(xa,yb)及(xc,yd)之元件308的元件特性之間的相關性,其中a及c分別在[0,M]內變化,而b及d分別在[0,N]內變化。
在本實施例中,在任兩個元件308之間的空間相關性為此兩元件之間的歐幾里德(Euclidean)距離之函數。例
如,針對位置(x1,y1)及(x2,y2)之兩個任意元件308,兩者之間的空間相關性corr((x1,y1),(x2,y2))可表示為:corr((x1,y1),(x2,y2))=R_(a,b_c,d)if(|x1-x2|,|y1-y2|)=(|xa-xc|,|yb-yd|) (3)
各個空間相關性Ra,b_c,d為實數,藉由輸入由元件308所量測之值再經由統計工具計算而獲得。
於操作316中,方法300藉由空間相關性矩陣R導出隨機數產生函數g(x,y),使得位於坐標(x,y)之元件(在新積體電路設計中)的隨機數可藉由函數g(x,y)獨立產生(獨立於在新積體電路中之其他元件),且全部隨機數對滿足空間相關性矩陣R。
圖7說明操作316之實施例。參看圖7,在此實施例中,操作316包括步驟402、404、及406。在步驟402中,操作316使用二維(2D)離散傅立葉變換(DFT)來解出方程式(1)之空間相關性矩陣R,藉此將空間相關性矩陣R轉化為空間頻率u及v之三角函數之組合,每一對(u,v)具有部分相關性。此操作透過下列方程式表示:
代表空間頻率u及v表示於坐標(x,y)之部分相關性,而F(u,v)代表坐標(x,y)之空間頻率u及v之部分相關性對總空間相關性之對應的貢獻量(contribution)。
乘積(AiΩi(x,y))是將坐標(x,y)之空間頻率u及v的部分相關性之相對貢獻量進行量化。在方程式(6)中,符號「±」可為「+」或「-」任一者。
其中S=(2M+1)(2N+1)。
在上述方程式(5)中,空間頻率(u,v)之坐標獨立因數Ai的相對貢獻量可用於選擇隨機數產生函數g(x,y)中較為重要之項。例如,若於特定空間頻率(u,v)之坐標獨立因數Ai的相對貢獻量不明顯,則項(AiΩi(x,y))可刪除,由此降低在函數g(x,y)中項之數量並簡化進一步計算。
從方程式(7)或(8)中可以看出,在確定坐標(x,y)之後,隨機數產生函數變為高斯隨機數gaussuv之加權線性組合。因此,特定元件所產生之隨機數亦遵循高斯分佈。進一步地,由晶片上變異模組212產生之變異之數量為元件數量之線性函數,而不同於傳統方法中為元件數量之指數函數。這大幅度降低了在晶片上變異模組212中之計算複雜性。
圖8說明操作316之另一實施例。參看圖8,在此實施例中,操作316包括步驟502、504、及506。在步驟502中,操作316使用主要組件分析(PCA)以導出方程式(2)之空間相關性矩陣R之特徵值,λ1...λk、及特徵向量ν1...νk,使得:
其中K=(M+1)(N+1)。
Ω i (x,y)=ν i (x,y) (11)
乘積(AiΩi(x,y))代表將坐標(x,y)之空間相關性之相對貢獻量進行量化。
在上述方程式(10)中,坐標獨立因數Ai的相對貢獻量可用於選擇隨機數產生函數g(x,y)中較為重要之項。例如,若坐標獨立因數Ai的相對貢獻量係不顯著的,則項(AiΩi(x,y))可刪減,由此降低在函數g(x,y)中項之數量並簡化進一步計算。在部分實施例中,當坐標獨立因數Ai的相對貢獻量小於一特定閾值時,即判斷為不顯著。
從上述方程式(12)或(13)可以看出,在確立坐標(x,y)之後,隨機數產生函數成為高斯隨機數gaussuv之加權線性組合。因此,特定元件所產生之隨機數亦遵循高斯分佈。進
一步地,由晶片上變異模組212產生之變異數量為元件數量之線性函數,而不同於傳統方法中為元件數量之指數函數。這大幅度降低在晶片上變異模組212中之計算複雜性。
參看圖6,方法300進行至操作318以接收具有n個元件322(包括322-1、322-2、322-3、...及322-n)之積體電路設計佈局320,其中各個元件322(如元件322-1至322-n)與在積體電路設計佈局320中之坐標(x,y)相關。積體電路設計佈局320已由積體電路設計流程(圖1)之各步驟處理,並可由實體製程進行設計(如圖2所示)。例如經由晶片上變異模組212處理。進一步地,於操作326中,方法300接收元件322之特定元件特性之標稱值nv1、nv2、...nvn。元件特性可為電容、電阻、傳播延遲、訊號上升時間、訊號下降時間、電晶體閾值電壓、其他金屬氧化物半導體場效電晶體電氣參數諸如飽和區域電流、線性區域電流、及等等。標稱值由在方法300之實施例中之寄生萃取模組210產生。積體電路設計佈局320製造於一或更多晶圓上,其中積體電路設計布局320的製程條件與製造晶圓304之條件相同或實質上類似。為模擬接近真實製造條件之積體電路設計佈局320,這些標稱值需要給定一個確定性的隨機變異值。隨機變異可為上文論述之具有空間效應之晶片上變異。
於操作324中,方法300使用隨機數生產函數g(x,y)以產生一系列隨機數r1、r2、...、rn,分別對應至各標稱值nv1、nv2、...nvn。在本實施例中,操作324將元件322之各坐標提供至方程式(7)、(8)、或(12)所示之函數g(x,y)產生
隨機數。對於各元件,提供至gaussi之函數的數量為晶粒306中之元件數量的線性函數。因此,在操作324中之總計算量為晶粒306中之元件數量及積體電路設計佈局320中之元件數量的線性函數。
於操作328中,方法300進行(1+ri)與nvi之逐對乘法,其中i=1、2、...n。乘積((1+ri)...nvi)呈現如圖6所示之高斯分佈。於操作330中,方法300以可由進一步設計工具或模組(諸如註解模組214或模擬模組204)存取之資料格式來輸出隨機化元件特性值,此設計工具或模組可使用隨機化元件特性值進行蒙特卡羅(Monte Carlo)模擬。在實施例中,方法300將具有隨機化元件特性值之積體電路設計佈局320儲存在非暫態記憶體中,此隨機化元件特性值可由電腦化設計工具(諸如註解模組214或模擬模組204)存取。在實施例中,晶片上變異模組212(圖2)包括操作316、318、324、326、328、及330。
如上所述,積體電路設計佈局320經由積體電路設計及製造流程100(圖1及圖2)進行處理。根據本揭露之態樣,具有隨機化元件特性值之積體電路設計佈局320的模擬相較於習知方法更接近實際情況。當定案積體電路設計佈局320(圖2)並製造最終積體電路產品時,最終積體電路產品將具有多產量。
現在參看圖9,圖示用於實施上述方法之實施例的說明性積體電路設計系統600(或電腦系統)。例如,操作314、316、318、324、326、328、及330之至少一者藉由積體電路設計系統600進行。進一步地,圖2之一或更多操作藉
由積體電路設計系統600進行。仍進一步地,圖7及圖8之一或更多操作藉由積體電路設計系統600進行。
積體電路設計系統600包括藉由一或更多匯流排612互連之微處理器602、輸入裝置604、儲存裝置606、視訊控制器608、系統記憶體610、顯示器614、及通信裝置616。
微處理器602可為一或更多通用處理裝置諸如微處理器、中央處理單元、或類似者。更特定而言,微處理器602可為複雜指令集計算(complex instruction set computing,CISC)微處理器、精簡指令集計算(reduced instruction set computing,RISC)微處理器、超長指令詞(very long instruction word,VLIW)微處理器、或實施其他指令集之處理器或實施指令集組合之處理器。微處理器602亦可為一或更多專用處理裝置諸如特殊應用積體電路(application specific integrated circuit,ASIC)、現場可編程閘陣列(field programmable gate array,FPGA)、數位訊號處理器(digital signal processor,DSP)、網路處理器、或類似者。微處理器602經配置以執行用於進行本文論述之操作及步驟的指令。
儲存裝置606為非暫時性電腦可讀儲存媒體,包含暫時性傳播訊號之外的全部電腦可讀儲存媒體。電腦可讀媒體之一些常見的形式包括,例如,軟碟、撓性磁碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、任何其他光學媒體、打孔卡片、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM、EPROM、FLASH-EPROM、任何其他記憶體晶片或儲存匣、或電腦適用於從其讀取之任何其他媒體。例如,儲存
裝置606可為軟碟、磁性硬碟(HDD)、固態驅動器(SSD)、或光學記憶體(例如,CD-ROM、DVD、及藍光光碟(Blu-Ray Disc))。此外,儲存裝置606可能夠接收軟碟、CD-ROM、DVD-ROM、或可含有電腦可執行指令之任何其他形式電腦可讀媒體。
進一步地,通信裝置616可為數據機、網路卡、或任何其他裝置以實現電腦系統與其他節點通信。應瞭解任何電腦系統可代表複數個互相連接(不論藉由內部網路或網際網路)之電腦系統,這些電腦系統包括但不限制於個人電腦、大型主機、PDA、及蜂巢式電話。
電腦系統一般而言至少包括能夠執行機器可讀指令之硬體,以及用於執行產生所要結果之行為(通常機器可讀指令)的軟體。此外,電腦系統可包括硬體及軟體之混合,以及電腦子系統。
硬體一般至少包括有處理器能力之平臺,諸如客戶機(亦稱為個人電腦或伺服器)、及手持處理裝置(諸如智慧型電話、個人數位助理(personal digital assistant;PDA)、或個人計算裝置(personal computing device;PCD))。進一步地,硬體可包括能夠儲存機器可讀指令之任何實體裝置,諸如記憶體或其他資料儲存裝置。其他形式硬體包括硬體子系統,此硬體子系統包括傳送裝置例如數據機、數據機卡、埠、及埠卡。
軟體包括儲存在任何記憶體媒體(諸如RAM或ROM)中之任何機器碼,及儲存在其他裝置(諸如軟碟、快閃記
憶體、或CD ROM)上之機器碼。例如,軟體可包括原始碼(source code)或目的碼(object code)。此外,軟體涵蓋能夠在客戶機或伺服器中執行之任何指令集。
於本揭露之特定實施例中,軟體及硬體之組合亦能增加功能及強化效能。於一實施例中,可在矽晶片中直接製造軟體功能。因此,應瞭解硬體及軟體之組合的等效結構或方法亦屬於本揭露之電腦系統的範疇。
系統可經設計以在任何特定架構上工作。例如,此系統可在單電腦、區域網路、主從式網路、廣域網路、網際網路、手持及其他可攜式無線裝置及網路上執行。
儘管不意欲為限制,本揭露之一或更多實施例為積體電路設計及製造提供了許多益處。例如,本揭露之實施例提供了一種方法,此方法藉由萃取具有空間效應之晶片上變異,並將此晶片上變異應用至新積體電路設計。根據本揭露之方法根據獨立裝置之坐標來模擬晶片上變異,相較於根據不同區域之裝置來模擬的方法相比具有更高精確性模擬。進一步地,本揭露之晶片上變異模擬方法之計算複雜性與積體電路設計佈局中之元件數量呈線性關係而非指數關係。這大幅度降低由設計工具所需之計算資源。
本揭露之一實施例為一種用於積體電路設計工具之方法,包含接收空間相關性矩陣,其中空間相關性矩陣中之各元素為不同坐標之一組後製造積體電路元件之複數個特性值之間的空間相關性;從空間相關性矩陣中導出隨機數產生函數,其中隨機數產生函數具有坐標相關因數及坐標獨立因數;
接收積體電路設計佈局,積體電路設計佈局具有一組預製造積體電路元件,預製造積體電路元件各者具有一坐標及一第一特性值;對於預製造積體電路元件,使用預製造積體電路元件之坐標及隨機數產生函數產生隨機數;對於預製造積體電路元件,將隨機數應用至第一特性值並導出一第二特性值;在具有預製造積體電路元件之第二特性值之預製造積體電路元件上運行模擬;基於模擬之結果修改該積體電路設計佈局,其中以下操作之至少一者係由電腦進行:導出該隨機數產生函數;產生隨機數;導出第二特性值;以及運行模擬。
其中Ra,b_c,d代表坐標(xa,yb)及(xc,yd)之後製造積體電路元件之特性值之間的相關性,a及c各者在[0,M]內變化且b及d各者在[0,N]內變化,其中M及N係大於1之整數;導出R之特徵值,λ1...λk,及特徵向量,ν1...νk,使得:
其中gaussi係具有高斯分佈之隨機數;接收具有一組預製造積體電路元件之積體電路設計佈局,預製造積體電路元件各者具有一坐標及一第一特性值;針對預製造積體電路元件各者而言,使用各別預製造積體電路元件之坐標及隨機數產生函數g(x,y)產生一隨機數;針對預製造積體電路元件各者而言,藉由第一特性值與隨機數加一之和相乘來導出一第二特性值;以及將具有預製造積體電路元件之第二特性值之積體電路設計佈局儲存在非暫時性記憶體中,用以在晶圓上製造積體電路設計佈局之製程中,由積體電路模擬工具使用,其中以下操作之至少一者係藉由電腦進行:導出R之些特徵值及特徵向量;建構隨機數產生函數g(x,y);使用各別預製造積體電路元件之坐標及函數g(x,y)產生隨機數;以及導出第二特性值。
本揭露之又一實施例為一種積體電路設計系統,包含非暫時性記憶體、一或更多硬體處理器,耦合至非暫時性記憶體,一或更多硬體處理器執行用於進行操作之指令,操作包含:接收一組後製造積體電路元件之特性值之空間相關性矩陣R,其中在R中各元件Ra,b_c,d代表坐標(xa,yb)及(xc,yd)之後製造積體電路元件之特性值之間的相關性,其中a及c各者在[0,M]內變化且b及d各者在[0,N]內變化,其中M及N係大於1之整數;對R進行二維離散傅立葉變換(2-D DFT),由此導出空間頻率u及v,使得:
其中gaussuv為具有高斯分佈之隨機數。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
106:電路設計
108:實體設計
202:配置與配線模組
204:模擬模組
206:佈局/GDS模組
208:設計規則檢查及電路布局驗證模組
210:寄生萃取模組
212:晶片上變異模組
214:注解模組
220:產品定案
Claims (10)
- 一種用於積體電路設計之方法,包含:接收一空間相關性矩陣,其中該空間相關性矩陣中之各元素為不同坐標之一組後製造積體電路元件之複數個特性值之間的一空間相關性;從該空間相關性矩陣中導出一隨機數產生函數,其中該隨機數產生函數具有一坐標相關因數及一坐標獨立因數;接收一積體電路設計佈局,該積體電路設計佈局具有一組預製造積體電路元件,該些預製造積體電路元件各者具有一坐標及一第一特性值;對於該些預製造積體電路元件,使用各該些預製造積體電路元件之該坐標及該隨機數產生函數產生一隨機數;對於該些預製造積體電路元件,將該隨機數應用至該第一特性值並導出一第二特性值;在具有該些預製造積體電路元件之該第二特性值之該些預製造積體電路元件上運行一模擬;以及基於該模擬之一結果修改該積體電路設計佈局,其中以下操作之至少一者係由一電腦進行:導出該隨機數產生函數;產生該隨機數;導出該第二特性值;以及運行該模擬。
- 如請求項2所述之方法,在使用該各別預製造積體電路元件之該坐標及該隨機數產生函數g(x,y)產生該隨機數之前,進一步包括: 刪減該隨機數產生函數(x,y)之項。
- 如請求項1所述之方法,其中藉由將該隨機數應用至該第一特性值導出該第二特性值包含將該第一特性值與該隨機數加一之和相乘。
- 一種用於積體電路設計之方法,包含:接收一組後製造積體電路元件之一特性值之一空間相關性矩陣R,其中:
- 如請求項6所述之方法,進一步包括:接收該組後製造積體電路元件;量測該些後製造積體電路元件之該些特性值;以及導出該空間相關性矩陣R。
- 一種積體電路設計系統,包含:一非暫時性記憶體;一或更多硬體處理器,耦合至該非暫時性記憶體,該一或更多硬體處理器執行用於進行操作之指令,該些操作包含:接收一組後製造積體電路元件之一特性值之一空間相關性矩陣R,其中:
- 如請求項9所述之積體電路設計系統,該些操作進一步包括:接收具有一組預製造積體電路元件之一積體電路設計佈局,該些預製造積體電路元件各者具有一坐標及一第一特性值;使用該些預製造積體電路元件之該些坐標及該隨機數產生函數g(x,y)產生複數個隨機數;以及 藉由將該些隨機數應用至該些第一值導出之該些第二特性值。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662328423P | 2016-04-27 | 2016-04-27 | |
US62/328,423 | 2016-04-27 | ||
US15/335,091 | 2016-10-26 | ||
US15/335,091 US10521538B2 (en) | 2016-04-27 | 2016-10-26 | Method and system for integrated circuit design with on-chip variation and spatial correlation |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201802712A TW201802712A (zh) | 2018-01-16 |
TWI709053B true TWI709053B (zh) | 2020-11-01 |
Family
ID=60158439
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106107397A TWI709053B (zh) | 2016-04-27 | 2017-03-07 | 積體電路設計系統以及用於積體電路設計之方法 |
Country Status (3)
Country | Link |
---|---|
US (2) | US10521538B2 (zh) |
CN (1) | CN107315848B (zh) |
TW (1) | TWI709053B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI783310B (zh) * | 2020-11-26 | 2022-11-11 | 華邦電子股份有限公司 | 計數方法以及計數裝置 |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102402673B1 (ko) * | 2017-04-28 | 2022-05-26 | 삼성전자주식회사 | Beol의 공정 변이를 고려하여 집적 회로를 설계하기 위한 컴퓨터 구현 방법 및 컴퓨팅 시스템 |
CN117272924A (zh) * | 2017-04-28 | 2023-12-22 | 三星电子株式会社 | 设计集成电路的方法 |
US10515181B2 (en) | 2017-05-10 | 2019-12-24 | International Business Machines Corporation | Integrated circuit identification |
KR102580947B1 (ko) * | 2018-06-29 | 2023-09-20 | 삼성전자주식회사 | 추출된 모델 파라미터를 이용하여 집적 회로를 설계하기 위한 컴퓨팅 시스템 및 이를 이용한 집적 회로의 제조 방법 |
JP7172420B2 (ja) | 2018-10-15 | 2022-11-16 | 株式会社ニューフレアテクノロジー | 描画データ生成方法及びマルチ荷電粒子ビーム描画装置 |
US10970439B2 (en) * | 2018-11-29 | 2021-04-06 | Taiwan Semiconductor Manufacturing Company, Ltd | System on chip (SOC) current profile model for integrated voltage regulator (IVR) co-design |
US10839129B2 (en) | 2019-02-13 | 2020-11-17 | International Business Machines Corporation | Characterization of spatial correlation in integrated circuit development |
CN111325348A (zh) * | 2020-01-21 | 2020-06-23 | 合肥本源量子计算科技有限责任公司 | 一种量子线路的显示方法、装置、存储介质和电子装置 |
US11196503B2 (en) | 2020-02-20 | 2021-12-07 | X Development Llc | Techniques of robust inverse design that account for manufacturing variabilities due to operating conditions |
US11068637B1 (en) * | 2020-03-31 | 2021-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Systems and methods for context aware circuit design |
EP3985499B1 (de) * | 2020-10-14 | 2023-03-22 | Schneider Electric Industries SAS | Verfahren zur erzeugung von zufallszahlen |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20090140245A1 (en) * | 2007-12-03 | 2009-06-04 | International Business Machines Corporation | Structure for a Method and Structure for Screening NFET-to-PFET Device Performance Offsets Within a CMOS Process |
US20090144024A1 (en) * | 2007-12-03 | 2009-06-04 | Oppold Jeffrey H | Method and Structure for Screening NFET-to-PFET Device Performance Offsets Within a CMOS Process |
US7904857B2 (en) * | 2001-03-30 | 2011-03-08 | Syntest Technologies, Inc. | Computer-aided design system to automate scan synthesis at register-transfer level |
CN201837703U (zh) * | 2008-10-09 | 2011-05-18 | 新思科技有限公司 | 用于为集成电路设计形成基于扫描的测试设计的系统 |
TW201122883A (en) * | 2009-12-31 | 2011-07-01 | I-Yun Leu | Method for simulating leakage distribution of integrated circuit design |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5984510A (en) * | 1996-11-01 | 1999-11-16 | Motorola Inc. | Automatic synthesis of standard cell layouts |
US8000826B2 (en) * | 2006-01-24 | 2011-08-16 | Synopsys, Inc. | Predicting IC manufacturing yield by considering both systematic and random intra-die process variations |
JP4675249B2 (ja) * | 2006-02-07 | 2011-04-20 | パナソニック株式会社 | 位置依存変動量計算方法並びに回路解析方法 |
US7707526B2 (en) * | 2007-05-25 | 2010-04-27 | Synopsys, Inc. | Predicting IC manufacturing yield based on hotspots |
JP2009021378A (ja) * | 2007-07-11 | 2009-01-29 | Nec Electronics Corp | 半導体集積回路の生産方法、設計方法及び設計システム |
US7844418B2 (en) * | 2008-02-13 | 2010-11-30 | International Business Machines Corporation | Methods for distributing a random variable using statistically-correct spatial interpolation |
US7868606B2 (en) * | 2008-02-15 | 2011-01-11 | International Business Machines Corporation | Process variation on-chip sensor |
US7992114B1 (en) * | 2008-08-19 | 2011-08-02 | Magma Design Automation, Inc. | Timing analysis using statistical on-chip variation |
KR101504594B1 (ko) * | 2008-08-28 | 2015-03-23 | 삼성전자주식회사 | 반도체 소자의 누설전류 예측 방법 |
US8117575B2 (en) * | 2009-08-10 | 2012-02-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for on-chip-variation analysis |
US8631379B2 (en) | 2010-02-09 | 2014-01-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Decomposing integrated circuit layout |
FR2967810B1 (fr) * | 2010-11-18 | 2012-12-21 | St Microelectronics Rousset | Procede de fabrication d'un circuit integre protege contre l'ingenierie inverse |
US8903697B2 (en) * | 2011-09-15 | 2014-12-02 | International Business Machines Corporation | Solutions for modeling spatially correlated variations in an integrated circuit |
US8930171B2 (en) * | 2012-01-05 | 2015-01-06 | International Business Machines Corporation | Method of modeling spatial correlations among integrated circuits with randomly generated spatial frequencies |
US8601416B2 (en) | 2012-03-15 | 2013-12-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of circuit design yield analysis |
US8713491B2 (en) | 2012-03-29 | 2014-04-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pre-colored methodology of multiple patterning |
US9361423B2 (en) | 2012-04-13 | 2016-06-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | RC corner solutions for double patterning technology |
US8762900B2 (en) | 2012-06-27 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for proximity correction |
US8745556B2 (en) | 2012-06-28 | 2014-06-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Layout method and system for multi-patterning integrated circuits |
US8769451B2 (en) | 2012-07-12 | 2014-07-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device design method, system and computer program product |
KR101929941B1 (ko) | 2012-08-10 | 2018-12-18 | 삼성전자 주식회사 | 저항 변화 물질 소자 및 이를 적용한 디바이스 |
US8775993B2 (en) | 2012-08-31 | 2014-07-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit design flow with layout-dependent effects |
US8782575B1 (en) | 2013-01-23 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company Limited | Conflict detection for self-aligned multiple patterning compliance |
US8793640B1 (en) | 2013-03-12 | 2014-07-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus for RC extraction |
US8887116B2 (en) | 2013-03-14 | 2014-11-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Flexible pattern-oriented 3D profile for advanced process nodes |
US8766703B1 (en) * | 2013-03-15 | 2014-07-01 | Freescale Semiconductor, Inc. | Method and apparatus for sensing on-chip characteristics |
US9448281B2 (en) * | 2013-12-19 | 2016-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | In situ on the fly on-chip variation measurement |
US9459314B1 (en) * | 2014-10-08 | 2016-10-04 | Microsemi Storage Solutions (U.S.), Inc. | Circuit and method for real-time monitoring of process, temperature, and voltage variations |
US10437956B2 (en) * | 2016-01-15 | 2019-10-08 | Synopsys, Inc. | Pessimism reduction in static timing analysis |
US10185795B1 (en) * | 2016-10-11 | 2019-01-22 | Cadence Design Systems, Inc. | Systems and methods for statistical static timing analysis |
-
2016
- 2016-10-26 US US15/335,091 patent/US10521538B2/en active Active
-
2017
- 2017-03-07 TW TW106107397A patent/TWI709053B/zh active
- 2017-03-13 CN CN201710146086.2A patent/CN107315848B/zh active Active
-
2019
- 2019-12-19 US US16/721,255 patent/US10860769B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7904857B2 (en) * | 2001-03-30 | 2011-03-08 | Syntest Technologies, Inc. | Computer-aided design system to automate scan synthesis at register-transfer level |
US20090140245A1 (en) * | 2007-12-03 | 2009-06-04 | International Business Machines Corporation | Structure for a Method and Structure for Screening NFET-to-PFET Device Performance Offsets Within a CMOS Process |
US20090144024A1 (en) * | 2007-12-03 | 2009-06-04 | Oppold Jeffrey H | Method and Structure for Screening NFET-to-PFET Device Performance Offsets Within a CMOS Process |
CN201837703U (zh) * | 2008-10-09 | 2011-05-18 | 新思科技有限公司 | 用于为集成电路设计形成基于扫描的测试设计的系统 |
TW201122883A (en) * | 2009-12-31 | 2011-07-01 | I-Yun Leu | Method for simulating leakage distribution of integrated circuit design |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI783310B (zh) * | 2020-11-26 | 2022-11-11 | 華邦電子股份有限公司 | 計數方法以及計數裝置 |
Also Published As
Publication number | Publication date |
---|---|
TW201802712A (zh) | 2018-01-16 |
US20170316138A1 (en) | 2017-11-02 |
US10521538B2 (en) | 2019-12-31 |
US20200125782A1 (en) | 2020-04-23 |
CN107315848A (zh) | 2017-11-03 |
US10860769B2 (en) | 2020-12-08 |
CN107315848B (zh) | 2023-11-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI709053B (zh) | 積體電路設計系統以及用於積體電路設計之方法 | |
US8372742B2 (en) | Method, system, and apparatus for adjusting local and global pattern density of an integrated circuit design | |
US8336002B2 (en) | IC design flow enhancement with CMP simulation | |
US11170150B2 (en) | Method for making a semiconductor device | |
US20210049315A1 (en) | Methods and systems to perform automated integrated fan-out wafer level package routing | |
TWI789911B (zh) | 用於電容值提取的系統、方法及儲存媒體 | |
US20230260970A1 (en) | Die to die interface circuit | |
US20070266360A1 (en) | Metal Thickness Simulation for Improving RC Extraction Accuracy | |
TW202018550A (zh) | 積體電路設計方法 | |
Samal et al. | Machine learning based variation modeling and optimization for 3D ICs | |
US9740804B2 (en) | Chip-scale electrothermal analysis | |
TW202242698A (zh) | 製程技術評估的方法 | |
EP4256458A1 (en) | Modelling timing behavior using augmented sensitivity data for physical parameters | |
US10803222B1 (en) | Methods, systems, and computer program product for implementing an electronic design having embedded circuits | |
US9009639B2 (en) | Method and system for enhanced integrated circuit layout | |
US11080450B1 (en) | Calculating inductance based on a netlist | |
Kao et al. | Layout Extraction | |
US11914939B1 (en) | Clock re-convergence pessimism removal through pin sharing during clock tree planning | |
US20230385505A1 (en) | Method for chip integration | |
US11328873B2 (en) | Parallel plate capacitor resistance modeling and extraction | |
WO2022256955A1 (en) | Metal-insulator-metal capacitor insertion | |
Wu et al. | Pattern Matching for Feasible and Efficient Physical Design Verification of Cell Libraries | |
TW202349256A (zh) | 設計三維積體電路的方法 | |
WO2024118404A1 (en) | Modeling mandrel tolerance in a design of a semiconductor device |