TWI708318B - 具有獨立閘極控制之垂直堆疊互補fet裝置 - Google Patents

具有獨立閘極控制之垂直堆疊互補fet裝置 Download PDF

Info

Publication number
TWI708318B
TWI708318B TW108122612A TW108122612A TWI708318B TW I708318 B TWI708318 B TW I708318B TW 108122612 A TW108122612 A TW 108122612A TW 108122612 A TW108122612 A TW 108122612A TW I708318 B TWI708318 B TW I708318B
Authority
TW
Taiwan
Prior art keywords
forming
spacer
stack
cavity
gate
Prior art date
Application number
TW108122612A
Other languages
English (en)
Other versions
TW202008507A (zh
Inventor
朱利安 弗羅吉爾
謝瑞龍
帕尼特 哈瑞漢德拉 蘇瓦納
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW202008507A publication Critical patent/TW202008507A/zh
Application granted granted Critical
Publication of TWI708318B publication Critical patent/TWI708318B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種方法包括形成半導體材料層的堆疊。形成與位於堆疊的第一端部的下部區域相鄰的第一間隔件,以及形成與位於堆疊的第二端部的上部區域相鄰的第二間隔件。形成閘極結構和側壁間隔件於堆疊的上方。移除閘極結構以及半導體層的第一子集以定義內空腔和閘極空腔。形成閘極絕緣層。形成第一導電材料於內空腔中。從上部區域的內空腔中選擇性移除第一導電材料。下部區域的內空腔中的第一導電材料保留作為第一閘極電極。形成一第二導電材料於上部區域的內空腔中以定義一第二閘極電極。

Description

具有獨立閘極控制之垂直堆疊互補FET裝置
一般而言,本揭露關於半導體裝置的製造,更具體而言,關於形成具有獨立閘極控制的垂直堆疊互補場效應電晶體(FET)裝置的各種新方法以及由此產生的裝置。
在現代積體電路中,例如微處理器、儲存裝置等,大量的電路元件(特別是電晶體)被提供於一有限的芯片區域上。電晶體有各種形狀和形式,例如,平面型電晶體、鰭片式場效應電晶體、奈米線裝置等。該電晶體通常是NMOS(NFET)或PMOS(PFET)類型裝置,其中,“N”和“P”的指定是基於創建該裝置的源汲(source/drain)區域的摻雜劑類型。所謂的CMOS(Complementary Metal Oxide Semiconductor;互補式金屬氧化物半導體)技術或產品是指利用NMOS和PMOS電晶體裝置製造的積體電路產品。無論電晶體裝置的物理配置如何,每個裝置包括源極和汲極區域,以及位於源汲區域的上方和之間的一閘極電極(gate electrode)結構。在該閘極電極上施加一適當的控制電壓之後,在汲極區域和源極區域之間形成一導電溝道區域。
一傳統的場效應電晶體(FET)是一種平面型裝置,其中,該裝置的整個溝道區域是平行於且略低於該半導體基板(substrate)的該平面型上表面而形成。與一平面型場效應電晶體不同,有所謂的三維(3D)裝置,例如一說明性的三維結構的鰭片式場效應電晶體(FinFET)裝置。
一種顯示未來先進IC產品前景的裝置的類型通常被稱為一奈米片裝置。通常,一奈米片裝置具有由複數個垂直間隔的半導體材料片組成的一鰭片式溝道結構。該裝置的一閘極結構位於每個這些間隔的溝道半導體材料層的周圍。這種奈米片裝置可以形成為一高速邏輯電路的一部分。通常,該奈米片裝置可以在一相對較低的電壓下運行,例如1V或更低(基於當今的技術),且其是針對高速運行和低功耗(特別是用於智慧型手機等移動裝置中使用的IC產品)所專門設計。
一複合環閘(gate-all-around)技術的一實施例是一互補場效應電晶體(CFET),它是一個三維單片結構,具有垂直堆疊在彼此頂部的NFET和PFET奈米線/奈米片。一CFET佈局通常在一個級別(level)上具有P型FET,並在一相鄰級別(即,高於或低於)上具有N型FET。在這種結構中,較低級別之場效應電晶體的源汲區域藉由介電層與較高級別之場效應電晶體的源汲區域電性隔離。
為了平衡CMOS裝置的閾值電壓,PMOS和NMOS裝置通常使用不同的閘極材料。該閘極材料通常使用一替換閘極製程以使用所需的閘極材料替換一占位符(placeholder)材料而形成。由於奈米片裝置的空間限制,很難藉由實行一替換閘極製程來形成不同的閘極材料。
本揭露關於可以避免或至少減少上述一個或更多問題的影響的各種方法以及由此方法產生的裝置。
以下呈述本發明的簡化摘要,以便對本發明的一些方面提供基本的理解。本摘要不是對本發明的詳盡概述。且不打算用於識別本發明的關鍵或關鍵元素,或用於劃定本發明的範圍。其唯一目的是以簡化形式提出一些概念,以作為後續討論更詳細描述的序言。
一般而言,本揭露申請案揭露關於形成具有獨立閘極控制的垂直堆疊互補場效應電晶體裝置的各種新穎方法以及由此方法產生的裝置。本文揭露的一示例性方法包括,出其他外,形成半導體材料層的堆疊於一基板的上方,該堆疊包括一上部區域和一下部區域,形成與位於該堆疊的一第一端部上的該下部區域相鄰的一第一間隔件,以及形成與位於相對於該第一端部的該堆疊的一第二端部上的該上部區域相鄰的一第二間隔件。該方法還包括形成一犧牲閘極結構於該堆疊的上方,形成與該犧牲閘極結構相鄰的一側壁間隔件,選擇性移除該犧牲閘極結構以定義由該側壁間隔件所定義的一閘極空腔,以及選擇性移除該堆疊中的該半導體材料層的一第一子集以定義位於剩餘的半導體材料層的一第二子集之間的內空腔,形成一閘極絕緣層於該內空腔以及該閘極空腔中,形成一第一導電材料於該內空腔中,形成覆蓋該堆疊的該第二端部的一第一遮罩,從該上部區域的該內空腔中移除該第一導電材料,其中,該下部區域的該內空腔中的該第一導電材料保持作為一第一閘極電極,移除該第一遮罩,以及形成 與該第一導電材料不同的一第二導電材料於該上部區域的該內空腔中以定義一第二閘極電極。
100‧‧‧積體電路裝置、互補場效應電晶體裝置或產品
100’‧‧‧互補場效應電晶體裝置
110‧‧‧基板、半導體基板或塊體基板
115‧‧‧奈米片堆疊
120‧‧‧閘極結構
125、130、135、405、410、415、420‧‧‧半導體材料層
125L、125U‧‧‧反摻雜區域
140‧‧‧硬遮罩層或硬遮罩
145‧‧‧隔離結構
150‧‧‧上部或上部區域
155‧‧‧下部或下部區域
160‧‧‧下間隔件或間隔件
165、170、180、260、310、425、435‧‧‧遮罩層
175‧‧‧上間隔件或間隔件
185‧‧‧犧牲閘極結構或閘極結構
190‧‧‧蓋層
195‧‧‧堆疊空腔
200‧‧‧側壁間隔件
205‧‧‧底部間隔件
210‧‧‧閘極堆疊間隔件
215‧‧‧源汲空腔
220‧‧‧內間隔件
225‧‧‧下源汲區域
230‧‧‧源汲外延間隔件
235‧‧‧上源汲區域
240‧‧‧介電層
245‧‧‧閘極空腔或空腔
250‧‧‧內空腔、閘極空腔或空腔
253‧‧‧閘極絕緣層
255‧‧‧第一導電材料
265‧‧‧第一閘極電極
270‧‧‧第二導電材料
275‧‧‧第二閘極電極
280‧‧‧介電蓋層或蓋層
285、290‧‧‧閘極接觸件
295‧‧‧第三導電材料
300‧‧‧虛線框
305‧‧‧共形間隔層或間隔層
315‧‧‧第二遮罩層或遮罩層
320‧‧‧第二共形間隔層或間隔層
400‧‧‧奈米片堆疊
430、445‧‧‧端部間隔件
440‧‧‧端部空腔
本揭露可藉由參考結合附圖的下述描述來理解,其中。相似的參考數字表示相似的元件,且其中:第1A圖至第1P圖描述了本文所公開的用於在具有垂直堆疊的P型和N型場效應電晶體的一互補場效應電晶體裝置100中形成雙替換閘極的各種新穎方法;第2A圖至第2D圖描繪了用於形成上和下間隔件的一替換流程;以及第3A圖至第3D圖描繪了使用一端部間隔配置形成上和下間隔件的一替換流程。
雖然本文所公開的主題容易受到各種修改和替代形式的影響,但其具體實施例已在附圖中以示例的形式予以揭露,並在本文中詳細描述。然而,應當理解的是,本文對於具體實施例的描述並旨在將本發明限定於所公開的特定形式,相反,其目的在於涵蓋所有的修改、等價物和替代物。這些修改、等價物和替代物均屬本發明所附申請專利範圍所定義的精神和範圍內。
本發明的各種說明性實施例的描述如下。為了清楚起見,本說明書中並沒有描述實際施行的所有特徵。當然,在任何此類實際實施例 的開發過程中,必須做出許多具體的特定施行決策,以實現開發人員的特定目標,例如符合與系統相關的和與業務相關的約束,這些約束在不同的實施過程中會有所不同。此外,應當瞭解,這種開發工作可能複雜且耗時,但是對於從本揭露中獲益的本領域的普通技術人員而言,這仍然是一項常規工作。
現參照附圖說明本主題。示意性的描繪於附圖中的各種結構、系統和裝置僅用於解釋,以使本揭露不被本領域技術人員所熟知的細節所掩蓋。因此,所附圖式用於描述和解釋本揭露的說明性實施例。本文所使用的詞語和短語,其含義應與相關領域技術人員對這些詞語和短語的理解相一致。本領域技術人員所理解的術語或短語的特殊定義,即與普通和習慣含義不同的定義,在本文中並不打算藉由一致使用的術語或短語來暗示。如果一個術語或短語具有特殊含義,即除本領域技術人員所理解的含義以外的其他含義,則說明書中將以直接且明確地為該術語或短語提供特殊定義的定義方式明確規定此類特殊定義。本領域技術人員在完全閱讀本申請後容易看出,本文公開的方法可用於製造各種不同的裝置,包括但不限於邏輯裝置、儲存器(memory)裝置等,並且這些裝置可以是NMOS或PMOS裝置。
如本領域技術人員在完全閱讀本申請之後所瞭解的,附圖中未描繪出各種摻雜區域,例如,源汲區域、光暈植入區域、阱區域等。當然,本文所揭露的發明不應被視為僅限於本文所描述的說明性實施例。本文所揭露的積體電路裝置100的各種元件和結構可以使用各種不同的材料並藉由執行各種已知技術而形成,例如,一化學氣相沉積(chemical vapor deposition;CVD)製程、一原子層沉積(atomic layer deposition;ALD)製程、一熱生長製程、旋塗製程等。這些不同材料層的厚度也可能因具體的應用而有所不同。參考所附圖示,現在將更詳細地描述本文所公開的方法和裝置的各種示例性實施例。
第1A圖至第1P圖描繪了本文所揭露的用於在具有垂直堆疊的P型和N型場效應電晶體的一互補場效應電晶體裝置100中形成雙替換閘極的各種新穎方法。在本文所描述的實施例中,互補場效應電晶體裝置100將形成在一半導體基板110中及上方。基板110可以具有多種配置,例如所描繪的塊體配置。也可以使用一絕緣體上半導體(semiconductor-on-insulator;SOI)配置,其包括一塊體半導體層、位於該塊體基板110上的一埋入絕緣層、以及位於該埋入絕緣層上的一個或複數個半導體材料層。基板110可以由矽製成,或也可以由矽以外的材料製成,例如,矽鍺、III-V族複合半導體材料等。因此,術語“基板”或“半導體基板”應理解為涵蓋所有半導體材料以及所有形式的此類材料。
在本文所描述的實施例中,互補場效應電晶體裝置100可以形成為一高速邏輯電路的一部分。該說明性互補場效應電晶體裝置100包括一奈米片堆疊115,以及橫跨奈米片堆疊115的閘極結構120(在平面圖中以虛線表面)。在一些實施例中,奈米片堆疊115可以是一鰭片狀結構(即,相對於其軸向長度,具有一窄寬度的一奈米片堆疊)。各奈米片堆疊115包括複數個交錯的半導體材料層125,130,135。這些附圖包含產品100的一簡單平面圖,指示不同的橫截面圖的位置。取自裝置100的閘極長度方向(垂直於閘極結構120)的一“X-X”視圖,以及取自裝置100的一閘極寬 度方向(沿閘極結構120的一軸向長度)的一“Y-Y”視圖。但是,並不試圖在裝置100的平面圖中顯示附圖中的橫截面圖中所示的各種步驟。
第1A圖描繪了產品100的一個製造階段,其中,已經執行了若干製程操作。首先,半導體材料層125,130,135的堆疊形成在基板110的上方。而後,在該堆疊的上方形成一硬遮罩層140(例如,氮化矽)。使用硬遮罩140執行一蝕刻製程以定義該奈米片堆疊115。形成鄰近於奈米片堆疊115的一隔離結構145(例如,二氧化矽)。通常,半導體材料層125,130,135由不同的半導體材料所製成,以使它們可以相對彼此被選擇性的移除(藉由蝕刻)。在本文描述的實施例中,半導體材料層125,130本質上是犧牲的,而半導體材料層125將成為互補場效應電晶體裝置100的溝道區域材料。在一說明性實施例中,半導體材料層125可包含基本上純矽(pure silicon),半導體材料層130可以包括矽鍺(SixGe(1-x),其中,x在0.65到0.85的範圍內),而半導體材料層135可以包括矽鍺(SiyGe(1-y),其中,y在0.25到0.5的範圍內)。半導體材料層125,130,135的厚度可以根據具體的應用而變化,且不必具有相同的厚度。
中間的半導體材料層135將互補場效應電晶體裝置100分為一上部150以及一下部155。在一些實施例中,上部150可以與一N型電晶體相關聯,下部155可以與一P型電晶體相關聯(當然,反之亦可)。為上部和下部所形成的半導體材料層125,130的數量可以根據具體應用而變化。在本文所描述的示例性實施例中,在每個部分150,155中,溝道區域均有一半導體材料層125。互補場效應電晶體裝置100的有效尺寸可以 藉由在每個部分150,155中提供由額外的半導體材料層130所隔開的額外的半導體材料層125來調整。
第1B圖揭示了在執行了若干製程後,於奈米片堆疊115上定義一下間隔件160的互補場效應電晶體裝置100。在奈米片堆疊115之上形成間隔材料的一共形層,並執行一各向異性(anisotropic)蝕刻製程以移除間隔材料層的水平部分,並降低間隔層的垂直部分的高度以定義下間隔件160。控制下間隔件160的高度,使得上表面部分地與中間半導體材料135重疊。
第1C圖揭示了一遮罩層165(例如,有機圖案化層(organic patterning layer;OPL))形成在奈米片堆疊115的上方並被圖案化以覆蓋奈米片堆疊115的右側部分且暴露奈米片堆疊115的左側部分,而暴露間隔件160的一部分之後的互補場效應電晶體裝置100。可執行一蝕刻製程以移除間隔件160的暴露部分。
第1D圖揭示在執行若干製程後的互補場效應電晶體裝置100。遮罩層165被剝離。一遮罩層170(例如OPL)形成在奈米片堆疊115的上方並且被凹陷以覆蓋奈米片堆疊115的一底部部分。一上間隔件175形成在遮罩層170的上方鄰近奈米片堆疊115的位置(例如,使用上述與下間隔件160相類似的製程)。上間隔件175的位置基於遮罩層170的厚度進行控制,以便上間隔件175的下表面覆蓋上部區域150中的半導體材料層125,130,而不覆蓋下部區域155中的半導體材料層125,130。上間隔件175的高度受到控制,使得下表面部分地與中間半導體材料135重疊。
第1E圖揭示在一遮罩層180(例如有機圖案化層(OPL))形成在奈米片堆疊115和先前形成的遮罩層170的上方並被圖案化以覆蓋奈米片堆疊115的左側部分且暴露奈米片堆疊115的右側部分之後的互補場效應電晶體裝置100。執行一蝕刻製程以移除上間隔件175的暴露部分。可以選擇下間隔件160和上間隔件175的材料,以便使它們相對於彼此進行選擇性蝕刻。例如,一個間隔件160,175可以由氮化物基於低K常數材料(例如SiBCN)形成,而另一個間隔件160,175可以由氧化物基於低K常數材料(例如SiOC)形成。
第1F圖揭示在執行若干製程之後,沿著視圖Y-Y和X-X的互補場效應電晶體裝置100。遮罩層170,180被剝離。硬遮罩層140被移除。犧牲閘極結構185形成在其上方,並接觸奈米片堆疊115的頂部和側壁表面。犧牲閘極結構185本質上是犧牲的,因為它們在製程流程的後期會被其他材料所替換以形成功能性閘極結構,如下所述。犧牲閘極結構185可以包括一層或複數層材料,例如一犧牲閘極絕緣層(例如,二氧化矽),以及未單獨示出的一犧牲閘極材料(例如非晶矽)。用於圖案化犧牲閘極結構185的圖案化硬遮罩層所剩餘的蓋層190(例如,氮化矽或包括氮化矽和二氧化矽的堆疊)位於閘極結構185的上方。
第1G圖揭示了在執行一選擇性蝕刻製程以移除半導體材料層135並定義堆疊空腔195之後的互補場效應電晶體裝置100。
第1H圖揭示了在鄰近犧牲閘極結構185形成側壁間隔件200之後的互補場效應電晶體裝置100(即,使用與上述下間隔件160類似的製程)。側壁間隔件200的材料也填充至堆疊空腔195,以定義隔離奈米 片堆疊115與基板110的一底部間隔件205以及隔離奈米片堆疊115的上部150與奈米片堆疊115的下部的一閘極堆疊間隔件210。
第1I圖揭示了在執行若干製程之後的互補場效應電晶體裝置100。使用犧牲閘極結構185和側壁間隔件200作為一蝕刻遮罩以執行一蝕刻製程,以定義源汲空腔215。執行一個各向同性(isotropic)蝕刻製程以凹陷半導體材料層130,從而定義其端部的端部空腔。執行一共形沉積製程(例如一ALD製程)以形成一間隔材料層於奈米片堆疊115和犧牲閘極結構185的上方,並且各向異性蝕刻間隔層以於端部空腔中定義內間隔件220。執行複數個沉積製程以於源汲空腔215中定義一下源汲區域225(例如,P型epi)、一源汲外延間隔件230(例如,介電材料)、以及一上源汲區域235(例如N型epi)。沉積並平坦化一介電層240以暴露犧牲閘極結構185(例如,藉由移除蓋層190)。
第1J圖揭示了在執行若干蝕刻製程以移除犧牲閘極結構185和半導體材料層130,而定義閘極空腔245和內空腔250(即圍繞半導體材料層125的閘極空腔250的部分)之後的互補場效應電晶體裝置100。
第1K圖揭示了在執行若干製程之後的互補場效應電晶體裝置100。執行一第一沉積製程以形成一閘極絕緣層253(例如,高K介電,如氧化鉿-如虛線所示)於閘極空腔245,250中。執行一個或複數個沉積製程,以於閘極絕緣層253上方的空腔245,250中形成一第一導電材料255。執行一回蝕刻製程以從閘極空腔245的上部移除第一導電材料255,同時使內空腔250保持填充狀態。第一導電材料255可以是一功函數材料(work function material;WFM)層或層的堆疊。在一些實施例中,WFM材料可 適用於一P型裝置。一示例性PFET WFM材料是錫。可沉積第一導電材料255以完全填充內空腔250和閘極空腔245,然後,回蝕刻以移除閘極空腔245的上部中的部分第一導電材料255。在另一實施例中,可將第一導電材料255沉積為一共形層,其填充內空腔250並勾勒(line)閘極空腔245的上部。可藉由形成一OPL層以覆蓋內空腔250中的第一導電材料255的側面,並執行一蝕刻製程以移除勾勒閘極空腔245的上部的部分第一導電材料255,從而倒角(chamfer)該共形層。
第1L圖揭示了在一遮罩層260(例如OPL)形成在奈米片堆疊115的上方,並被圖案化以覆蓋第一導電材料255的左側部分且暴露右側部分之後的互補場效應電晶體裝置100。遮罩層260、上間隔件175、下間隔件160、和閘極堆疊間隔件210的組合保護下部區域155中的第一導電材料255,並暴露上部區域150中的第一導電材料255。
第1M圖揭示了執行一蝕刻製程以移除第一導電材料255的暴露部分,重新打開上部區域150中的空腔250之後的互補場效應電晶體裝置100。第一導電材料255的剩餘部分定義在下部區域155中的一第一閘極電極265(例如,PFET部分)。
第1N圖揭示了在執行一剝離製程以移除遮罩層260,並執行一個或複數個沉積製程以於閘極絕緣層253上方的空腔245,250中形成一第二導電材料270之後的互補場效應電晶體裝置100。執行一回蝕刻製程以從閘極空腔245移除第二導電材料270,同時使上部區域150中的內空腔250保持填充狀態。第二導電材料270可以是適於一N型裝置的一WFM層或層的堆疊。一示例性NFET WFM材料是包含TiN/TiC/TiN的堆 疊。當然,其他WFM材料可用於第一和第二導電材料255,270。第二導電材料270定義上部區域150中的一第二閘極電極275(例如NFET部分)。
第1O圖揭示了執行若干製程之後的互補場效應電晶體裝置100。執行一沉積製程,隨後執行一平坦化製程,以於閘極空腔245中形成一介電蓋層280。閘極接觸件285,290形成為延伸通過蓋層280(即,以及形成於蓋層280上方的任何其他介電層)以分別接觸第一閘極電極265和第二閘極電極275。在此配置中,第一閘極電極265和第二閘極電極275是獨立的。
第1P圖揭示了在替代製程流程中執行若干製程之後的互補場效應電晶體裝置100。從第1N圖的互補場效應電晶體裝置100開始,執行一個或複數個沉積製程,以於閘極空腔245中形成一第三導電材料295(例如鎢)。執行一回蝕刻製程以凹陷第三導電材料295。執行一沉積製程,隨後執行一平坦化製程,以於閘極空腔245中形成蓋層280。在此配置中,第一閘極電極265和第二閘極電極275代表一共享閘極電極。可形成一單閘極接觸件(未示出)以接觸該共享閘極電極。
第三導電材料295也可與獨立的閘極電極一起使用,其藉由執行一圖案化蝕刻,以切割虛線框300所指示的區域中的第三導電材料295,並用蓋層280填充由此產生的凹槽。
在一些實施例中,間隔件160,175可以被摻雜。例如,與下部155中的N型電晶體相關聯的間隔件160可以摻雜一P型摻雜劑(例如硼B),與上部150中的N型電晶體相關聯的間隔件175可以摻雜一N型摻雜劑(例如磷P,砷As)。在製程流程中的任何時候,可以執行一退火製程, 使間隔件160,175的摻雜劑擴散到半導體材料層125中,以定義反摻雜區域125U,125L。如果退火製程是在移除第1G圖中的半導體材料層135或移除第1J圖中的半導體材料層130之前進行的,摻雜劑也會擴散到這些層130,135中。然而,摻雜劑並不影響層130,135的蝕刻選擇性。
第2A圖至第2D圖揭示了形成下間隔件和上間隔件160,175的一替代製程流程。從第1A圖所示的互補場效應電晶體裝置100開始,執行一沉積製程以形成一共形間隔層305於奈米片堆疊115的上方。一遮罩層310(例如OPL)形成在奈米片堆疊115和間隔層305的上方,並被圖案化以覆蓋奈米片堆疊115的右側部分且暴露奈米片堆疊115的左側部分。執行一蝕刻製程以移除間隔層305的暴露部分。
第2B圖揭示了執行一剝離製程以移除遮罩層310,以及形成覆蓋下部區域155的一第二遮罩層315之後的互補場效應電晶體裝置100。執行一蝕刻製程以移除間隔層305的暴露部分,從而定義下間隔件160。
第2C圖揭示了執行一沉積製程以形成一第二共形間隔層320於遮罩層315和奈米片堆疊115的上方之後的互補場效應電晶體裝置100。
第2D圖揭示了在執行一各向異性蝕刻製程以從間隔層320形成上間隔件175之後的互補場效應電晶體裝置100。然後,可以根據所描述的從第1E圖開始繼續製程步驟。在此製程流程,間隔件160,175可以由相同材料製成。
第3A圖至第3D圖揭示了形成一互補場效應電晶體裝置100’而不形成上間隔件和下間隔件160,175的一替代製程流程。在一些實施例中,奈米片堆疊400的配置可以與第1A圖所示的奈米片堆疊115的配置不同。隔離結構145延伸到整體奈米片堆疊400(例如一SOI基板配置)的下方。在本文所描述的實施例中,奈米片堆疊400包括半導體材料層405,410,415,420。半導體材料層410,415本質上是犧牲的,而半導體材料層405將成為互補場效應電晶體裝置100’的溝道區域材料。在一示例性實施例中,半導體材料層405可以包括基本上純矽,半導體材料層410可以包括矽鍺(SixGe(1-x),其中x的範圍從0.65至0.85),半導體材料415可以包括矽鍺(SiyGe(1-y),其中y的範圍從0.25至0.5)。分離上部區域和下部區域150,155的半導體材料層420可以包括基本上純矽,且相較於半導體材料層405,可具有一減少的厚度。半導體材料層405,410,415,420的厚度可根據具體應用而變化,並且它們不需要具有相同的厚度。
第3B圖揭示了執行若干製程之後的互補場效應電晶體裝置100’。一遮罩層425形成在奈米片堆疊400的左側上方。執行一各向同性蝕刻製程以凹陷半導體材料415,以定義其端部上的端部空腔。執行一共形沉積製程,例如一ALD製程,以於奈米片堆疊400的上方形成一間隔材料層,並對該間隔層進行各向異性蝕刻以定義該端部空腔中的端部間隔件430。
第3C圖揭示了執行若干製程之後的互補場效應電晶體裝置100’。一遮罩層435形成在奈米片堆疊400的右側上方,並覆蓋奈米片堆 疊400的左側的下部區域155。執行一各向同性蝕刻製程以凹陷半導體材料層410,以定義其端部上的端部空腔440。
第3D圖揭示了執行若干製程之後的互補場效應電晶體裝置100’。執行一剝離製程以移除遮罩層435。執行一共形沉積製程,例如一ALD製程,以於奈米片堆疊400的上方形成一間隔材料層,並對該間隔層執行各向異性蝕刻,以定義端部空腔440中的端部間隔件445。
端部間隔件430定義下間隔件160,且端部間隔件445定義上間隔件175。處理步驟可根據第1E圖及後續附圖所描述的繼續執行。在一實施例中,分離上部區域和下部區域150,155的半導體材料層420包括基本上純矽,半導體材料層420的端部可以反向摻雜以避免一寄生通道的形成。
以上所公開的具體實施例僅為說明性實施例,因為本發明可以具有本領域技術人員明顯受益於本文所述教學的不同但等效的方式進行修改和實施。例如,上述過程步驟可按不同的順序執行。此外,除申請專利範圍所述之外,本文所示的架構或設計細節不受任何限制。顯而易見地,上述具體實施例可能會被替換或修改,並且所有這些變化都被考慮在本發明的範圍和精神範圍內。請注意,在本說明書和所附申請專利範圍中所使用的用於描述各種製程或結構的諸如“第一”、“第二”、“第三”或“第四”等術語,僅用作對這些步驟/結構的簡略引用,並不一定意味著這些步驟/結構是按照所述順序執行/形成的。當然,根據具體的申請專利範圍,可能需要或可能不需要此類程序的順序。當參考場效應電晶體裝置的結構時,如本文所使用的,空間參考、“頂部”、“底部”、“上”、“下”、“垂直”、“水平”等僅 為使用方便。這些參考僅用於教學目的,且不作為場效應電晶體結構的絕對參考。例如,場效應電晶體的空間定向方式可能與圖紙所示的方向不同。因此,本文所尋求的保護如所附申請專利範圍中所述。
100‧‧‧積體電路裝置、互補場效應電晶體裝置或產品
110‧‧‧基板、半導體基板或塊體基板
115‧‧‧奈米片堆疊
120‧‧‧閘極結構
125、130‧‧‧半導體材料層
145‧‧‧隔離結構
150‧‧‧上部或上部區域
155‧‧‧下部或下部區域
160‧‧‧下間隔件或間隔件
175‧‧‧上間隔件或間隔件
185‧‧‧犧牲閘極結構或閘極結構
190‧‧‧蓋層
195‧‧‧堆疊空腔

Claims (20)

  1. 一種形成半導體裝置之方法,包括:形成半導體材料層的堆疊於一基板的上方,該堆疊包括一上部區域以及一下部區域;形成與位於該堆疊的一第一端部的該下部區域相鄰的一第一間隔件;形成與位於相對於該第一端部的該堆疊的一第二端部的該上部區域相鄰的一第二間隔件;形成一犧牲閘極結構於該堆疊的上方;形成相鄰於該犧牲閘極結構的一側壁間隔件;選擇性移除該犧牲閘極結構以定義由該側壁間隔件所界定的一閘極空腔,並選擇性移除該堆疊中的半導體材料層的一第一子集以定義位於剩餘半導體材料層的一第二子集之間的內空腔;形成一閘極絕緣層於該內空腔和該閘極空腔中;形成一第一導電材料於該內空腔中;形成覆蓋該堆疊的該第二端部的一第一遮罩;從該上部區域的該內空腔中移除該第一導電材料,其中,該下部區域的該內空腔中的該第一導電材料保持為一第一閘極電極;移除該第一遮罩;以及形成與該第一導電材料不同的一第二導電材料於該上部區域的該內空腔中以定義一第二閘極電極。
  2. 如申請專利範圍第1項所述的方法,其中,該第一導電材料包括用於由該第一閘極電極所定義的一第一導電類型電晶體的一第一功函 數材料以及該下部區域中的該第二子集的第一構件,且該第二導電材料包括用於由該第二閘極電極所定義的一第二導電類型電晶體的一第二功函數材料以及該上部區域中的該第二子集的第二構件。
  3. 如申請專利範圍第1所述的方法,更包括:形成一第三導電材料以填充該閘極空腔,該第三導電材料接觸該第一閘極電極和該第二閘極電極;以及形成一蓋層於該第三導電材料上方的該閘極空腔中。
  4. 如申請專利範圍第3項所述的方法,其中,該第三導電材料包括與該第二導電材料相同的材料。
  5. 如申請專利範圍第3項所述的方法,更包括形成接觸該第三導電材料的一第一接觸件,以定義包括該第一閘極電極和該第二閘極電極的一共享閘極結構。
  6. 如申請專利範圍第3項所述的方法,其中,該第二間隔件將該第三導電材料分為接觸該第一閘極電極的一第一部分以及接觸該第二閘極電極的一第二部分,且該方法更包括:形成接觸該第一部分的一第一接觸件;以及形成接觸該第二部分的一第二接觸件。
  7. 如申請專利範圍第3項所述的方法,更包括:形成一凹陷於該第三導電材料中,以將該第三導電材料分為接觸該第一閘極電極的一第一部分與接觸該第二閘極電極的一第二部分;形成該蓋層於該凹陷中;形成接觸該第一部分的一第一接觸件;以及 形成接觸該第二部分的一第二接觸件。
  8. 如申請專利範圍第1項所述的方法,其中,形成該第一間隔件包括:形成該第一間隔件於該堆疊的第一側和第二側上;形成覆蓋該堆疊的該第一側的一第二遮罩;以及移除未被該第二遮罩所覆蓋的該堆疊的該第二側上的該第一間隔件的一部分。
  9. 如申請專利範圍第8項所述的方法,其中,形成該第二間隔件包括:形成覆蓋該下部區域以及該第一間隔件的至少一部分的一第三遮罩;形成該第二間隔件於該上部區域中的該堆疊的該第一側與該第二側上;形成覆蓋該堆疊的該第二側的一第四遮罩;以及移除未被該第四遮罩所覆蓋的該堆疊的該第一側上的該第二間隔件的一部分。
  10. 如申請專利範圍第1項所述的方法,其中,形成該第一間隔件包括:形成覆蓋該堆疊的該第二側的一第二遮罩;選擇性移除該半導體材料層的一第三子集的第一端部,該半導體材料層選自位於該下部區域中的該第一子集的第一構件,以定義第一端部空腔;以及形成該第一間隔件於該第一端部空腔中。
  11. 如申請專利範圍第10項所述的方法,其中,形成該第二間隔件包括:形成覆蓋該堆疊的該第一側以及該堆疊的該第二側的該下部區域的一第三遮罩;選擇性移除該半導體材料層的一第四子集的第二端部,該半導體材料層選自位於該上部區域中的該第一子集的構件,以定義第二端部空腔;以及形成該第二間隔件於該第二端部空腔中。
  12. 如申請專利範圍第11項所述的方法,其中,該第三子集中的該半導體材料層包括一第一材料,且該第四子集中的該半導體材料層包括可相對於該第一材料選擇性蝕刻的一第二材料。
  13. 如申請專利範圍第1項所述的方法,其中,該第一子集中的該半導體材料層包括一第一材料,且該第二子集中的該半導體材料層包括可相對於該第一材料選擇性蝕刻的一第二材料。
  14. 如申請專利範圍第1項所述的方法,更包括:在形成該側壁間隔件之前,選擇性移除該半導體材料層的一第三子集以定義堆疊空腔;以及形成鄰接該犧牲閘極結構以及位於該堆疊空腔中的該側壁間隔件。
  15. 如申請專利範圍第14項所述的方法,其中,一第一堆疊空腔位於該上部區域與該下部區域之間,且形成該側壁間隔件於該第一堆疊空腔中包括形成分離該上部區域和該下部區域的一閘極堆疊間隔件。
  16. 如申請專利範圍第15項所述的方法,其中,該第一間隔件的一側壁部分至少部分的與該閘極堆疊間隔件的一端部垂直重疊。
  17. 如申請專利範圍第15項所述的方法,其中,一第二堆疊空腔位於該基板與該堆疊之間,且形成該側壁間隔件於該第二堆疊空腔中包括形成分離該基板與該堆疊的一底部間隔件。
  18. 如申請專利範圍第14項所述的方法,其中,該第一子集中的該半導體材料層包括一第一材料,該第二子集中的該半導體材料層包括可相對於該第一材料選擇性蝕刻的一第二材料,且該第三子集中的該半導體材料層包括可相對於該第一材料和該第二材料選擇性蝕刻的一第三材料。
  19. 如申請專利範圍第18項所述的方法,其中,該第一材料、該第二材料以及該第三材料包括具有不同鍺濃度的矽。
  20. 如申請專利範圍第1項所述的方法,其中,該第一間隔件包括一第一材料,且該第二間隔件包括可相對於該第一材料選擇性蝕刻的一第二材料。
TW108122612A 2018-07-27 2019-06-27 具有獨立閘極控制之垂直堆疊互補fet裝置 TWI708318B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/047,456 US10510622B1 (en) 2018-07-27 2018-07-27 Vertically stacked complementary-FET device with independent gate control
US16/047,456 2018-07-27

Publications (2)

Publication Number Publication Date
TW202008507A TW202008507A (zh) 2020-02-16
TWI708318B true TWI708318B (zh) 2020-10-21

Family

ID=68841517

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108122612A TWI708318B (zh) 2018-07-27 2019-06-27 具有獨立閘極控制之垂直堆疊互補fet裝置
TW109132087A TWI752640B (zh) 2018-07-27 2019-06-27 具有獨立閘極控制之垂直堆疊互補fet裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW109132087A TWI752640B (zh) 2018-07-27 2019-06-27 具有獨立閘極控制之垂直堆疊互補fet裝置

Country Status (4)

Country Link
US (2) US10510622B1 (zh)
CN (1) CN110783273B (zh)
DE (1) DE102019209316B4 (zh)
TW (2) TWI708318B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10748935B2 (en) * 2018-06-29 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked vertically isolated MOSFET structure and method of forming the same
KR20210081679A (ko) * 2019-12-24 2021-07-02 삼성전자주식회사 반도체 장치
US11177258B2 (en) * 2020-02-22 2021-11-16 International Business Machines Corporation Stacked nanosheet CFET with gate all around structure
US11798851B2 (en) * 2020-04-14 2023-10-24 International Business Machines Corporation Work function metal patterning for nanosheet CFETs
US11411081B2 (en) 2020-04-22 2022-08-09 Globalfoundries U.S. Inc. Field effect transistor (FET) stack and methods to form same
US11710634B2 (en) 2020-07-17 2023-07-25 Synopsys, Inc. Fabrication technique for forming ultra-high density integrated circuit components
US11742247B2 (en) 2020-07-17 2023-08-29 Synopsys, Inc. Epitaxial growth of source and drain materials in a complementary field effect transistor (CFET)
US11915984B2 (en) 2020-07-17 2024-02-27 Synopsys, Inc. Forming a wrap-around contact to connect a source or drain epitaxial growth of a complimentary field effect transistor (CFET) to a buried power rail (BPR) of the CFET
US11315938B1 (en) * 2020-12-18 2022-04-26 International Business Machines Corporation Stacked nanosheet rom
US11735634B2 (en) * 2021-03-17 2023-08-22 International Business Machines Corporation Complementary 3D nanosheet matrix FETs
US11756837B2 (en) 2021-03-17 2023-09-12 International Business Machines Corporation Hybrid nanosheet tunnel-FET/CMOS technology
US20220336456A1 (en) * 2021-04-19 2022-10-20 Samsung Electronics Co., Ltd. Integrated circuit devices including stacked gate structures with different dimensions
CN115347043A (zh) * 2021-05-14 2022-11-15 三星电子株式会社 纳米片晶体管器件及其形成方法
US11843001B2 (en) 2021-05-14 2023-12-12 Samsung Electronics Co., Ltd. Devices including stacked nanosheet transistors
TW202249290A (zh) * 2021-05-14 2022-12-16 南韓商三星電子股份有限公司 奈米薄片電晶體裝置及其形成方法
KR20230001172A (ko) 2021-06-28 2023-01-04 삼성전자주식회사 반도체 소자
US11916073B2 (en) 2021-08-03 2024-02-27 International Business Machines Corporation Stacked complementary field effect transistors
US20230073078A1 (en) * 2021-08-25 2023-03-09 Intel Corporation Gate-to-gate isolation for stacked transistor architecture via selective dielectric deposition structure
US20230085628A1 (en) * 2021-09-22 2023-03-23 International Business Machines Corporation Hybrid stacked field effect transistors
US11894436B2 (en) 2021-12-06 2024-02-06 International Business Machines Corporation Gate-all-around monolithic stacked field effect transistors having multiple threshold voltages
US20230178435A1 (en) * 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Complementary fet (cfet) devices and methods
US11895818B2 (en) 2022-04-26 2024-02-06 International Business Machines Corporation Stacked FET SRAM
EP4283663A1 (en) * 2022-05-24 2023-11-29 Imec VZW A method for forming a stacked transistor device
US20240145473A1 (en) * 2022-10-26 2024-05-02 International Business Machines Corporation Stacked field effect transistor structure with independent gate control between top and bottom gates

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201719901A (zh) * 2015-09-10 2017-06-01 英特爾股份有限公司 具有腔間隔物的半導體奈米線裝置及用於半導體奈米線裝置的腔間隔物的製造方法
TW201743383A (zh) * 2016-06-02 2017-12-16 Globalfoundries Us Inc 在垂直電晶體裝置上形成取代閘極結構及底部與頂部源極/汲極區之方法
TW201816856A (zh) * 2016-07-27 2018-05-01 格羅方德半導體公司 具有氣隙間隔件之finfet及其形成方法
US9991352B1 (en) * 2017-07-17 2018-06-05 Globalfoundries Inc. Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010386A (en) * 1989-12-26 1991-04-23 Texas Instruments Incorporated Insulator separated vertical CMOS
KR100829616B1 (ko) * 2006-12-27 2008-05-14 삼성전자주식회사 채널 실리콘막 형성 방법 및 이를 이용한 스택형 반도체소자 제조 방법
US7649779B2 (en) * 2007-05-15 2010-01-19 Qimonda Ag Integrated circuits; methods for manufacturing an integrated circuit; memory modules; computing systems
US7776680B2 (en) * 2008-01-03 2010-08-17 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate
US7804130B1 (en) * 2008-08-26 2010-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned V-channel MOSFET
US9059042B2 (en) * 2013-11-13 2015-06-16 Globalfoundries Inc. Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US10164121B2 (en) 2015-11-25 2018-12-25 Samsung Electronics Co., Ltd. Stacked independently contacted field effect transistor having electrically separated first and second gates
US10121873B2 (en) * 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9905643B1 (en) * 2016-08-26 2018-02-27 International Business Machines Corporation Vertically aligned nanowire channels with source/drain interconnects for nanosheet transistors
US10170616B2 (en) * 2016-09-19 2019-01-01 Globalfoundries Inc. Methods of forming a vertical transistor device
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
US10453750B2 (en) * 2017-06-22 2019-10-22 Globalfoundries Inc. Stacked elongated nanoshapes of different semiconductor materials and structures that incorporate the nanoshapes

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201719901A (zh) * 2015-09-10 2017-06-01 英特爾股份有限公司 具有腔間隔物的半導體奈米線裝置及用於半導體奈米線裝置的腔間隔物的製造方法
TW201743383A (zh) * 2016-06-02 2017-12-16 Globalfoundries Us Inc 在垂直電晶體裝置上形成取代閘極結構及底部與頂部源極/汲極區之方法
TW201816856A (zh) * 2016-07-27 2018-05-01 格羅方德半導體公司 具有氣隙間隔件之finfet及其形成方法
US9991352B1 (en) * 2017-07-17 2018-06-05 Globalfoundries Inc. Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device

Also Published As

Publication number Publication date
US10510622B1 (en) 2019-12-17
CN110783273A (zh) 2020-02-11
DE102019209316B4 (de) 2022-07-28
CN110783273B (zh) 2023-08-22
US10784171B2 (en) 2020-09-22
TW202117925A (zh) 2021-05-01
US20200035569A1 (en) 2020-01-30
DE102019209316A1 (de) 2020-01-30
TW202008507A (zh) 2020-02-16
TWI752640B (zh) 2022-01-11

Similar Documents

Publication Publication Date Title
TWI708318B (zh) 具有獨立閘極控制之垂直堆疊互補fet裝置
US9991352B1 (en) Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device
US9412822B2 (en) Methods of forming stressed channel regions for a FinFET semiconductor device and the resulting device
US9219153B2 (en) Methods of forming gate structures for FinFET devices and the resulting semiconductor products
US9236479B2 (en) Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US9966456B1 (en) Methods of forming gate electrodes on a vertical transistor device
US10651293B2 (en) Methods of simultaneously forming bottom and top spacers on a vertical transistor device
TWI412106B (zh) 積體電路
US10141312B2 (en) Semiconductor devices including insulating materials in fins
US10304833B1 (en) Method of forming complementary nano-sheet/wire transistor devices with same depth contacts
US9263580B2 (en) Methods of forming isolated channel regions for a FinFET semiconductor device and the resulting device
US9064890B1 (en) Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
US20180358450A1 (en) Semiconductor devices
US8664055B2 (en) Fin field-effect transistor structure and manufacturing process thereof
US11791422B2 (en) Semiconductor device with fish bone structure and methods of forming the same
US11637092B2 (en) Semiconductor structure and forming method thereof
US20180261595A1 (en) Method for forming a protection device having an inner contact spacer and the resulting devices
US9711644B2 (en) Methods of making source/drain regions positioned inside U-shaped semiconductor material using source/drain placeholder structures
US20160013291A1 (en) Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
US20230109148A1 (en) Semiconductor device
US20210249531A1 (en) Nanostructure Device and Method