TWI707427B - 用於減少可靠接合墊污染之方法及裝置 - Google Patents
用於減少可靠接合墊污染之方法及裝置 Download PDFInfo
- Publication number
- TWI707427B TWI707427B TW107142079A TW107142079A TWI707427B TW I707427 B TWI707427 B TW I707427B TW 107142079 A TW107142079 A TW 107142079A TW 107142079 A TW107142079 A TW 107142079A TW I707427 B TWI707427 B TW I707427B
- Authority
- TW
- Taiwan
- Prior art keywords
- wafer
- active surface
- layer
- feol
- cleaning process
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 122
- 238000011109 contamination Methods 0.000 title claims description 19
- 125000006850 spacer group Chemical group 0.000 claims abstract description 54
- 238000004140 cleaning Methods 0.000 claims abstract description 35
- 239000004065 semiconductor Substances 0.000 claims abstract description 22
- 150000004767 nitrides Chemical class 0.000 claims abstract description 16
- 238000005108 dry cleaning Methods 0.000 claims abstract description 13
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims abstract description 8
- 238000005530 etching Methods 0.000 claims description 25
- 229910052782 aluminium Inorganic materials 0.000 claims description 5
- 229910000040 hydrogen fluoride Inorganic materials 0.000 claims description 3
- 235000012431 wafers Nutrition 0.000 abstract description 110
- 238000003860 storage Methods 0.000 abstract description 6
- 239000010410 layer Substances 0.000 description 178
- 238000002161 passivation Methods 0.000 description 18
- 239000000758 substrate Substances 0.000 description 14
- 229920000642 polymer Polymers 0.000 description 12
- 229910052751 metal Inorganic materials 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 6
- 238000005520 cutting process Methods 0.000 description 6
- 229910052731 fluorine Inorganic materials 0.000 description 6
- 239000011737 fluorine Substances 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 239000000356 contaminant Substances 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 5
- 229920005591 polysilicon Polymers 0.000 description 5
- 239000013078 crystal Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 238000006115 defluorination reaction Methods 0.000 description 3
- 239000002019 doping agent Substances 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 3
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 3
- 229910018503 SF6 Inorganic materials 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 239000008367 deionised water Substances 0.000 description 2
- 229910021641 deionized water Inorganic materials 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910016569 AlF 3 Inorganic materials 0.000 description 1
- KLZUFWVZNOTSEM-UHFFFAOYSA-K Aluminium flouride Chemical group F[Al](F)F KLZUFWVZNOTSEM-UHFFFAOYSA-K 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
- H01L21/02049—Dry cleaning only with gaseous HF
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02054—Cleaning before device manufacture, i.e. Begin-Of-Line process combining dry and wet cleaning steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02082—Cleaning product to be cleaned
- H01L21/0209—Cleaning of wafer backside
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/50—Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
- H01L21/60—Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
- H01L2021/60007—Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
- H01L2021/60022—Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
- H01L2021/60045—Pre-treatment step of the bump connectors prior to bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
- H01L2224/034—Manufacturing methods by blanket deposition of the material of the bonding area
- H01L2224/03444—Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
- H01L2224/0345—Physical vapour deposition [PVD], e.g. evaporation, or sputtering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/03—Manufacturing methods
- H01L2224/038—Post-treatment of the bonding area
- H01L2224/0381—Cleaning, e.g. oxide removal step, desmearing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/04042—Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
- H01L2224/0554—External layer
- H01L2224/05599—Material
- H01L2224/056—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/05617—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
- H01L2224/05624—Aluminium [Al] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/03—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L24/05—Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本揭露通常涉及用於清洗晶圓的後側的方法。可使用濕式清洗方法,通過使用清洗溶液來剝離位於該晶圓的該後側上的最上間隙壁層。在一個實施例中,可採用氟化氫(HF)溶液來移除氮化物/氧化物間隙壁層。在另一個實施例中,可採用乾式清洗方法來蝕刻位於晶邊區處的該晶圓。自該晶圓後側完全移除殘餘物。此方法提升半導體晶圓的良率及儲存壽命。
Description
本揭露通常涉及半導體裝置。尤其,本揭露涉及減少裝置中的接合墊氟污染,以提升接合可靠性。
積體電路(IC)通常包括形成於單個半導體晶圓上的大量微電子裝置或晶粒。在該晶圓與晶圓封裝件的外部電極之間的電性連接通常通過接合線以及設於該晶圓上的接合墊建立。
例如,我們在接合墊的表面上觀察到污染,例如氟(F)污染,即使是在使用氧/氮/氫正型光阻剝離劑(O2/N2/H2 PRS)脫氟的情況下,尤其是在具有深溝槽的晶圓上(該深溝槽形成用於切割該晶圓的切割道)。氟污染導致接合線與接合墊之間的不可靠接合。例如,接合墊中的F可導致接合斷裂。在極端情況下,過量的F可能導致難以在接合線與接合墊之間形成接合。如此,F污染可引起接合失效並導致低良率。
本揭露涉及減少接合墊中的F污染以提升接合可靠性。
本揭露的實施例通常涉及具有減少的接合墊污染的半導體裝置。在一個實施例中,揭露一種用於形成半導體晶圓的方法。形成具有作用表面及非作用表面的晶圓襯底。在該晶圓襯底的該非作用表面上形成前端工藝(front-end-of-line;FEOL)層,其中,該FEOL層包括設於該晶圓襯底的該非作用表面上的一個或多個閘極層以及設於該閘極層上的最上層。在該晶圓襯底的該作用表面上形成後端工藝(back-end-of-line;BEOL)介電質,其中,形成BEOL介電質包括在該BEOL介電質中形成深溝槽,以及在該BEOL介電質上形成接合墊。在該晶圓襯底的該非作用表面上執行清洗製程,其中,該清洗製程移除該FEOL層的該最上層的至少部分。
在另一個實施例中,說明另一種用於形成半導體晶圓的方法。該方法包括形成具有作用表面及非作用表面的晶圓襯底。在該晶圓襯底的該非作用表面上形成前端工藝(FEOL)層,其中,該FEOL層包括設於該晶圓襯底的該非作用表面上的一個或多個閘極層以及設於該閘極層上的最上層。接著,在該晶圓襯底的該非作用表面上執行清洗製程,其中,該清洗製程移除該FEOL層的該最上層的至少部分。
在又一個實施例中,揭露一種裝置。該裝置包括具有作用表面及非作用表面的晶圓襯底。在該晶圓的該作用表面上設置後端工藝(BEOL)介電質。在該BEOL
介電質上設置接合墊,以及在該BEOL介電質中形成深溝槽,以暴露該晶圓襯底的該作用表面。在該晶圓的該非作用表面上設置前端工藝(FEOL)層,其中,該FEOL層包括設於該晶圓的該非作用表面上的一個或多個閘極層,其中,該一個或多個閘極層包含污染減少的頂部表面。
通過參照下面的說明及附圖,本文中所揭露的實施例的這些及其它優點和特徵將會變得更加清楚。而且,應當理解,本文中所述各種實施例的特徵並不相互排斥,而是可存在於各種組合和排列中。
100:半導體晶圓
102:作用表面
110:裝置、IC
118:切口區、切割道
200:半導體晶圓
201:半導體晶圓、晶圓
202:頂部表面
204:底部表面、後側
240:FEOL層
250:FEOL層式堆疊
251:閘極層
252:閘極介電層
2521:第一閘極介電層
2522:第二閘極介電層
2523:第三閘極介電層
254:閘極電極層
2551:第一閘極電極層
2552:第二閘極電極層
2553:第三閘極電極層
256:間隙壁層
2571:第一間隙壁層
2572:第二間隙壁層
260:BEOL介電質
270:墊層級
272:下方鈍化層
274:上方鈍化層、最終鈍化層
276:墊過孔
278:接觸、接合墊
280:深溝槽
285:開口
300:部分處理晶圓
309:晶邊區
350:FEOL層式堆疊
400:程序
410:FEOL製程
420:BEOL製程
430:後側製程
440:前側製程
包含於並構成本說明書的一部分的附圖(其中,類似的附圖標記表示類似的元件)顯示本揭露的較佳實施例,並與說明一起用以解釋本揭露的各種實施例的原理。
第1圖顯示半導體晶圓的頂視圖;
第2a圖顯示經部分處理後的晶圓的簡化剖視圖;
第2b至2c圖顯示位於該處理晶圓的後側上的層式堆疊的示例實施例;
第3a至3c圖顯示具有減少的墊污染的部分處理晶圓的實施例的簡化剖視圖;以及
第4圖顯示用於移除位於晶圓的後側的殘餘物的程序的實施例。
本揭露的實施例通常涉及半導體裝置,例如積體電路(IC),其具有污染減少的接合墊以提升接合可靠性、提升儲存壽命。該IC可為任意類型的IC。該IC可被納入各種類型的電子產品中,包括例如電腦、電視機、行動電話、汽車,以及其它產品。
第1圖顯示半導體晶圓100的頂視圖。該晶圓例如可為矽襯底。也可使用其它類型的晶圓。例如,該晶圓可為矽鍺、鍺、砷化鎵,或絕緣體上晶體(crystal-on-insulator;COI)如絕緣體上矽(silicon-on-insulator;SOI)晶圓。該晶圓可為摻雜晶圓。例如,該晶圓可經p型摻雜物輕摻雜。也可設置具有其它類型摻雜物或摻雜物濃度的晶圓以及未摻雜或本征晶圓。
該晶圓可經處理以形成多個裝置或IC 110。如圖所示,該IC可為呈行列佈置的矩形或方形IC。由於該晶圓呈圓形,因此該IC經設置以最大化該晶圓上的IC的數目。在IC的行與列之間是切口區或切割道118。該切口區或該切割道足夠寬,以容置用以切割該晶圓的晶圓鋸。這避免損傷該IC的電路組件。
為形成該IC,在該晶圓的表面上執行前端工藝(front-end-of-line;FEOL)製程。例如,在該晶圓的前表面或作用表面102執行FEOL製程。該FEOL製程形成電路組件,例如電晶體。該FEOL製程復可形成其它類型的電路組件,例如記憶體單元以及其它組件。例如,FEOL製程包括形成隔離區,例如電晶體的淺溝槽隔離(shallow
trench isolation;STI)區以及摻雜阱。可形成並圖案化電晶體的各種閘極層以形成電晶體的閘極。該閘極層可包括閘極介電質以及閘極電極層。可通過離子注入形成輕摻雜延伸區。該輕摻雜延伸區鄰近該閘極的第一及第二側形成並延伸於該閘極下方。在該閘極的該第一及第二側上的側壁上形成介電閘極側間隙壁,接著執行離子注入以形成重摻雜源/漏(S/D)區。該S/D區通過該閘極側間隙壁偏離該閘極的該第一及第二側上的該閘極側壁。閘極側間隙壁可由單個介電層或多個介電層形成,例如氧化矽、氮化矽或其組合。復可與形成該S/D區同時形成用於偏置電晶體阱的阱接觸。其它製程可包括在該S/D區、閘極及阱接觸上方形成金屬矽化物接觸。
在形成該電晶體的過程中,復可在該晶圓的後側上形成各種層。例如,在該晶圓的前側及後側上可沉積通過熱製程以及通過化學氣相沉積(chemical vapor deposition;CVD)(例如低壓CVD(low pressure CVD;LPCVD))所形成的層。形成於該晶圓的前側及後側上的該些層可包括閘極介電質、多晶矽閘極電極以及介電間隙壁層。依據該製程,可形成多個閘極介電層、多個閘極電極層以及或者多個間隙壁層。該閘極介電層可通過使用熱氧化形成,而該閘極電極及間隙壁層可通過LPCVD形成。形成於該前側上的該些層經圖案化以形成該電晶體,而位於該後側上的該些層未經處理。
在FEOL製程以後,執行後端工藝(back-end-
of-line;BEOL)製程。該BEOL製程形成具有多個層間介電(interlevel dielectric;ILD)層級的BEOL介電質,各層級包括具有過孔接觸的過孔層級以及具有金屬線的金屬層級。各種ILD層級提供電路組件的互連,以形成IC。在頂部ILD層級上方可設置墊層級。該墊層級包括接觸或接合墊,例如鋁(Al)墊,以提供與該IC的外部連接。在該墊層級上方可設置鈍化層,其具有暴露該接觸墊的開口。該墊層級可包括重佈層(redistribution layer;RDL),以根據需要設置該接合墊。
我們在該晶圓的前側上觀察到污染,例如氟(F),尤其是在具有深溝槽(形成切割道)的晶圓上。位於鋁接合墊上的F污染可引起晶粒失效,因此縮短晶圓的儲存壽命。例如,在接合之前的晶圓儲存期間,例如,F與環境中的水分子反應,從而形成氟化氫(HF)。該HF與氧化鋁(Al2O3)反應,從而在該鋁(Al)接合墊上形成氟化鋁(AlF3)殘餘物。位於該接合墊上的該AlF3殘餘物可在晶粒中引起接合失效。該失效晶粒主要位於晶圓邊緣。
不受理論約束,人們認為F污染物的來源是例如在該BEOL介電質的深溝槽蝕刻製程期間黏附至晶邊區附近的該晶圓後側的聚合物殘餘物。該F自該晶圓的後側上的該聚合物殘餘物排出並沉積於該晶圓的前側上,例如該Al接合墊上。即使在通過O2灰化脫氟以後,F污染仍可能引起失效問題,從而導致低良率。
第2a圖顯示經部分處理後的半導體晶圓200
的部分的簡化剖視圖。如圖所示,該部分處理晶圓包括具有頂部及底部表面202及204的半導體晶圓201。該頂部表面可被稱為作用表面且該底部表面可被稱為非作用表面。FEOL層240通過FEOL製程處理以形成電路組件,例如電晶體,如虛線所示。復可形成其它電路組件。例如,可形成並圖案化該電晶體的各種層,以形成具有側間隙壁的電晶體閘極。例如,可形成並圖案化閘極介電質、閘極電極以及間隙壁層。該各種層可通過熱氧化、LPCVD以及其它沉積製程形成。依據電路組件的製程或類型,可具有單個或多個閘極介電、電極及間隙壁層。例如,在非易失性記憶體(non-volatile memory;NVM)單元的情況下,可具有兩個或更多多晶矽電極層。至於間隙壁層,可具有多個間隙壁層以形成間隙壁堆疊,例如氧化矽及氮化矽間隙壁堆疊。
後端工藝製程形成BEOL介電質260及互連。該BEOL介電質包括多個層間介電(ILD)層級,該層間介電層級分別包括具有過孔接觸的過孔層級以及具有金屬線的金屬層級。該各種ILD層級提供電路組件之間的互連,以形成IC。在該ILD層級上形成墊層級270。該墊層級可包括下方鈍化層272以及上方或最終鈍化層274。在該鈍化層內設置接觸或接合墊278。如圖所示,該接合墊設於該下方鈍化層上並與該BEOL介電質的最上ILD層級中的金屬線耦接。例如,該接合墊與該最上ILD層級(mx)中的金屬線耦接,其中,x是該ILD層級的數目。在墊過孔
276中可設置墊過孔接觸。該墊過孔接觸及接合墊可由Al形成。
為形成該墊過孔接觸及接合墊,在該下方鈍化層中形成墊過孔276。通過例如濺鍍在該襯底上形成導電墊層,例如Al。該墊層填充該墊過孔並覆蓋該下方鈍化層。該厚度足以形成具有所需厚度的接合墊。圖案化製程(例如使用蝕刻掩膜的蝕刻)形成該接合墊及墊過孔接觸。該蝕刻例如為反應離子蝕刻(reactive ion etch;RIE)。也可使用其它類型的圖案化製程。在該下方鈍化層及接合墊上形成上方或最終鈍化層274。該上方鈍化層可為聚醯亞胺層。在該上方鈍化層中形成開口285,以暴露該Al接合墊。
用於形成閘極的各種層的FEOL製程也可在該晶圓的後側上形成FEOL層式堆疊250。該FEOL層式堆疊例如包括電晶體的多個層,例如閘極介電、閘極電極以及間隙壁層。依據電路組件的製程或類型,可具有單個或多個閘極介電、電極及間隙壁層。
接著,在該BEOL介電質中形成深溝槽280,以形成該晶圓的切割道。在一個實施例中,該深溝槽暴露該襯底的表面。依據該深溝槽蝕刻的製程,該深溝槽可具有不同的深度。
第2b至2c圖顯示位於部分處理晶圓上的FEOL層式堆疊的不同示例實施例200。請參照第2b圖,在晶圓201的後側204上設置FEOL層式堆疊250。FEOL
層式堆疊例如包括電晶體或電路組件的多個層。FEOL層式堆疊可包括閘極層251以及間隙壁層256。該閘極層例如包括位於該晶圓的後側上的閘極介電層252,例如熱氧化物,以及設於該閘極介電層上方的閘極電極層254,例如多晶矽。也可使用其它類型或配置的閘極層。
至於間隙壁層256,它設於該閘極層上方。例如,該間隙壁層設於該閘極電極層上。該間隙壁層可為具有多個介電間隙壁層的間隙壁堆疊。例如,該間隙壁堆疊可包括第一間隙壁層及第二間隙壁層2571-2。該第一間隙壁層可為氧化矽層,而該第二間隙壁層可為氮化矽層。該氮化矽層可為六氯二矽烷(HCD)氮化物層。也可使用其它類型的氮化物層或間隙壁層或堆疊。例如,該間隙壁堆疊可包括多個氮化物/氧化物(N/O)層,例如N/O/N/O堆疊。也可設置其它類型的電晶體及間隙壁層。
請參照第2c圖,第二FEOL層式堆疊250包括與第一FEOL層式堆疊250類似的層。共同元件可能不作說明或不作詳細說明。與第2b圖的FEOL層式堆疊不同,第2c圖的FEOL層式堆疊包括具有多個閘極電極層的閘極電極堆疊254。如圖所示,該閘極電極堆疊包括第一、第二及第三閘極電極層2551、2552及2553,以及設於該閘極電極層之間的第一、第二及第三閘極介電層2521、2522及2523。該閘極電極層可為多晶矽層且該閘極介電層可為氧化物層。該多個閘極電極層可為NVM單元的閘極的各種閘極電極層。在閘極電極堆疊254上設置間隙壁層256,
例如具有第一及第二間隙壁層2571-2的間隙壁堆疊。也可使用其它配置的FEOL層式堆疊。
我們發現聚合物殘餘物沉積於該晶圓的晶圓後側上。該聚合物殘餘物沉積於例如用以形成切割道的該BEOL介電質的深溝槽蝕刻製程期間。而且,我們觀察到,該晶圓後側的晶邊區更易於具有聚合物殘餘物。位於該晶圓的後側的該聚合物殘餘物是污染該Al接合墊的污染物的來源。即使在通過O2灰化對該接合墊脫氟以後,F污染物仍能在該晶圓的前側觀察到並引起失效問題,從而導致低良率。
第3a至3c圖顯示部分處理晶圓300的實施例的簡化剖視圖,該部分處理晶圓具有減少的接合墊污染,以提升接合可靠性。該部分處理晶圓與第2a圖的部分處理晶圓類似。共同元件可能不作說明或不作詳細說明。
請參照第3a圖,部分處理晶圓300通過FEOL製程處理以在頂部晶圓表面202上形成電晶體及其它電路組件。執行BEOL製程以形成具有多個ILD層級的BEOL介電質。該各種ILD層級為電路組件提供互連,以形成IC。在該ILD層級上形成墊層級270。該墊層級可包括下方鈍化層272以及上方或最終鈍化層274。在該鈍化層內設置接觸或接合墊278。如圖所示,該接合墊設於該下方鈍化層上並與該BEOL介電質的最上ILD層級中的金屬線耦接。在墊過孔276中可設置墊過孔接觸。該墊過孔接觸及接合墊可由Al形成。
底部或後側晶圓表面204包括FEOL層式堆疊350。該FEOL層式堆疊可與第2b圖中所述的堆疊2001-2類似。共同元件可不作說明或不作詳細說明。在一個實施例中,處理該晶圓的後側以移除該FEOL堆疊的最上層。例如,該最上層為間隙壁層。該間隙壁層可為間隙壁堆疊,例如具有設於氧化物層上的氮化物層。該氮化物層可為HCD氮化矽。也可使用其它類型的間隙壁層或間隙壁層堆疊。利用後側清洗製程可實現該間隙壁層的移除。該後側清洗製程可採用相對該閘極電極層對該間隙壁層具有高蝕刻選擇性的清洗溶液。例如,與該閘極電極層例如多晶矽相比,該後側清洗溶液以高蝕刻速率移除該間隙壁層,例如該氮化物及氧化物層。在一個實施例中,該清洗溶液為49%氟化氫(HF)溶液。也可使用其它類型的清洗溶液。
在一個實施例中,可提供保護媒體,以在該濕式清洗製程期間保護該FEOL層。可使用去離子水或氮氣以在該濕式清洗製程期間保護該FEOL層。例如,可通過卡盤上的噴嘴在該晶圓上分配去離子水或氮氣。也可使用其它方法來保護該閘極層。
移除該最上層也移除位於該晶圓的後側上的該最上層的頂部上的任意聚合物殘餘物。如所述的那樣,F污染物的來源是黏附至該晶圓後側的聚合物殘餘物。因此,移除位於該晶圓的後側上的聚合物殘餘物減小對該晶圓的前側上的接合墊的F污染。
至於第3b圖的部分處理晶圓,它與第3a圖
及第2a圖的晶圓類似。共同元件將不作說明或不作詳細說明。如圖所示,通過FEOL及BEOL製程處理該晶圓。在該晶圓的後側表面204上形成FEOL堆疊350。該FEOL層式堆疊可與第2b圖中所述的堆疊類似。共同元件可能不作說明或不作詳細說明。
在一個實施例中,處理該晶圓的後側以通過乾式清洗製程移除位於該晶圓的晶邊區309處的該層式堆疊的部分。例如,該乾式清洗製程包括晶邊蝕刻製程,以移除位於該晶圓的晶邊區處的該層式堆疊的部分。該晶邊蝕刻例如可採用掩膜,例如阻劑掩膜,其形成於該層式堆疊上。除該晶邊區上方以外,該阻劑掩膜保護該層式堆疊。在一個實施例中,可使用製程禁區(process exclusion zone;PEZ)環來保護該層式堆疊。該晶邊蝕刻移除該FEOL堆疊的最上層的部分以及閘極層的部分,從而暴露該晶圓的後側表面。在另一個實施例中,該晶邊蝕刻僅移除該最上層的部分,從而暴露該BEOL堆疊的該閘極層,如第3c圖中所示。例如,最上層為氮化物/氧化物層。該蝕刻深度可例如通過定製氣體比例、射頻(RF)功率以及/或者氣體流量來實現。也可使用其它方法來調節該蝕刻深度。該晶邊蝕刻可為非等向性蝕刻,例如反應離子蝕刻(RIE)。例如,該晶邊蝕刻可為使用六氟化硫(SF6)及四氟甲烷(CF4)的等離子體晶邊蝕刻。也可使用其它類型的蝕刻劑。
移除位於該晶邊區的該層式堆疊復移除位於後側上的該層式堆疊的頂部上的任意聚合物殘餘物。如圖
所示,F污染物的來源是黏附至該晶圓後側尤其該晶圓的晶邊區的聚合物殘餘物。因此,移除位於該晶圓後側的晶邊區處的聚合物殘餘物減少對該晶圓的前側上的該接合墊的F污染。
第4圖顯示形成裝置的程序400的實施例。可通過FEOL製程410處理晶圓例如矽晶圓,以形成多個裝置的電路組件,例如電晶體。也可形成其它類型的電路組件,例如NVM單元。在FEOL製程以後,可執行BEOL製程420。該BEOL製程形成BEOL介電質,該BEOL介電質包括多個ILD層級,該ILD層級具有金屬線及過孔接觸以互連該電路組件。此外,在最上ILD層級上方形成墊層級。該墊層級包括接合墊,用以提供與該裝置的外部連接。該BEOL製程復包括深溝槽蝕刻,以在切割道處的該BEOL介電質中形成深溝槽。
在該晶圓的後側表面上執行後側製程430。如所述那樣,該FEOL製程在該晶圓的後側表面上形成FEOL層式堆疊。該FEOL層式堆疊可包括閘極層及最上層。該閘極層可包括位於閘極介電質上方的閘極電極層。在一些實施例中,該閘極電極層可為具有多個閘極電極層的閘極電極堆疊。至於最上層,它可為間隙壁層,其可為單個間隙壁層或間隙壁堆疊,例如位於氧化物層上方的氮化物層。也可使用其它配置的FEOL層式堆疊。
在一個實施例中,後側製程可包括移除該間隙壁層,保留位於該晶圓的後側上的該閘極層。或者,後
側製程可包括後側晶邊蝕刻,以移除位於該晶圓的後側的晶邊部分中的該層式堆疊的部分。在後側製程以後,執行前側製程440。該前側製程包括脫氟步驟,例如PRS,如O2灰化。該PRS用以對該接合墊脫氟。該程序可繼續完成形成該裝置。
所述實施例導致各種優點。如上所述,可完全移除位於該晶圓的後側的殘餘物。通過移除氟污染的來源,也可減少該接合墊上的氟污染。因此,可實現較高的半導體晶片良率。而且,也可減少在長儲存時間期間的污染。這提升半導體晶圓的儲存壽命。本揭露的各種實施例可適應利用深溝槽蝕刻製程形成切割道的任意切割方法。而且,本實施例符合成本效益。
可以其它特定形式實施本揭露而不背離本揭露的精神或基本特徵。因此,上述實施例在各方面被視為示例性質而非限制這裡所述的本揭露。因此,本揭露的範圍由所附申請專利範圍而非上述說明表示,且意圖包括在該申請專利範圍的均等意義及範圍內的所有變更。
400:程序
410:FEOL製程
420:BEOL製程
430:後側製程
440:前側製程
Claims (17)
- 一種用於形成半導體晶圓的方法,該方法包括:提供晶圓,該晶圓包括在該晶圓的前側上的作用表面及在該晶圓的後側上的非作用表面,其中,該作用表面及該非作用表面為該晶圓的相對表面,該作用表面為在其上形成電晶體的表面;在該晶圓的該作用表面及該非作用表面上形成前端工藝(front-end-of-line;FEOL)層,其中,該FEOL層包括設於該晶圓的該作用表面及該非作用表面上的一個或多個閘極層以及設於該一個或多個閘極層上的最上層;處理該晶圓的該作用表面以形成該電晶體;在該晶圓的該作用表面上形成具有互連的後端工藝(back-end-of-line;BEOL)介電質;以及在該晶圓的該後側上執行清洗製程,其中,執行該清洗製程包括執行乾式清洗製程,該乾式清洗製程移除該晶圓的晶邊區處的該非作用表面上的該FEOL層的該最上層的部分,並且該乾式清洗製程暴露該非作用表面上的該FEOL層的該一個或多個閘極層。
- 如申請專利範圍第1項所述的方法,其中,形成該BEOL介電質包括在該BEOL介電質上形成接合墊以及在該BEOL介電質中形成深溝槽。
- 如申請專利範圍第1項所述的方法,其中,該接合墊為鋁接合墊。
- 如申請專利範圍第1項所述的方法,其中,該最上層為包括氮化物層的間隙壁堆疊。
- 如申請專利範圍第3項所述的方法,其中,該間隙壁堆疊復包括設於該氮化物層下方的氧化物層。
- 如申請專利範圍第1項所述的方法,其中,執行該清洗製程包括執行濕式清洗製程,其中,該濕式清洗製程使用清洗溶液以移除該最上層,其中,該清洗溶液對該非作用表面上的該FEOL層的該最上層具有高蝕刻選擇性。
- 如申請專利範圍第6項所述的方法,其中,執行該清洗溶液為氟化氫(HF)溶液,其中,該HF溶液對該非作用表面上的該FEOL層的該最上層具有高蝕刻選擇性,以及其中,該非作用表面上的該FEOL層的該最上層為氮化物層。
- 一種用於形成半導體晶圓的方法,該方法包括:提供晶圓,該晶圓包括在該晶圓的前側上的作用表面及在該晶圓的後側上的非作用表面,其中,該作用表面及該非作用表面為該晶圓的相對表面,該作用表面為在其上形成電晶體的表面;在該晶圓的該作用表面及該非作用表面上形成前端工藝(front-end-of-line;FEOL)層,其中,該FEOL層包括設於該晶圓的該作用表面及該非作用表面上的一個或多個閘極層以及設於該一個或多個閘極層上的最上層; 處理該晶圓的該作用表面以形成該電晶體;在該晶圓的該作用表面上形成具有互連的後端工藝(back-end-of-line;BEOL)介電質;以及在該晶圓的該後側上執行清洗製程,其中,執行該清洗製程包括執行乾式清洗製程,其中,該乾式清洗製程移除該晶圓的晶邊區處的該非作用表面上的該FEOL層的該一個或多個閘極層的部分及該最上層的部分,並且該乾式清洗製程暴露該晶圓的該非作用表面。
- 一種用於形成半導體晶圓的方法,該方法包括:提供晶圓,該晶圓包括在該晶圓的前側上的作用表面及在該晶圓的後側上的非作用表面,其中,該作用表面及該非作用表面為該晶圓的相對表面,該作用表面為在其上形成電晶體的表面;在該晶圓的該作用表面及該非作用表面上形成前端工藝(front-end-of-line;FEOL)層,其中,該FEOL層包括設於該晶圓的該作用表面及該非作用表面上的一個或多個閘極層以及設於該一個或多個閘極層上的最上層;以及在該晶圓的該後側上執行清洗製程,其中,執行該清洗製程包括執行乾式清洗製程,該乾式清洗製程移除該晶圓的晶邊區處的該非作用表面上的該FEOL層的該最上層的部分,並且該乾式清洗製程暴露該非作用表面上的該FEOL層的該一個或多個閘極層。
- 如申請專利範圍第9項所述的方法,復包括:處理該晶圓的該作用表面以形成該電晶體;以及在該晶圓的該非作用表面上形成具有互連的後端工藝(back-end-of-line;BEOL)介電質,其中,形成該BEOL介電質包括在該BEOL介電質上形成接合墊;以及在該BEOL介電質中形成深溝槽。
- 如申請專利範圍第10項所述的方法,其中,形成該接合墊包括形成鋁接合墊。
- 如申請專利範圍第9項所述的方法,其中,該非作用表面上的該FEOL層的該最上層包括氮化物層。
- 如申請專利範圍第12項所述的方法,其中,執行該清洗製程包括執行濕式清洗製程,其中,該濕式清洗製程使用清洗溶液以移除該最上氮化物層,其中,該清洗溶液對該非作用表面上的該FEOL層的該最上氮化物層具有高蝕刻選擇性。
- 如申請專利範圍第13項所述的方法,其中,執行該清洗溶液為氟化氫(HF)溶液,其中,該HF溶液對該非作用表面上的該FEOL層的該最上氮化物層具有高蝕刻選擇性。
- 一種用於形成半導體晶圓的方法,該方法包括:提供晶圓,該晶圓包括在該晶圓的前側上的作用表面及在該晶圓的後側上的非作用表面,其中,該作 用表面及該非作用表面為該晶圓的相對表面,該作用表面為在其上形成電晶體的表面;在該晶圓的該作用表面及該非作用表面上形成前端工藝(front-end-of-line;FEOL)層,其中,該FEOL層包括設於該晶圓的該作用表面及該非作用表面上的一個或多個閘極層以及設於該一個或多個閘極層上的最上層;以及在該晶圓的該後側上執行清洗製程,其中,執行該清洗製程包括執行乾式清洗製程,其中,該乾式清洗製程移除位於該晶圓的晶邊區處的該非作用表面上的該FEOL層的該一個或多個閘極層的部分及該最上層的部分,並且該乾式清洗製程暴露該晶圓的該非作用表面。
- 一種半導體晶圓,包括:晶圓,具有作用表面及非作用表面;後端工藝(back-end-of-line;BEOL)介電質,設於該晶圓的該作用表面上,其中,在該BEOL介電質上設置接合墊,以及在該BEOL介電質中形成深溝槽,以暴露該晶圓的該作用表面;前端工藝(front-end-of-line;FEOL)層,設於該晶圓的該非作用表面上,其中,該FEOL層包括設於該晶圓的該非作用表面上的一個或多個閘極層,其中,該一個或多個閘極層包含污染減少的頂部表面;以及 間隙壁層,設於該晶圓的該非作用表面上的該一個或多個閘極層上,其中,在該晶圓的晶邊區移除該間隙壁層的部分,以暴露位於該晶圓的該晶邊區處的該一個或多個閘極層。
- 一種半導體晶圓,包括:晶圓,具有作用表面及非作用表面;後端工藝(back-end-of-line;BEOL)介電質,設於該晶圓的該作用表面上,其中,在該BEOL介電質上設置接合墊,以及在該BEOL介電質中形成深溝槽,以暴露該晶圓的該作用表面;前端工藝(front-end-of-line;FEOL)層,設於該晶圓的該非作用表面上,其中,該FEOL層包括設於該晶圓的該非作用表面上的一個或多個閘極層,其中,該一個或多個閘極層包含污染減少的頂部表面;以及間隙壁層,設於該晶圓的該非作用表面上的該一個或多個閘極層上,其中,在該晶圓的晶邊區移除該間隙壁層的部分及該一個或多個閘極層的部分,以暴露位於該晶圓的該晶邊區處的該晶圓。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/857,546 | 2017-12-28 | ||
US15/857,546 US10410854B2 (en) | 2017-12-28 | 2017-12-28 | Method and device for reducing contamination for reliable bond pads |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201937652A TW201937652A (zh) | 2019-09-16 |
TWI707427B true TWI707427B (zh) | 2020-10-11 |
Family
ID=67058463
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107142079A TWI707427B (zh) | 2017-12-28 | 2018-11-26 | 用於減少可靠接合墊污染之方法及裝置 |
Country Status (2)
Country | Link |
---|---|
US (1) | US10410854B2 (zh) |
TW (1) | TWI707427B (zh) |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130062777A1 (en) * | 2011-09-08 | 2013-03-14 | Renesas Electronics Corporation | Semiconductor integrated circuit device |
US20130320450A1 (en) * | 2012-05-29 | 2013-12-05 | Globalfoundries | Middle in-situ doped sige junctions for pmos devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5731243A (en) | 1995-09-05 | 1998-03-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cleaning residue on a semiconductor wafer bonding pad |
US6953724B2 (en) * | 2003-09-25 | 2005-10-11 | International Business Machines Corporation | Self-limited metal recess for deep trench metal fill |
US7015146B2 (en) * | 2004-01-06 | 2006-03-21 | International Business Machines Corporation | Method of processing backside unlayering of MOSFET devices for electrical and physical characterization including a collimated ion plasma |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
JP2007103694A (ja) * | 2005-10-05 | 2007-04-19 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
US7871885B2 (en) * | 2006-12-20 | 2011-01-18 | Dongbu Hitek Co., Ltd. | Manufacturing method of flash memory device |
US7968443B2 (en) * | 2008-12-26 | 2011-06-28 | Texas Instruments Incorporated | Cross-contamination control for processing of circuits comprising MOS devices that include metal comprising high-K dielectrics |
US9947594B2 (en) * | 2016-09-19 | 2018-04-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
-
2017
- 2017-12-28 US US15/857,546 patent/US10410854B2/en active Active
-
2018
- 2018-11-26 TW TW107142079A patent/TWI707427B/zh not_active IP Right Cessation
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20130062777A1 (en) * | 2011-09-08 | 2013-03-14 | Renesas Electronics Corporation | Semiconductor integrated circuit device |
US20130320450A1 (en) * | 2012-05-29 | 2013-12-05 | Globalfoundries | Middle in-situ doped sige junctions for pmos devices on 28 nm low power/high performance technologies using a silicon oxide encapsulation, early halo and extension implantations |
Also Published As
Publication number | Publication date |
---|---|
US20190206676A1 (en) | 2019-07-04 |
TW201937652A (zh) | 2019-09-16 |
US10410854B2 (en) | 2019-09-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7265050B2 (en) | Methods for fabricating memory devices using sacrificial layers | |
US12062578B2 (en) | Prevention of contact bottom void in semiconductor fabrication | |
US10170362B2 (en) | Semiconductor memory device with bit line contact structure and method of forming the same | |
US9953857B2 (en) | Semiconductor device with buried local interconnects | |
US10319679B2 (en) | Semiconductor device | |
US11004973B2 (en) | Semiconductor device with contamination improvement | |
US11799002B2 (en) | Semiconductor devices and methods of forming the same | |
KR102568602B1 (ko) | 반도체 디바이스 및 방법 | |
US11437272B2 (en) | Semiconductor device and method for fabricating the same | |
US20240021684A1 (en) | Semiconductor devices and methods of forming the same | |
US20150179571A1 (en) | Metal interconnect structures and fabrication method thereof | |
US20240071956A1 (en) | Through via with guard ring structure | |
CN110729343A (zh) | 半导体元件及其制作方法 | |
US11114542B2 (en) | Semiconductor device with reduced gate height budget | |
US12087756B2 (en) | Protective wafer grooving structure for wafer thinning and methods of using the same | |
TWI707427B (zh) | 用於減少可靠接合墊污染之方法及裝置 | |
TWI576959B (zh) | 形成具有接觸插栓的半導體結構的方法 | |
TWI801919B (zh) | 半導體裝置及其形成方法 | |
US9805971B2 (en) | Method of forming a via contact | |
CN111916452A (zh) | 存储器结构及其制造方法 | |
US20240113187A1 (en) | Composite gate dielectric for high-voltage device | |
US10304692B1 (en) | Method of forming field effect transistor (FET) circuits, and forming integrated circuit (IC) chips with the FET circuits | |
KR20210038825A (ko) | 반도체-온-절연체(soi) 기판을 형성하는 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |