TWI704600B - 用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體 - Google Patents

用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體 Download PDF

Info

Publication number
TWI704600B
TWI704600B TW108109693A TW108109693A TWI704600B TW I704600 B TWI704600 B TW I704600B TW 108109693 A TW108109693 A TW 108109693A TW 108109693 A TW108109693 A TW 108109693A TW I704600 B TWI704600 B TW I704600B
Authority
TW
Taiwan
Prior art keywords
data
film
thin film
measurement
processors
Prior art date
Application number
TW108109693A
Other languages
English (en)
Other versions
TW202018771A (zh
Inventor
盧英均
Original Assignee
南韓商艾維工程股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商艾維工程股份有限公司 filed Critical 南韓商艾維工程股份有限公司
Publication of TW202018771A publication Critical patent/TW202018771A/zh
Application granted granted Critical
Publication of TWI704600B publication Critical patent/TWI704600B/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • C23C14/545Controlling the film thickness or evaporation rate using measurement on deposited material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20058Measuring diffraction of electrons, e.g. low energy electron diffraction [LEED] method or reflection high energy electron diffraction [RHEED] method
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本發明揭露一種裝置。根據本發明的裝置可包括通訊介面、一或多個記憶體以及一或多個處理器。一或多個處理器可配置用以:藉由存取記憶體及執行配方控制薄膜沉積裝置進行薄膜沉積製程;自薄膜沉積製程期間經由通訊介面接收的薄膜量測結果取得薄膜的製程中薄膜狀態資料;並且利用第一相關模型自製程條件資料、感測資料及製程中薄膜狀態資料,衍生出薄膜的製程後薄膜狀態資料。

Description

用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體
本發明係關於控制薄膜沉積製程的技術。
薄膜可藉由各種薄膜沉積法沉積於容置在製程腔的基板上(如晶圓)。關於沉積製程,收集與薄膜的沉積狀態、薄膜沉積裝置之操作狀態、及/或薄膜沉積製程的製程條件有關的資料。例如,與薄膜沉積製程期間的薄膜狀態有關的資料可以藉由,例如,電子繞射量測法或X射線繞射光譜等方法收集。收集的資料可用於各種分析,例如確認薄膜狀態以及變更製程條件等。
收集的資料由使用者分析。使用者可解讀收集的資料並基於解讀結果可變更製程條件或類似者。然而,對使用者而言,可能很難全面地考量收集的資料,對薄膜或製程進行分析。此原因在於,薄膜沉積製程中,可使用各種薄膜沉積方法,並且收集到的與薄膜沉積製程有關的資料種類亦越來越大。薄膜沉積裝置的介面、量測薄膜狀態的量測裝置及感測薄膜沉積裝置之狀態的感測器亦可不同,而導致使用者不易理解所有介面。此外,收集到的資料的分析結果可能根據使用者的能力及專長而改變。尤其在收集到的資料為非量化形式時,分析結果的使用者間的偏差變大,而分析結果的可靠度可能明顯降低。
本發明提供一種利用機器學習控制薄膜沉積製程之技術。
本發明之一方面,提供一種用於控制薄膜沉積製程的裝置。根據本發明觀點提供的裝置為一種用於控制一或多個將薄膜沉積於目標基板上的薄膜沉積裝置的裝置。該裝置可包括:通訊介面配置,配置用以與薄膜沉積裝置、藉由感測至少一個薄膜沉積裝置的狀態以產生感測資料的一或多個感測器及藉由量測目標基板上的薄膜狀態以產生薄膜量測結果的一或多個量測裝置通訊、一或多個記憶體,配置用以:根據預定製程條件資料,儲存執行薄膜沉積製程的配方;並且儲存根據機器學習演算法,模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集的相關性建立的第一相關模型,其中製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集係針對除目標基板外的複數個基板產生、以及一或多個處理器,配置用以:藉由存取一或多個記憶體及執行前述配方,控制薄膜沉積裝置執行薄膜沉積製程;自薄膜沉積製程期間經由通訊介面接收的薄膜量測結果,取得薄膜的製程中薄膜狀態資料;並且利用第一相關模型,自製程條件資料、感測資料及製程中薄膜狀態資料衍生出薄膜的製程後薄膜狀態資料。
在一實施例中,一或多個記憶體還配置用以儲存薄膜的規格資料,其中一或多個處理器還配置用以基於製程後薄膜狀態資料及規格資料,計算薄膜沉積製程後薄膜認定為良好的機率。
在一實施例中,一或多個處理器還配置用以當機率等於或小於預定參考值時,將指示機率的通知傳送至一或多個輸出裝置。
在一實施例中,一或多個處理器還配置用以利用資料轉換演算法將薄膜量測結果之一部分轉換為量化資料,取得預處理量測資料。
在一實施例中,一或多個記憶體配置用以:儲存根據機器學習演算法模型化薄膜量測結果集、預處理量測結果集及製程中薄膜狀態資料集的相關性建立的第二相關模型,其中薄膜量測結果集、預處理量測結果集及製程中薄膜狀態資料集係針對除目標基板外的複數個基板產生,並且一或多個處理器還配置用以:利用第二相關模型,自薄膜量測結果及預處理量測資料中所選的至少一個,衍生出製程中薄膜狀態資料。
在一實施例中,薄膜量測結果為薄膜沉積製程期間,一或多個量測裝置向薄膜照射而薄膜反射電子束時,所形成的繞射圖案的繞射圖案影像, 其中預處理量測資料包括繞射圖案的圖案類型、繞射圖案的圖案區間及反射電子束的強度中所選的至少一個,並且利用第二相關模型衍生出的製程中薄膜狀態資料包括自薄膜沉積製程期間薄膜的表面結構、晶體結構、原子組成、應力及沉積率中所選的至少一個。
在一實施例中,一或多個處理器還配置用以將製程條件資料、感測資料、製程中薄膜狀態資料、製程後薄膜狀態資料、薄膜量測結果及預處理量測資料中所選的至少一個傳送至一或多個輸出裝置。
在一實施例中,一或多個處理器還配置用以:利用第一相關模型,自製程條件資料、感測資料及製程中薄膜狀態資料,衍生出使製程後薄膜狀態資料匹配規格資料的製程條件變化資料及目標感測資料中所選的至少一個,並且基於製程條件變化資料及目標感測資料中所選的至少一個,控制薄膜沉積裝置。
在一實施例中,一或多個處理器還配置用以:利用第一相關模型,自製程條件資料、感測資料及製程中薄膜狀態資料,衍生出使機率等於或大於參考值的製程條件變化資料及目標感測資料中所選的至少一個,並且基於製程條件變化資料及目標感測資料中所選的至少一個,控制薄膜沉積裝置。
在一實施例中,一或多個處理器還配置用以:利用預定應用程式介面(API)經由通訊介面自伺服器接收製程後薄膜狀態量測資料,伺服器儲存薄膜沉積製程後在薄膜上量測的製程後薄膜狀態量測資料。
在一實施例中,一或多個處理器還配置用以:根據機器學習演算法,將製程條件資料、感測資料、製程中薄膜狀態資料、製程後薄膜狀態量測資料及自第一相關模型所衍生出的製程後薄膜狀態資料輸入至第一相關模型,以更新第一相關模型的相關性。
在一實施例中,一或多個薄膜沉積裝置根據分子束磊晶 (MBE)、脈衝雷射沉積 (PLD)、金屬有機化學氣相沉積 (MOCVD)、電漿增強化學氣相沉積 (PECVD)、原子層沉積 (ALD)、蒸鍍、濺鍍及昇華中所選的至少一個薄膜沉積方法執行薄膜沉積製程。
在本發明之一型態,提供一種薄膜沉積製程。本發明之薄膜沉積製程為一種利用一或多個薄膜沉積裝置在目標基板上沉積薄膜的方法。該方法可包括:藉由一或多個處理器,控制薄膜沉積裝置執行薄膜沉積製程,藉由存取一或多個記憶體並且執行根據預定製程條件資料進行薄膜沉積製程之配方;藉由一或多個處理器,自一或多個量測裝置取得薄膜量測結果,一或多個量測裝置藉由於薄膜沉積製程期間量測目標基板上之薄膜的狀態以產生薄膜量測結果;藉由一或多個處理器,自薄膜量測結果取得薄膜的製程中薄膜狀態資料;以及藉由一或多個處理器,利用第一相關模型,自製程條件資料、感測資料及製程中薄膜狀態資料,衍生出薄膜的製程後薄膜狀態資料,其中感測資料為藉由一或多個感測器感測至少一個薄膜沉積裝置的狀態所產生,第一相關模型為根據機器學習演算法模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集的相關性所建立,並且製程條件資料集、感測資料集、製程中薄膜狀態資料集以及製程後薄膜狀態資料集係針對除目標基板外之複數個基板所產生。
在一實施例中,該方法還包括:藉由一或多個處理器,基於薄膜的製程後薄膜狀態資料及規格資料,計算薄膜沉積製程後薄膜被認定為良好的機率。
本發明之一型態提供一種儲存用於控制薄膜沉積製程的命令的儲存媒體。本發明的之一型態的儲存媒體可為儲存由一或多個處理器執行的命令的非暫時性電腦可讀媒體,而使一或多個處理器執行:藉由一或多個處理器,控制一或多個薄膜沉積裝置以執行薄膜沉積製程,藉由執行根據預定製程條件資料進行薄膜沉積製程的配方以沉積薄膜於目標基板上;自一或多個量測裝置取得薄膜量測結果,一或多個量測裝置配置用以藉由於薄膜沉積製程期間量測目標基板上的薄膜的狀態以產生薄膜量測結果:自薄膜量測結果取得薄膜的製程中薄膜狀態資料;以及利用第一相關模型自製程條件資料、感測資料及製程中薄膜狀態資料衍生出薄膜的製程後薄膜狀態資料,其中感測資料為藉由一或多個感測器感測至少一個薄膜沉積裝置的狀態所產生,第一相關模型為根據機器學習演算法,模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集的相關性所建立,並且製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集係針對除目標基板外之複數個基板所產生。
在一實施例中,前述命令還使一或多個處理器執行:基於薄膜的製程後薄膜狀態資料及規格資料,計算薄膜沉積製程後薄膜被認定為良好的機率;並且機率等於或小於預定參考值時,指示機率的通知傳送至一或多個輸出裝置。
在一實施例中,取得製程中薄膜狀態資料包括:利用資料轉換演算法,藉由將薄膜量測結果的一部分轉換為量化資料,取得預處理量測資料。
在一實施例中,取得製程中薄膜狀態資料還包括:利用第二相關模型自薄膜量測結果及預處理量測資料中所選的至少一個,衍生出製程中薄膜狀態資料,其中第二相關模型為根據機器學習演算法模型化薄膜量測結果集、預處理量測資料集及製程中薄膜狀態資料集的相關性所建立,並且薄膜量測結果集、預處理量測資料集及製程中薄膜狀態資料集係針對除目標基板外之複數個基板所產生。
在一實施例中,薄膜量測結果為薄膜沉積製程期間,一或多個量測裝置向薄膜照射而薄膜反射電子束時所形成的繞射圖案的繞射圖案影像,其中預處理量測資料包括繞射圖案的圖案類型、繞射圖案的圖案區間及反射電子束的強度中所選的至少一個,並且利用第二相關模型衍生出的製程中薄膜狀態資料包括薄膜沉積製程期間薄膜的表面結構、晶體結構、原子組成、應力及沉積率中所選的至少一個。
在一實施例中,前述命令還使一或多個處理器執行:將製程條件資料、感測資料、製程中薄膜狀態資料、製程後薄膜狀態資料、薄膜量測結果及預處理量測資料中所選的至少一個傳送至一或多個輸出裝置。
在一實施例中,前述命令還使一或多個處理器執行:利用第一相關模型,自製程條件資料、感測資料及製程中薄膜狀態資料,衍生出使前述機率等於或大於參考值的製程條件變化資料及目標感測資料中所選的至少一個;以及基於製程條件變化資料及目標感測資料中所選的至少一個,控制薄膜沉積裝置。
根據本發明各種實施例,本發明之裝置能利用由機器學習建立的相關模型,全面地考量薄膜沉積製程的各種變因進行分析。
根據本發明各種實施例,本發明之裝置能利用由機器學習建立的相關模型確保薄膜沉積製程分析結果的可靠度。
為了闡明本發明之技術思想,於此說明各種實施例,且不意欲將本發明限制於任何特定實施例。本發明之技術思想包括各種修正、均等、替換及選擇性結合本發明所述個別實施例的全部或部分而成的實施例。再者,本發明的技術思想範疇不限於以下所述的各種實施例及其詳細說明。
在本發明中,包括技術用語或科學用語的術語,除另有定義外,可具有本發明所屬技術領域中通常知識者所理解的意思。
在本發明中,「A 包括 B」、「A可包括 B」、「A具備B」、「A可具備B」、「A具有B」、「A可具有B」或類似的用語意味相應的特徵(例如功能、操作或元件等)存在,但不排除其他額外特徵的存在。亦即,這類表達應理解為包括有其他實施例的可能性的開放式用語。
在本發明中,單數形式的表達,除另在說明書清楚明示外,可包括複數形式表達之意,並且同樣適用於申請專利範圍中的單數形式的表達。
在本發明中「第一」、「第二」及類似者的表達,除非在說明書另有明示外,係在參照複數個相同物件時,用於區分一個物件與另一個物件,並非限制物件的順序或重要性。
在本發明中,「A、B 及 C」、「A、B 或 C 」、「A、B 及/或 C」、「A、B及C中至少一個」、「A、B或 C中至少一個」、「A、 B及/或 C中至少一個」等表達,可用以表示各列示項目,或可提供所列項目的任何可能組合。例如,「A及B中至少一個」的表達可用以表示(1) A、(2) B以及 (3) A和B中的全部。
在本發明中,「基於…」的表達可用於描述含有此表達的段落或句子中影響決定或判斷的動作或操作的一或多個因素,並非排除影響相應決定或判斷的動作或操作的額外因素。
在本發明中,元件(如第一元件)與另一元件(如第二元件)「連接」或「耦接」的表達意味第一元件與第二元件不僅可直接連接或耦接,也可透過另一新元件(如第三元件)連接或耦接。
在本發明中,「配置用以…」的表達根據上下文,意欲包括「設置用以…」、「具有…之表現」、「修改用以…」、「用以…」、「能用以…」及類似者。其相應的表達方式並非限於「特定設計的硬體」之含義。例如,配置用以執行特定操作的處理器可意味可藉由執行軟體進行特定操作的通用處理器。
以下參考附圖說明本發明的各種實施例。附圖及附圖說明中,實質上均等的元件可給予相同參考標號。在以下各種實施例的說明中,相同或相應元件的說明可能被省略。然而,此不代表元件不在包括在實施例中。
圖1為本發明一實施例用以控制一或多個薄膜沉積裝置的裝置的操作程序示意圖。本發明的裝置可以實施為根據各種實施例的裝置100。根據本發明各種實施例的裝置100可以利用機器學習所建立的相關模型,自收集的資料衍生出與製程後薄膜狀態及其類似者有關資料。據此,裝置100可以進行薄膜結構特性、薄膜電性特性及薄膜光學特性的分析、資料模擬或類似者。
具體而言,薄膜沉製程可利用一或多個薄膜沉積裝置進行。一或多個薄膜沉積裝置可以根據預定製程條件資料,將薄膜沉積於容置在製程腔110之基板105上。在本發明中,基板可為板件或容器,其上可設置例如半導體晶片的元件,且基板可用於製造積體電路或類似者並可以由例如矽的材料形成。在本發明中,薄膜可為藉由各種薄膜沉積方法在基板上成長的單晶膜。其上有薄膜沉積的基板可參考為磊晶晶圓。在本發明中,製程腔可參考為薄膜沉積反應器或薄膜沉積腔。
在一實施例中,一或多個薄膜沉積裝置可包括製程腔110、基板加熱器120、遮板130、來源加熱器140等。為執行薄膜沉積製程,基板加熱器120可調整基板105的溫度。遮板130可以打開/關閉來源射入製程腔110的孔,藉此調整來源的發射。在本發明,來源可指作為薄膜材料的材料。來源加熱器140 可加熱來源,以使來源射入製程腔110。在一實施例中,可由裝置100控制各薄膜沉積裝置的操作。
在本發明中,製程條件資料可指與薄膜沉積製程的製程條件有關的資訊。具體而言,製程條件資料可指構成薄膜沉積製程的一系列物理及化學步驟,或與薄膜沉積製程或進行製程的環境有關的變因。在一實施例中,製程條件資料可以包括在薄膜沉積製程的配方中。在一實施例中,薄膜沉積製程的製程條件資料可包括來源種類、來源之注入量、來源之注入順序或類似者。在一實施例中,製程條件資料可以照需求調整。
在一實施例中,一或多個感測器可感測至少一個薄膜沉積裝置的狀態。在本發明中,感測器可為產生或取得對應特定狀態的感測資料的裝置。 感測器可以產生對應物件之操作或環境情況之資料。在本發明中,感測一詞可包括偵測之意。在一實施例中,一或多個感測器可包括量測被基板加熱器120加熱的基板105之溫度的溫度感測器、量測通過遮板130打開/關閉操作發出的來源的量的流量感測器及/或壓力感測器、量測遮板130打開/關閉來源發出口的速度的速度感測器、量測被來源加熱器140加熱的來源溫度的溫度感測器、量測製程腔110溫度的溫度感測器、量測製程腔110壓力的壓力感測器、量測留在製程腔110中殘留氣體的殘留氣體量測感測器170、及/或量測基板105在製程腔110中的位置的位置感測器。藉由殘留氣體量測感測器170量測的殘留氣體可經由分子質量分析用於確認製程腔110中的環境。在一實施例中,感測資料在薄膜沉積製程進行中可以即時改變。
同時,一或多個量測裝置可以各種方式(如原位量測)量測薄膜沉積製程期間的薄膜的狀態。依據量測,一或多個量測裝置可取得各種薄膜量測結果。自所取得之薄膜量測結果,可以衍生出例如薄膜的晶體結構、薄膜的表面結構、薄膜的原子組成、薄膜的沉積率及薄膜的應力等資料。
一或多個量測裝置可根據例如電子照射法或光照射法執行量測。在一實施例中,電子照射法可包括例如反射高能電子繞射量測方法、利用反射電子顯微鏡的量測方法、低能電子繞射量測方法或利用掃描式電子顯微鏡的量測方法。在一實施例中,光照射法可包括例如利用折射計的量測方法、利用紅外線溫度感測器(高溫計)的量測方法、X射線繞射光譜量測方法、利用光學干涉儀的量測方法、雷射輪廓量測方法、利用光的吸收、傳遞或反射的量測方法或光學發射光譜量測方法。
例如,一或多個量測裝置可以經由反射高能電子繞射量測來量測薄膜狀態。在一或多個量測裝置中,電子槍150可以向製程中的薄膜照射電子束。電子束被沉積於基板105的薄膜表面反射並且反射的電子束可以根據薄膜的晶體結構形成繞射圖案。繞射圖案可以繞射圖案影像160形式量測。所形成的繞射圖案具有1.0 nm以下的解析度,而可用於在薄膜沉積製程期間監視原子層級的薄膜的晶體結構。繞射圖案影像可包括與薄膜的晶體結構有關的資訊。
裝置100 可以與一或多個薄膜沉積裝置、 一或多個感測器及/或一或多個量測裝置通訊。裝置100 可以自一或多個感測器取得感測資料。感測資料可藉由一或多個感測器感測一或多個薄膜沉積裝置中至少一個的狀態而產生。裝置100可自一或多個量測裝置取得薄膜沉積製程中與薄膜狀態有關的薄膜量測結果。
裝置100可執行配方,以便控制一或多個薄膜沉積裝置執行薄膜沉積製程。在一實施例中,裝置100可基於取得的薄膜量測結果,取得「製程中薄膜狀態資料」,其表示薄膜沉積製程中薄膜狀態。本發明之薄膜的狀態可為:例如,薄膜的表面結構、薄膜的晶體結構、薄膜的原子組成及薄膜的應力。
裝置100可以利用第一相關模型,自取得的資料衍生出「製程後薄膜狀態資料」,其表示薄膜沉積製程後的薄膜狀態。具體而言,裝置100可以將製程條件資料、感測資料及/或衍生出的製程中薄膜狀態資料輸入至第一相關模型。第一相關模型可因應輸入,而輸出或計算薄膜的製程後薄膜狀態資料。亦即,裝置100可以自第一相關模型衍生出薄膜的製程後薄膜狀態資料。在一實施例中,裝置100可顯示多項資訊給使用者,如衍生出的製程後薄膜狀態資料、製程條件資料及薄膜量測結果。
本發明的第一相關模型可以基於與薄膜沉積製程有關的多種資料庫所建立。根據多個實施例,第一相關模型可為根據機器學習演算法藉由模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及/或製程後薄膜狀態資料集的關係所建立的相關模型。於此,資料集可為相應資料的集合。例如,製程條件資料集可為複數個製程條件資料。在一實施例中,製程條件資料集、感測資料集、製程中薄膜狀態資料集及/或製程後薄膜狀態資料集,可為事前針對除當前處於薄膜沉積製程的製程中基板105外的複數個基板產生的資料。第一相關模型基於輸入的製程條件資料、感測資料、製程中薄膜狀態資料及/或其他資訊衍生出目標薄膜的製程後薄膜狀態資料,並且輸出衍生出的製程後薄膜狀態資料。於後將說明機器學習及相關模型的進一步細節。
在一實施例中,一或多個薄膜沉積裝置根據分子束磊晶 (MBE)、脈衝雷射沉積 (PLD)、 金屬有機化學氣相沉積 (MOCVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、蒸鍍、濺鍍及昇華中所選的至少一個薄膜沉積方法執行薄膜沉積製程。
在一實施例中,薄膜沉積製程可以同時在複數個製程產線上執行。在複數個製程產線的每個中,薄膜沉積製程可藉由一或多個薄膜沉積裝置執行。複數個製程產線的每個可以根據識別編號與其他製程產線區分。即使複數個製程產線分別使用相同的薄膜沉積裝置及相同的製程條件資料,薄膜沉積製程可能在個別製程產線表現不同。因此,可針對複數個製程產線的每個建立單獨的第一相關模型及單獨的第二相關模型係。第二相關模型將於後說明。
圖2為本發明各種實施例用以一或多個薄膜沉積裝置的裝置之方塊圖。在一實施例中,裝置100可包括一或多個處理器210、一或多個記憶體220及/或通訊介面230。在一實施例中,裝置100可省略至少一個上述元件,或裝置100可增加其他元件。另外或選替地,有些元件可以統合或實施為單一實體或多個實體。裝置100的至少一些元件經由匯流排、通用輸入/輸出(GPIO)、串列週邊介面(SPI)或行動產業處理器介面(MIPI),相互連接以交換資料及/或訊號。
通訊介面 230 可在裝置100與伺服器之間、或在裝置100與其他外部裝置之間進行無線或有線通訊。例如,通訊介面可以根據系統執行無線通訊,該系統如增強型行動寬頻(eMBB)、超可靠度和低延遲通訊(URLLC)、大規模機器型通訊(MMTC)、長期演進技術 (LTE)、LTE-A(進階長期演進技術)、通用行動通訊系統(UMTS)、全球行動通訊系統(GSM)、碼分多重存取(CDMA)、寬頻碼分多重存取(WCDMA)、無線寬頻(WiBro)、Wi-Fi通訊、藍牙、近場通訊(NFC)、全球定位系統(GPS)或全球衛星導航系統 (GNSS)。舉例而言,通訊介面可以根據系統執行有線通訊,該系統如通用序列匯流排(USB)、高畫質多媒體介面 (HDMI)、推薦標準232 (RS-232)或傳統電話服務(POTS)。在一實施例中,通訊介面230可以與一或多個薄膜沉積裝置、一或多個感測器及/或一或多個量測裝置通訊。
一或多個記憶體220可儲存各種資料。儲存於記憶體220的資料藉由裝置100的至少一個元件取得、處理或使用,並且可包括軟體(如命令、程式等)。記憶體220可包括揮發性記憶體及/或非揮發性記憶體。在本實施例中,命令及程式為儲存於記憶體220的軟體,且可包括用於控制裝置100的資源的操作系統、應用程式及/或提供應用程式各種功能的中介軟體,以便應用程式可以利用裝置的資源。一或多個記憶體220可儲存如上述的第一相關模型及將在以下說明的其他相關模型。另外,一或多個記憶體220可以根據預定製程條件資料儲存進行薄膜沉積製程之配方。另外,一或多個記憶體220亦可儲存由一或多個處理器210執行時而使一或多個處理器210執行操作的命令。
一或多個處理器210可以衍生出軟體(如命令、程式等),以控制裝置100連接於一或多個處理器210的至少一個元件。處理器210亦可執行與本發明有關的各種操作、程序、資料產生、處理及類似者。處理器210亦可自記憶體220加載資料或類似者,或者儲存資料於記憶體220。
一或多個處理器210可以存取記憶體220以執行上述配方並,藉此使一或多個薄膜沉積裝置執行薄膜沉積製程。另外,一或多個處理器210可控制通訊介面230,以自一或多個感測器,取得藉由感測至少一個薄膜沉積裝置的狀態所取得的感測資料。另外,一或多個處理器210可以控制通訊介面230,以自一或多個量測裝置接收薄膜於薄膜沉積製程期間的薄膜量測結果。一或多個處理器210可以基於所接收的薄膜量測結果,取得於薄膜沉積製程中與薄膜有關的薄膜狀態資訊。一或多個處理器210可以利用第一相關模型,自取得的製程條件資料、感測資料及/或目標薄膜的製程中薄膜狀態資料,衍生出目標薄膜的製程後薄膜狀態資料。
在一實施例中,一或多個處理器210可接收由使用者自一或多個輸入裝置輸入的命令。輸入裝置可為自外部接收資料輸入以將資料傳送至裝置100的至少一個元件的裝置。輸入裝置可包括,例如,滑鼠、鍵盤及觸控板。在一實施例中,一或多個處理器210自輸入裝置接收的資訊可包括由使用者輸入的新製程條件、薄膜沉積製程後針對基板量測的製程後薄膜狀態量測資料、用於控制一或多個薄膜沉積裝置、感測器、量測裝置等的訊號。
另外,在一實施例中,一或多個處理器210可以將各種資訊傳送至一或多個輸出裝置。輸出裝置可以視覺地將自裝置100接收的各種資訊提供給使用者。輸出裝置可包括,例如,顯示器、投影機、全息影像及類似者。在一實施例中,藉由一或多個處理器210傳送至輸出裝置的資訊可包括衍生出的製程中薄膜狀態資料、製程條件資料及薄膜量測結果等。
在一實施例,裝置100可以實施為各種類型的裝置。裝置100可實施為,例如,可攜式通訊裝置、電腦裝置、可攜式多媒體裝置、穿戴式裝置或上述裝置的一或多個組合裝置。本發明的裝置100並不限於上述裝置。
本發明裝置100的各種實施例可以彼此結合。個別的實施例可以根據案例數量結合,並且裝置100的實施例組合亦屬本發明的範疇。另外,本發明的裝置100的內部/外部元件可依據實施例增加、變更、取代或刪除。另外,上述裝置100的內部/外部元件可利用硬體元件實施。
圖3為本發明一實施例第一相關模型的的示意圖。如上述說明,第一相關模型310可為根據機器學習演算法模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及/或製程後薄膜狀態資料集的相關性建立的相關模型。薄膜的製程後薄膜狀態資料可為基於第一相關模型310衍生出(預測)的薄膜狀態資料。在一實施例中,除如上述資料外,第一相關模型310可以進一步考量與其他資料(例如針對製程後基板所量測的製程後薄膜狀態量測資料)的相關性來建立。
在本發明中,機器學習可為經由利用資料及資料處理經驗的學習提高資料處理能力的電腦軟體。機器學習可以藉由相關模型執行。相關模型藉由模型化資料之間的相關性來建立,並且相關性可以藉由複數個參數表示。相關模型自給定的資料萃取出特徵,並且分析這些特徵以衍生出資料之間的相關性。重覆此程序及優化相關模型的參數的程序可被稱為機器學習。例如,當資料以相關模型的輸入與輸出對給出時,相關模型可以學習輸入與輸出之間的映射(相關性)。即使僅給出輸入資料,相關模型可以衍生出給出資料間的規律性並且學習輸入資料間的關係。在一實施例中,機器學習演算法可為選自以下的至少一個:決策樹學習法、關聯規則學習法、人工神經網路、基因程式設計法、誘導邏輯程式設計法、支援向量機、聚類法(clustering)、貝氏網路(Bayesian network)、強化學習法、表現學習法及/或等度量學習法。
一或多個處理器 210可以將上述的製程條件資料320、感測資料325及/或製程中薄膜狀態資料330輸入至第一相關模型310。第一相關模型310可基於輸入的製程條件資料320、感測資料325、製程中薄膜狀態資料330及/或其他資料,衍生出 (預測)目標薄膜的製程後薄膜狀態資料340。第一相關模型310可以輸出製程後薄膜狀態資料340。
在一實施例中,一或多個處理器210可基於衍生出的製程後薄膜狀態資料340及指示目標薄膜規格的規格資料350,計算(衍生出)當前製程中的目標薄膜於製程後被認定為良好的機率360。一或多個處理器210可以比較衍生出的製程後薄膜狀態資料340與薄膜規格資料350。薄膜規格資料可以儲存於一或多個記憶體220。
在一實施例中,計算的機率360等於或小於預定參考值時,一或多個處理器210可以將指示所計算的機率360的通知傳送至一或多個輸出裝置。預定參考值可以儲存於一或多個記憶體220。參考值可以根據各實施例設定為不同。一或多個輸出裝置可向使用者顯示指示機率360的通知。在一實施例中,除計算的機率360外,所示的通知還可以包括參考值、規格資料350、製程條件資料320、製程中薄膜狀態資料330及/或由第一相關模型310衍生出的製程後薄膜狀態資料340。
圖4為本發明一實施例之薄膜量測結果的預處理程序之示意圖。如上述說明,一或多個處理器210可以基於自一或多個量測裝置接收的薄膜量測結果410,取得(衍生出)薄膜在薄膜沉積製程中的薄膜狀態資料。在一實施例中,自薄膜量測結果410取得製程中薄膜狀態資料的程序可以利用藉由機器學習建立的第二相關模型執行。第二相關模型將於後說明。利用第二相關模型前,一或多個處理器210可以預處理薄膜量測結果410。在預處理程序中,一或多個處理器 210可根據資料轉換演算法,將薄膜量測結果410的一部分轉換為量化資料,以取得預處理量測資料460和480。
具體而言,一或多個處理器210可決定取得的薄膜量測結果410是否為量化資料(420)。在本發明中,資料可以量化資料或定性資料。量化資料為以數字表示的資料,其為格式化資料且可為可統計分析的資料。定性資料為藉由自然語言的描述所表示的類別型資料,其非結構化資料且可能不容易統計分析。薄膜量測結果410為量化資料時,一或多個處理器210可不對相應資料執行資料轉換程序(422)。
薄膜量測結果410為定性資料時,一或多個處理器210可以決定薄膜量測結果410是否可轉換為量化資料 (430)。薄膜量測結果410無法轉換為量化資料時,一或多個處理器 210可不對相應資料執行單獨的資料轉換程序(432)。
薄膜量測結果410可轉換為定性資料時,一或多個處理器210可以將相應的薄膜量測結果410轉換為量化資料(440)。在一實施例中,資料轉換可以藉由一般資料處理演算法執行。在一實施例中,資料轉換程序(440)亦可藉由機器學習所建立的另一相關模型執行。
在一實施例中,原為量化資料(422)的薄膜量測結果及經由資料轉換(440)量化的薄膜量測結果,可以藉由一或多個處理器210排序或修整(450)。排序或修整的資料可用作為預處理量測資料460。預處理量測資料460可為量化資料。
在一實施例中,未轉換為量化資料(432)的薄膜量測結果,亦可藉由一或多個處理器210排序或修整(470)。排序或修整的資料可以用作為預處理量測資料480。預處理量測資料480可為定性資料。
在一實施例中,一般的排序演算法或修整演算法可以用於資料排序及/或修整程序(450和470)。在一實施例中,資料排序及/或修整程序(450和470)可以省略。
圖5為所示本發明一實施例之第二相關模型的示意圖。在一實施例中,裝置100可以自薄膜量測結果410及/或預處理量測資料460和480衍生出製程中薄膜狀態資料330。為此目的,可使用藉由機器學習所建立的第二相關模型510。
具體而言,一或多個記憶體220還可以儲存第二相關模型510。第二相關模型510可為根據機器學習演算法模型化薄膜量測結果集、預處理量測資料集及/或製程中薄膜狀態資料集的相關性所建立的相關性模型。在一實施例中,薄膜量測結果集、預處理量測資料集及/或製程後薄膜狀態資料集可以事前針對除當前處於薄膜沉積製程中的製程中基板105外的複數個基板產生。
一或多個處理器210可以利用第二相關模型510自薄膜量測結果410、預處理量測資料460和480及/或其他資訊,衍生出目標薄膜的製程中薄膜狀態資料330。具體而言,一或多個處理器210可以將薄膜量測結果410及/或預處理量測資料460和480輸入至第二相關模型510。一或多個處理器210可以自第二相關模型510衍生出目標薄膜的製程中薄膜狀態資料。在一實施例中,自第二相關模型510衍生出的製程中薄膜狀態資料330,可以輸入至第一相關模型310,如上所述。
在本實施例中,第一相關模型 310及第二相關模型510例示為被建立成兩個單獨的模型,但本發明不受此限制。在一實施例中,部分或全部的第一相關模型310及第二相關模型510可以整合地建立。在其他實施例,第一相關模型310及第二相關模型510可以建立成三個以上的子模型。
圖6為本發明一實施例自繞射圖案影像衍生出製程中薄膜狀態資料的程序的示意圖。在一實施例中,薄膜量測結果410可為經由反射高能電子繞射量測而自一或多個量測裝置取得的繞射圖案影像。
在一實施例中,基於薄膜量測結果410的繞射圖案影像,一或多個處理器210可以衍生出繞射圖案的圖案類型及圖案區間及/或反射電子束的強度,其為預處理量測資料460和480。自薄膜量測結果410衍生出預處理量測資料460和480的程序為如上所述。在一實施例中,繞射圖案類型可為定性資料,而圖案區間及/或反射電子束的強度可為量化資料。
在一實施例中,一或多個處理器210可以自繞射圖案影像衍生出(預測)製程中薄膜狀態資料330(其為薄膜量測結果410),及/或繞射圖案的圖案類型及圖案區間及反射電子束的強度(其為預處理量測資料460和480)。衍生出的製程中薄膜狀態資料330可包括,例如,薄膜的表面結構、薄膜的晶體結構、薄膜的原子組成及薄膜的應力及/或薄膜的沉積率。可以利用第二相關模型510執行製程中薄膜狀態資料330的程序,如上所述。例如,一或多個處理器210可以自繞射圖案類型衍生出薄膜的表面結構及/或薄膜的晶體結構。一或多個處理器210可以自圖案區間衍生出薄膜的原子組成及/或薄膜的應力。另外,一或多個處理器 210可以自反射電子束的強度衍生出薄膜的沉積率。
圖7為本發明一實施例具有個別繞射圖案類型的薄膜實際表面結結構之示意圖。如上述說明,可以自繞射圖案類型衍生出薄膜的實際表面結構。可以藉由第二相關模型510根據繞射圖案類型進行薄膜實際表面結構的預測。第二相關模型510可以機械性學習圖案類型與薄膜表面結構之間的相關性,以自繞射圖案類型衍生出預期的薄膜表面結構。
例如,繞射圖案為斑點型時,薄膜的表面結構可以預測為具有平坦的單晶表面710。繞射圖案為條紋型時,薄膜的表面結構可以預測為具有小區域的平坦表面720。繞射圖案為衛星條紋型時,薄膜的表面結構可以預測為二級階梯表面730。繞射圖案為調變條紋型時,薄膜的表面結構可以預測為多級階梯表面740。繞射圖案為傾斜條紋型時,薄膜的表面結構可以預測為鄰接表面750的形式。繞射圖案為傳遞式斑點型時,薄膜的表面結構可以預測為3D小島760的形式。
圖8為本發明一實施例在薄膜沉積製程期間即時取得的繞射圖案影像的示意圖。在一實施例中,複數個繞射圖案影像可以針對薄膜沉積製程中的薄膜連續取得。各個繞射圖案影像可具有在相應時間與薄膜表面有關的資訊。於薄膜沉積製程中的薄膜狀態可以根據上述程序利用第二相關模型510分析相繼取得的繞射圖案影像即時確決定。
圖9為本發明一實施例利用第一相關模型控制薄膜沉積裝置的程序的示意圖。在一實施例,裝置100可利用上述第一相關模型310,衍生出使薄膜在薄膜沉積製程後具有預定的薄膜狀態的製程條件變化資料930及/或目標感測資料940。在本發明中,製程條件變化資料930可為藉由變更用於當前的製程以使製程後薄膜狀態資料具有預定值的製程條件資料,而取得的製程條件資料。在本實施例中,目標感測資料940可為藉由感測一或多個薄膜沉積裝置的狀態(如操作狀態),以使製程後薄膜狀態資料340具有預定值而取得的感測資料的目標值。亦即,一或多個薄膜沉積裝置根據衍生出的製程條件變化資料930及/或目標感測資料940操作時,第一相關模型 310可以確定可達成預定的製程後薄膜狀態資料340。
具體而言,一或多個處理器 210可以將製程條件資料320、感測資料 325及/或衍生出的製程中薄膜狀態資料330輸入至第一相關模型310。第一相關模型可輸出上述製程條件變化資料930及/或目標感測資料940作為輸入的響應。
在一實施例中,製程條件變化資料930及/或目標感測資料940可為使製程後薄膜狀態資料340匹配上述規格資料350的製程條件資料及/或感測資料。
在一實施例中,製程條件變化資料930及/或目標感測資料940可為用於使當前製程中的目標薄膜在當前的製程後被認定為良好的機率360等於或大於上述參考值的製程條件資料及/或感測資料。
一或多個處理器210可以利用製程條件變化資料930及/或目標感測資料940控制一或多個薄膜沉積裝置。例如,一或多個處理器210可根據製程條件變化資料930及/或目標感測資料940,控制基板加熱器120、遮板130、來源加熱器140、其他數值等。因此,可以控制基板溫度、來源流量、製程腔的溫度、其他應力及類似者。
圖10為本發明一實施例之製程後薄膜狀態量測資料的處理程序的示意圖。在一實施例中,薄膜沉積製程結束後,基板上的薄膜狀態可以在製程腔的外面量測(非原位量測)。此類量測可包括非接觸表面阻抗或圖量測、光致發光點或圖量測、原子力顯微鏡(AFM)粒子計數圖量測、 反射儀點或圖量測、彎曲度圖量測及/或X射線繞射光譜點或圖量測。根據實施例,此量測程序可稱為外部量測服務。在一實施例中,根據量測所取得的製程後薄膜狀態量測資料1000可以儲存於伺服器。
在一實施例中,一或多個處理器210可以控制通訊介面230,以自伺服器接收必要的資訊。自伺服器接收的資訊可儲存於一或多個記憶體220。  在一實施例中,自伺服器接收的資訊可包括製程後薄膜狀態量測資1000。一或多個處理器210可以利用預定應用程式介面(API),經由通訊介面230自伺服器接收例如製程後薄膜狀態量測資料1000的資訊。
在一實施例中,裝置100可以將由伺服器接收的製程後薄膜狀態量測資料1000與由第一相關模型衍生出(預測)的製程後薄膜狀態資料340進行比較。經由對比,裝置100可以使第一相關模型310學習。具體而言,一或多個處理器210可以將上述製程條件資料320、製程中薄膜狀態資料 330、製程後薄膜狀態量測資料1000及/或自第一相關模型310衍生出的製程後薄膜狀態資料340,輸入至第一相關模型310。第一相關模型310可以衍生出輸入資料之間的相關性。第一相關模型310可以利用衍生出的相關性更新第一相關模型310的相關性。亦即,第一相關模型310可利用輸入資料進行機器學習。
在一實施例中,薄膜沉積製程完成後的基板上的薄膜狀態藉由一或多個量測裝置量測,而不是藉由外部服務量測且經由伺服器傳送至裝置100。
圖11為本發明一實施例之一或多個輸出裝置的顯示螢幕的示意圖。如上所述,一或多個處理器 210可以將各種資訊傳送至一或多個輸出裝置。一或多個輸出裝置可以向使用者顯示傳送的各種資訊。
具體而言,一或多個處理器210可將選自以下至少其中之一者傳送至一或多格輸出裝置:製程條件資料320、製程中薄膜狀態資料330、由第一相關模型310衍生出的製程後薄膜狀態資料340、薄膜量測結果410、預處理量測資料460和480、薄膜規格資料350、目標薄膜被認定為良好的機率360、計算出的機率360的參考值、一或多個薄膜沉積裝置的感測資料325、製程條件變化資料930、目標感測資料940、製程後薄膜狀態量測資料1000及/或製程所用的薄膜沉積方法。輸出裝置可以向使用者顯示接收的資料。例如,繞射圖案影像可輸出為薄膜量測結果410,且圖案類型、圖案區間或類似者可以輸出為預處理量測資料460和480。
在一實施例中,一或多個處理器210可以將選自以下的至少一者傳送至一或多個輸出裝置:裝置100、一或多個薄膜沉積裝置及一或多個量測裝置間的通訊狀況、不斷變化呈現的薄膜沉積製程的動態模擬、製程中薄膜的晶體結構模擬、及/或一或多個薄膜沉積裝置的不正常操作狀態的通知。一或多個輸出裝置可以向使用者顯示接收的資料。
在一實施例中,經由一或多個輸出裝置輸出的資料可以預定的統一資料結構(如文字或圖表)配置及輸出。在一實施例中,輸出資料可以根據預定格式呈現,或可以預定布局格式化及輸出。在一實施例中,使用者經由輸入裝置輸入資料輸出方法時,輸出資料基於該輸出方法顯示。例如,輸出裝置可顯示:與製程條件資料320、規格資料350及規格資料有關的資訊;與一或多個薄膜沉積裝置、一或多個薄膜沉積裝置的狀態及外部量測服務有關的資訊、及/或使用的量測方法或類似者有關的資訊(1110)。一或多個輸出裝置亦可顯示薄膜量測結果410及/或預處理量測資料460和480(1120)。一或多個輸出裝置亦可顯示由一或多個處理器210及/或相關模型衍生出的結果(1130)。
在一實施例中,藉由經由一或多個輸出裝置輸出各種資料,可引導使用者控制一或多個薄膜沉積裝置或修改製程條件資料320等。另外,經由資料的輸出,可引導使用者執行一或多個薄膜沉積裝置的維護。
圖12為本發明一實施例可藉由用於控制一或多個薄膜沉積裝置的裝置執行的薄膜沉積方法的示意圖。本發明的薄膜沉積方法可為電腦實施方法。雖本發明方法或演算法的個別步驟在所示流程圖中以依序顯示,但是除依序執行外,個別步驟可以依據藉由本發明可選擇性結合的順序執行。參照流程圖的說明並未排除針對方法或演算法的變更或修改,並且不意味任何步驟為必要或期望的。在一實施例中,至少一些步驟可以並行、重覆或啟發式地執行。在一實施例中,至少一些步驟可省略,或可增加其他步驟。
本發明所述的裝置100在執行薄膜沉積製程中,可根據本發明各種實施例執行薄膜沉積方法。根據本發明一實施例的薄膜沉積方法可包括執行薄膜沉積製程(S1210)、取得薄膜量測結果(S1220)、自薄膜量測結果取得製程中薄膜狀態資料(S1230)、及/或利用第一相關模型衍生出薄膜的製程後薄膜狀態資料(S1240)。
在步驟S1210,一或多個處理器210可存取一或多個記憶體220,並且根據預定製程條件資料320執行進行薄膜沉積製程的配方,藉此控制一或多個薄膜沉積裝置執行薄膜沉積製程。在步驟 S1220,一或多個處理器210可以藉由量測薄膜沉積製程期間的薄膜狀態,自配置用以產生薄膜量測結果410的一或多個量測裝置取得薄膜量測結果410。
在步驟S1230,一或多個處理器210可以由薄膜量測結果410取得薄膜的製程中薄膜狀態資料330。在步驟S1240,一或多個處理器210可以利用第一相關模型310,自製程條件資料320、感測資料325及製程中薄膜狀態資料330衍生出薄膜的製程後薄膜狀態資料340。
如上所述,感測資料325可以藉由一或多個感測器感測至少一個薄膜沉積裝置的狀態而產生。第一相關模型310可為根據機器學習演算法,模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及/或製程後薄膜狀態資料集的相關性所建立的相關模型。製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集可以針對除製程中基板105外的複數個基板產生。
在一實施例中,薄膜沉積方法還可以包括,藉由一或多個處理器210,基於儲存於一或多個記憶體220的製程後薄膜狀態資料340及薄膜規格資料350,計算(衍生出)目標薄膜被認定為良好的機率360。
在一實施例中,薄膜沉積方法還可以包括機率360等於或小於預定參考值時,藉由一或多個處理器210,將指示機率360的通知傳送至一或多個輸出裝置。
在一實施例中,步驟S1230還可以包括,藉由一或多個處理器210,根據資料轉換演算法將薄膜量測結果410的一部分轉換為量化資料,以取得預處理量測資料460和480。
在一實施例中,步驟S1230還可以包括,藉由一或多個處理器210,利用第二相關模型510自薄膜量測結果410及預處理量測資料460和480所選的至少一個衍生出製程中薄膜狀態資料330和480。
在一實施例中,薄膜量測結果410可為一或多個量測裝置向製程中薄膜照射而反射電子束時所形成的繞射圖案的繞射圖案影像。在一實施例中,預處理量測資料460和480可為繞射圖案的圖案類型、繞射圖案的圖案區間及反射電子束的強度中所選的至少一個。在一實施例中,利用第二相關模型510衍生出的製程中薄膜狀態資料330可包括自薄膜沉積製程期間製程中薄膜的表面結構、製程中薄膜的晶體結構、薄膜的應力、製程中薄膜的原子組成及製程中薄膜的沉積率中所選的至少一個。
在一實施例中,薄膜沉積方法還可以包括藉由一或多個處理器210,將製程條件資料320、感測資料325、製程中薄膜狀態資料330、製程後薄膜資料340、薄膜量測結果410及預處理量測資料460和480中所選的至少一個傳送至一或多個輸出裝置。
在一實施例中,薄膜沉積方法還可以包括:藉由一或多個處理器210,利用第一相關模型310自製程條件資料320、感測資料325以及製程中薄膜狀態資料330,衍生出使製程後薄膜狀態資料340匹配規格資料350的製程條件變化資料930及目標感測資料940中所選的至少一個、及/或基於製程條件變化資料930及目標感測資料940中所選的至少一個來控制薄膜沉積裝置。
在一實施例中,薄膜沉積方法還可以包括:藉由一或多個處理器 210,利用第一相關模型310自製程條件資料320、感測資料325及製程中薄膜狀態資料330,衍生出使機率360等於或大於參考值的製程條件變化資料930及目標感測資料940中所選的至少一個、及/或基於製程條件變化資料930及目標感測資料940中所選的至少一個來控制薄膜沉積裝置。
在一實施例中,薄膜沉積方法還可以包括藉由一或多個處理器210,利用預定API經由通訊介面230自伺服器接收製程後薄膜狀態量測資料1000。製程後薄膜狀態量測資料1000可為藉由量測執行薄膜沉積製程後的薄膜而取得的薄膜狀態資料。
在一實施例中,一或多個處理器210還可以包括藉由一或多個處理器210,根據機器學習演算法,將製程條件資料320、感測資料325、製程中薄膜狀態資料330、製程後薄膜狀態量測資料1000及/或自第一相關模型310衍生出的製程後薄膜狀態資料340輸入至第一相關模型310,以更新第一相關模型310的相關性。
在一實施例中,一或多個薄膜沉積裝置可以根據分子束磊晶(MBE)、脈衝雷射沉積(PLD)、金屬有機化學氣相沉積(MOCVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、蒸鍍、濺鍍及昇華中所選的至少一個薄膜沉積方法,執行薄膜沉積製程。
本發明的各種實施例可實施為儲存於機器可讀記錄媒體的軟體。軟體可為如上述用於實施本發明各種實施例的軟體。軟體可為本發明所屬技術領域的程式設計師從本發明的各種實施例推演出來的軟體。例如,軟體可為命令 (如編碼或編碼段)或機器可讀程式。機器為根據自記錄媒體調用的命令可操作的裝置,且可為例如電腦。在一實施例中,機器可為本發明實施例的裝置100。在一實施例中,機器的處理器可執行調用的命令,以使機器的元件執行對應命令的功能。在一實施例中,處理器可為本發明實施例之一或多個處理器210。用語「記錄媒體」可為儲存機器可讀資料的所有類型的記錄媒體。記錄媒體可包括,例如,ROM、RAM、CD-ROM、磁帶、軟磁盤、光學資料儲存及類似者。在一實施例中,記錄媒體可為一或多個記憶體220。在一實施例中,記錄媒體可實施為分佈於網路電腦系統或類似者的形式。軟體可以分佈及儲存於電腦系統或類似者以被執行。記錄媒體可為非暫時性記錄媒體。用語「非暫時性記錄媒體」指實體媒體,無論資料半永久或短暫儲存,並且不包括以短暫的方式傳播的訊號。
雖然藉由各種實施例說明本發明之技術思想,本發明的技術範疇涵蓋本發明所屬技術領域中具有通常知識者能完成的各種替換、修飾及變更。應當理解此類替換、修飾及變更均落入本發明申請專利範圍所界定的範圍內。
100 裝置 105 基板 110 製程腔 120 基板加熱器 130 遮板 140 來源加熱器 150 電子槍 160 繞射圖案影像 170 殘留氣體量測感測器 210 處理器 220 記憶體 230 通訊介面 310 第一相關模型 320 製程條件資料 325 感測資料 330 製程中薄膜狀態資料 340 製程後薄膜狀態資料 350 規格資料 410 薄膜量測結果 420、422、430、432、440、450、470 步驟 460 、480預處理量測資料 710 結晶表面 720 平型表面 730 二級階梯表面 740 多級階梯表面 750 鄰接表面 760 3D小島 930 製程條件變化資料 940 目標感測資料 1000 製程後薄膜狀態量測資料 S1210 步驟 S1220 步驟 S1230 步驟 S1240 步驟
圖1為本發明一實施例用以控制一或多個薄膜沉積裝置的裝置的操作程序示意圖。 圖2為本發明各種實施例用以控制一或多個薄膜沉積裝置的裝置之方塊圖。 圖3為本發明一實施例之第一相關模型之示意圖。 圖4為本發明一實施例之薄膜量測結果的預處理程序之示意圖。 圖5為本發明一實施例之第二相關模型之示意圖。 圖6為本發明一實施例自繞射圖案影像衍生出製程中薄膜狀態資料的程序之示意圖。 圖7為本發明一實施例具有個別繞射圖案類型的薄膜實際表面結構之示意圖。 圖8為本發明一實施例在薄膜沉積製程期間即時取得的繞射圖案影像之示意圖。 圖9為本發明一實施例利用第一相關模型控制薄膜沉積裝置的程序之示意圖。 圖10為本發明一實施例之製程後薄膜狀態量測資料的處理程序之示意圖。 圖11為本發明一實施例之一或多個輸出裝置的顯示螢幕之示意圖。 圖12為本發明一實施例可藉由用於控制一或多個薄膜沉積裝置的裝置執行的薄膜沉積方法之示意圖 。
100 裝置 105 基板 110 製程腔 120 基板加熱器 130 遮板 140 來源加熱器 150 電子槍 160 繞射圖案影像 170 殘留氣體量測感測器

Claims (20)

  1. 一種用於控制一或多個將薄膜沉積於目標基板上的薄膜沉積裝置的裝置,該裝置包括:通訊介面,配置用以與該些薄膜沉積裝置、藉由感測該些薄膜沉積裝置中至少一個的狀態以產生感測資料的一或多個感測器、以及藉由量測該目標基板上的該薄膜的狀態以產生薄膜量測結果的一或多個量測裝置通訊;一或多個記憶體,配置用以:根據預定製程條件資料,儲存執行薄膜沉積製程的配方;以及儲存根據機器學習演算法模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集的相關性建立的第一相關模型,其中該製程條件資料集、該感測資料集、該製程中薄膜狀態資料集及該製程後薄膜狀態資料集係針對除該目標基板外的複數個基板產生;以及一或多個處理器,配置用以:藉由存取該一或多個記憶體及執行該配方,控制該些薄膜沉積裝置執行該薄膜沉積製程;自該薄膜沉積製程期間經由該通訊介面接收的該薄膜量測結果,取得該薄膜的製程中薄膜狀態資料;以及利用該第一相關模型,自該製程條件資料、該感測資料及該製程中薄膜狀態資料,衍生出該薄膜的製程後薄膜狀態資料,其中該一或多個處理器還配置用以利用預定應用程式介面(API)經由該通訊介面自伺服器接收製程後薄膜狀態量測資料,該伺服器儲存在執行該薄膜沉積製程後該薄膜上量測的該製程後薄膜狀態量測資料。
  2. 如請求項1所述之裝置,其中該一或多個記憶體還配置用以儲存該薄膜的規格資料,並且其中該一或多個處理器還配置用以基於該製程後薄膜狀態資料及該規格資料,計算在該薄膜沉積製程後該薄膜被認定為良好的機率。
  3. 如請求項2所述之裝置,其中該一或多個處理器還配置用以在該機率等於或少於預定參考值時,將指示該機率的通知傳送至一或多個輸出裝置。
  4. 如請求項1所述之裝置,其中該一或多個處理器還配置用以利用資料轉換演算法,藉由將該薄膜量測結果之一部分轉換為量化資料,取得預處理量測資料。
  5. 如請求項4所述之裝置,其中該一或多個記憶體還配置用以儲存根據機器學習演算法模型化薄膜量測結果集、預處理量測資料集及製程中薄膜狀態資料集的相關性建立的第二相關模型,其中該薄膜量測結果集、該預處理量測資料集及該製程中薄膜狀態資料集係針對除該目標基板外的該複數個基板產生,並且其中該一或多個處理器還配置用以利用該第二相關模型,自該薄膜量測結果及該預處理量測資料中所選的至少一個,衍生出該製程中薄膜狀態資料。
  6. 如請求項5所述之裝置,其中該薄膜量測結果為該薄膜沉積製程期間,該一或多個量測裝置向該薄膜照射而該薄膜反射電子束時所形成的繞射圖案的繞射圖案影像,其中該預處理量測資料包括自該繞射圖案的圖案類型、該繞射圖案的圖案區間及該反射電子束的強度中所選的至少一個,並且 其中利用該第二相關模型衍生出的該製程中薄膜狀態資料包括自該薄膜沉積製程期間該薄膜的表面結構、晶體結構、原子組成、應力及沉積率中所選的至少一個。
  7. 如請求項5所述之裝置,其中該一或多個處理器還配置用以將該製程條件資料、該感測資料、該製程中薄膜狀態資料、該製程後薄膜狀態資料、該薄膜量測結果及該預處理量測資料中所選的至少一個傳送至一或多個輸出裝置。
  8. 如請求項2所述之裝置,其中該一或多個處理器還配置用以:利用該第一相關模型,自該製程條件資料、該感測資料及該製程中薄膜狀態資料,衍生出使該製程後薄膜狀態資料匹配該規格資料的製程條件變化資料及目標感測資料中所選的至少一個;以及基於該製程條件變化資料及該目標感測資料中所選的至少一個,控制該些薄膜沉積裝置。
  9. 如請求項3所述之裝置,其中該一或多個處理器還配置用以利用該第一相關模型,自該製程條件資料、該感測資料及該製程中薄膜狀態資料,衍生出使該機率等於或大於該參考值的製程條件變化資料及目標感測資料中所選的至少一個,並且基於該製程條件變化資料及該目標感測資料中所選的至少一個,控制該些薄膜沉積裝置。
  10. 如請求項1所述之裝置,其中該一或多個處理器還配置用以根據機器學習演算法將該製程條件資料、該感測資料、該製程中薄膜狀態資料、該 製程後薄膜狀態量測資料及自該第一相關模型所衍生出的該製程後薄膜狀態資料輸入至該第一相關模型,以更新該第一相關模型的該相關性。
  11. 如請求項1所述之裝置,其中該一或多個薄膜沉積裝置根據分子束磊晶(MBE)、脈衝雷射沉積(PLD)、金屬有機化學氣相沉積(MOCVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、蒸鍍、濺鍍及昇華中所選的至少一個薄膜沉積方法執行該薄膜沉積製程。
  12. 一種利用一或多個薄膜沉積裝置將薄膜沉積於目標基板的方法,該方法包括:藉由一或多個處理器,控制該些薄膜沉積裝置執行薄膜沉積製程,藉由存取一或多個記憶體並且執行根據預定製程條件資料進行該薄膜沉積製程之配方;藉由該一或多個處理器,自一或多個量測裝置取得薄膜量測結果,該一或多個量測裝置於該薄膜沉積製程期間量測該目標基板上之該薄膜的狀態以產生該薄膜量測結果;藉由一或多個處理器,自該薄膜量測結果取得該薄膜之製程中薄膜狀態資料;藉由該一或多個處理器,利用第一相關模型,自該製程條件資料、感測資料及該製程中薄膜狀態資料,衍生出該薄膜的製程後薄膜狀態資料;以及藉由該一或多個處理器,利用預定應用程式介面(API)經由通訊介面自伺服器接收製程後薄膜狀態量測資料,該伺服器儲存在執行該薄膜沉積製程後該薄膜上量測的該製程後薄膜狀態量測資料, 其中該感測資料為藉由一或多個感測器感測該些薄膜沉積裝置中至少一個的狀態所產生;其中該第一相關模型為根據機器學習演算法模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集的相關性所建立,並且該製程條件資料集、該感測資料集、該製程中薄膜狀態資料集及該製程後薄膜狀態資料集係針對除該目標基板外之複數個基板所產生。
  13. 如請求項12所述之方法,該方法還包括:藉由該一或多個處理器,基於該製程後薄膜狀態資料及該薄膜的規格資料,計算該薄膜沉積製程後該薄膜被認定為良好的機率。
  14. 一種非暫時性電腦可讀儲存媒體,儲存由一或多個處理器執行的命令,而使該一或多個處理器執行:藉由該一或多個處理器,控制一或多個薄膜沉積裝置以執行薄膜沉積製程,藉由執行根據預定製程條件資料進行該薄膜沉積製程的配方以沉積薄膜於目標基板上;自一或多個量測裝置取得薄膜量測結果,該一或多個量測裝置於該薄膜沉積製程期間量測該目標基板上的該薄膜的狀態以產生該薄膜量測結果;自該薄膜量測結果取得該薄膜之製程中薄膜狀態資料;利用第一相關模型,自該製程條件資料、感測資料及該製程中薄膜狀態資料,衍生出該薄膜的製程後薄膜狀態資料;以及利用預定應用程式介面(API)經由通訊介面自伺服器接收製程後薄膜狀態量測資料,該伺服器儲存在執行該薄膜沉積製程後該薄膜上量測的該製程後薄膜狀態量測資料, 其中該感測資料為藉由一或多個感測器感測該些薄膜沉積裝置中至少一個的狀態所產生,其中該第一相關模型為根據機器學習演算法模型化製程條件資料集、感測資料集、製程中薄膜狀態資料集及製程後薄膜狀態資料集的相關性所建立,並且該製程條件資料集、該感測資料集、該製程中薄膜狀態資料集及該製程後薄膜狀態資料集係針對除該目標基板外之複數個基板所產生。
  15. 如請求項14所述之非暫時性電腦可讀儲存媒體,其中該命令還使該一或多個處理器執行:基於該製程後薄膜狀態資料及該薄膜的規格資料,計算該薄膜沉積製程後該薄膜被認定為良好的機率;以及當該機率等於或少於預定參考值時,將指示該機率的通知傳送至一或多個輸出裝置。
  16. 如請求項14所述之非暫時性電腦可讀儲存媒體,其中取得該製程中薄膜狀態資料包括:利用資料轉換演算法,藉由將該薄膜量測結果的一部分轉換為量化資料,取得預處理量測資料。
  17. 如請求項16所述之非暫時性電腦可讀儲存媒體,其中取得該製程中薄膜狀態資料還包括:利用第二相關模型,自該薄膜量測結果及該預處理量測資料中所選的至少一個,衍生出該製程中薄膜狀態資料;其中該第二相關模型為根據機器學習演算法模型化薄膜量測結果集、預處理量測結果集及製程中薄膜狀態資料集所建立,並且該薄膜量測結果集、該預 處理量測結果集及該製程中薄膜狀態資料集係針對除該目標基板外之該複數個基板所產生。
  18. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中該薄膜量測結果為該薄膜沉積製程期間,該一或多個量測裝置向該薄膜照射而該薄膜反射電子束時所形成的繞射圖案的繞射圖案影像,其中該預處理量測結果包括自該繞射圖案之圖案類型、該繞射圖案的圖案區間及該反射電子束的強度中所選的至少一個,並且其中利用該第二相關模型衍生出的該製程中薄膜狀態資料包括自該薄膜沉積製程期間該薄膜的表面結構、晶體結構、原子組成、應力及沉積率中所選的至少一個。
  19. 如請求項17所述之非暫時性電腦可讀儲存媒體,其中該命令還使得該一或多個處理器執行:將該製程條件資料、該感測資料、該製程中薄膜狀態資料、該製程後薄膜狀態資料、該薄膜量測結果及該預處理量測資料中所選的至少一個傳送至一或多個輸出裝置。
  20. 如請求項15所述之非暫時性電腦可讀儲存媒體,其中該命令還使得該一或多個處理器執行:利用該第一相關模型,自該製程條件資料、該感測資料及該製程中薄膜狀態資料,衍生出使該機率等於或大於該參考值的製程條件變化資料及目標感測資料中所選的至少一個;以及基於該製程條件變化資料及該目標感測資料中所選的至少一個,控制該些薄膜沉積裝置。
TW108109693A 2018-11-02 2019-03-21 用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體 TWI704600B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020180133542A KR101965605B1 (ko) 2018-11-02 2018-11-02 박막 증착 공정을 제어하기 위한 장치, 방법 및 명령을 기록한 기록 매체
KR10-2018-0133542 2018-11-02

Publications (2)

Publication Number Publication Date
TW202018771A TW202018771A (zh) 2020-05-16
TWI704600B true TWI704600B (zh) 2020-09-11

Family

ID=65812143

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109123463A TWI726767B (zh) 2018-11-02 2019-03-21 用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體
TW108109693A TWI704600B (zh) 2018-11-02 2019-03-21 用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109123463A TWI726767B (zh) 2018-11-02 2019-03-21 用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體

Country Status (5)

Country Link
US (2) US11597997B2 (zh)
EP (1) EP3647458A1 (zh)
JP (2) JP6865474B2 (zh)
KR (1) KR101965605B1 (zh)
TW (2) TWI726767B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818241B (zh) * 2021-01-15 2023-10-11 台灣積體電路製造股份有限公司 薄膜沈積系統及方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3916122A1 (en) * 2020-05-28 2021-12-01 Solmates B.V. Method for controlling stress in a substrate during laser deposition
JP7481185B2 (ja) * 2020-07-15 2024-05-10 株式会社神戸製鋼所 機械学習方法、機械学習装置、機械学習プログラム、通信方法、及び成膜装置
JP7481184B2 (ja) * 2020-07-15 2024-05-10 株式会社神戸製鋼所 機械学習方法、機械学習装置、機械学習プログラム、通信方法、及び成膜装置
US20240005473A1 (en) * 2020-11-30 2024-01-04 Konica Minolta, Inc. Analysis apparatus, inspection system, and learning apparatus
KR102578368B1 (ko) * 2021-01-08 2023-09-15 대한광통신(주) 머신러닝 기반 합성유리 퇴적공정 예측 방법, 장치 및 컴퓨터 프로그램
CN114662424B (zh) * 2022-05-20 2022-09-02 季华实验室 薄膜沉积模拟方法、装置、电子设备及存储介质
KR20240047842A (ko) * 2022-10-05 2024-04-12 서울대학교산학협력단 기계학습이 적용된 자동화된 박막 증착 시스템 및 박막 증착 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US20180040460A1 (en) * 2016-08-03 2018-02-08 Lam Research Corporation Methods and Systems for Monitoring Plasma Processing Systems and Advanced Process and Tool Control

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0637021A (ja) 1992-07-17 1994-02-10 Fuji Electric Co Ltd 学習予測・指示機能付半導体製造装置
JP2003519435A (ja) * 1998-10-19 2003-06-17 キネテイツク・リミテツド 層処理
US6970532B2 (en) * 2000-05-10 2005-11-29 Rigaku Corporation Method and apparatus for measuring thin film, and thin film deposition system
JP3670208B2 (ja) * 2000-11-08 2005-07-13 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
US6563578B2 (en) * 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6593748B1 (en) * 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
JP3828443B2 (ja) * 2002-03-22 2006-10-04 株式会社東芝 赤外線撮像装置及びその製造方法
US6849151B2 (en) * 2002-08-07 2005-02-01 Michael S. Barnes Monitoring substrate processing by detecting reflectively diffracted light
JP3811150B2 (ja) * 2003-09-05 2006-08-16 株式会社東芝 膜厚測定方法、膜厚測定システム、半導体装置の製造方法及び膜厚測定システム制御プログラム
CN1828508A (zh) * 2005-03-01 2006-09-06 中国科学院半导体研究所 高能电子衍射图像处理系统及方法
KR100982306B1 (ko) 2008-06-09 2010-09-15 삼성모바일디스플레이주식회사 가상 계측 장치 및 계측 방법
US20110212256A1 (en) * 2010-02-12 2011-09-01 First Solar, Inc. Deposition rate control
JP2013151721A (ja) * 2012-01-25 2013-08-08 Toyota Motor Corp 固体電解質膜の製造方法
KR101340425B1 (ko) * 2012-05-15 2013-12-10 (주)베오스솔루션 박막 증착 장치 및 방법
JP6017243B2 (ja) * 2012-09-26 2016-10-26 スタンレー電気株式会社 ZnO系半導体素子、及び、ZnO系半導体素子の製造方法
JP6116989B2 (ja) * 2013-04-22 2017-04-19 スタンレー電気株式会社 Cuドープp型ZnO系半導体結晶層とその製造方法
JP2014240780A (ja) * 2013-06-11 2014-12-25 株式会社東芝 試料構造分析方法、透過電子顕微鏡およびプログラム
US9460917B2 (en) * 2014-02-12 2016-10-04 Translucent, Inc. Method of growing III-N semiconductor layer on Si substrate
KR102135317B1 (ko) * 2016-12-12 2020-07-20 주식회사 원익아이피에스 기판 처리 장치
JP6649302B2 (ja) * 2017-02-28 2020-02-19 日本電信電話株式会社 単結晶性薄膜の形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160148850A1 (en) * 2014-11-25 2016-05-26 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
US20180040460A1 (en) * 2016-08-03 2018-02-08 Lam Research Corporation Methods and Systems for Monitoring Plasma Processing Systems and Advanced Process and Tool Control

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818241B (zh) * 2021-01-15 2023-10-11 台灣積體電路製造股份有限公司 薄膜沈積系統及方法

Also Published As

Publication number Publication date
EP3647458A1 (en) 2020-05-06
KR101965605B1 (ko) 2019-08-13
US20200140993A1 (en) 2020-05-07
TW202018771A (zh) 2020-05-16
US11597997B2 (en) 2023-03-07
TWI726767B (zh) 2021-05-01
US20230279538A1 (en) 2023-09-07
TW202046383A (zh) 2020-12-16
JP2020070494A (ja) 2020-05-07
JP2021095642A (ja) 2021-06-24
JP6865474B2 (ja) 2021-04-28

Similar Documents

Publication Publication Date Title
TWI704600B (zh) 用於控制薄膜沉積製程的裝置、方法及儲存命令的記錄媒體
US10627788B2 (en) Retrieval apparatus and retrieval method for semiconductor device processing
US7974723B2 (en) Yield prediction feedback for controlling an equipment engineering system
US11836429B2 (en) Determination of recipes for manufacturing semiconductor devices
JP2023511122A (ja) 半導体製造プロセスのための性能予測子
US11947888B2 (en) Semiconductor processing tools with improved performance by use of hybrid learning models
Chan et al. Just-in-time modeling with variable shrinkage based on Gaussian processes for semiconductor manufacturing
CN117063190A (zh) 用于预测和规定应用的多级机器学习
US20220198333A1 (en) Recipe optimization through machine learning
TW202340884A (zh) 預防保養後的腔室條件監控及模擬
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US11892821B2 (en) Communication node to interface between evaluation systems and a manufacturing system
TWI837493B (zh) 從使用神經網路的聚集統計的異常偵測
US20240184858A1 (en) Methods and mechanisms for automatic sensor grouping to improve anomaly detection
US20230306300A1 (en) Methods and mechanisms for measuring patterned substrate properties during substrate manufacturing
TW202347063A (zh) 製程控制旋鈕估計
TW202303088A (zh) 利用成像計量術的空間模式負載測量
Heider et al. Suprb in the Context of Rule-Based Machine Learning