TWI703415B - 度量系統及方法 - Google Patents

度量系統及方法 Download PDF

Info

Publication number
TWI703415B
TWI703415B TW105130737A TW105130737A TWI703415B TW I703415 B TWI703415 B TW I703415B TW 105130737 A TW105130737 A TW 105130737A TW 105130737 A TW105130737 A TW 105130737A TW I703415 B TWI703415 B TW I703415B
Authority
TW
Taiwan
Prior art keywords
measurement
illumination
wavelength
light
detector
Prior art date
Application number
TW105130737A
Other languages
English (en)
Other versions
TW201721308A (zh
Inventor
吉佑 傅
娜安 薩賓恩斯
凱文 A 彼得琳斯
史帝藍 伊凡渥夫 潘戴夫
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201721308A publication Critical patent/TW201721308A/zh
Application granted granted Critical
Publication of TWI703415B publication Critical patent/TWI703415B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • G03F9/7053Non-optical, e.g. mechanical, capacitive, using an electron beam, acoustic or thermal waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

一種光譜光束輪廓度量系統同時在一大波長範圍及一大入射角(AOI)範圍內偵測量測信號。在一項態樣中,將一多波長照明光束重新整形成一窄線形光束,該窄線形光束經投射至一疊對度量目標上,使得該線形光束之方向與該疊對度量目標之一光柵結構之廣度方向對準。所收集光沿一個方向根據AOI且沿另一方向根據波長而跨越一偵測器色散。每一偵測器像素處之所量測信號與一特定AOI及波長相關聯。該所收集光包含一階繞射光、零階繞射光或其一組合。在某些實施例中,在該偵測器之單獨區上偵測一階繞射光及零階繞射光。

Description

度量系統及方法
所闡述實施例係關於度量系統及方法,且更特定而言係關於對表徵半導體製造程序及由半導體製造程序產生之結構之參數的經改良量測之方法及系統。
通常藉由應用於一樣品之一系列處理步驟來製作諸如邏輯裝置及記憶體裝置之半導體裝置。藉由此等處理步驟形成半導體裝置之各種特徵及多個結構層級。舉例而言,除其他之外,微影亦係涉及在一半導體晶圓上產生一圖案之一種半導體製作程序。半導體製作程序之額外實例包含但不限於化學機械拋光、蝕刻、沈積及離子植入。可在一單個半導體晶圓上製作多個半導體裝置,且然後將該多個半導體裝置分離成個別半導體裝置。
在一半導體製造程序期間在各個步驟處使用度量程序來偵測晶圓上之缺陷以促成較高良率。光學度量技術提供在不具有樣本損毀之危險之情況下達成高處理量量測之可能性。通常使用若干種基於光學度量之技術(包含散射量測及反射量測實施方案)及相關聯分析演算法來表徵臨界尺寸、膜厚度、組成及奈米尺度結構之其他參數。
在一項實例中,採用二維光束輪廓反射計(2D-BPR)系統來執行半導體樣本之量測。然而,現有2D-BPR系統一次一個波長地獲取量測信號。此 在需要多個照明波長來準確地表徵樣本時限制此等系統之處理量。
在另一實例中,光譜橢圓偏光量測(SE)系統跨越照明波長之一寬光譜而執行同步量測。然而,現有SE系統一次獲取一個入射角(AOI)處之量測信號。此在需要多個AOI來準確地表徵樣本時限制此系統之處理量。
在另一實例中,藉由使用散射量測疊對(SCOL)技術由KLA-科磊公司(KLA-Tencor Inc.)製造之疊對度量工具來執行對疊對目標之基於光學之量測。現有工具每獲取一個波長地獲取SCOL信號。採用一控制系統來改變每一不同獲取處之照明波長。例示性工具採用多個二極體雷射光源或可調諧雷射光源以在不同、可選擇波長處提供照明光。
在再一實例中,藉由由ASML Holding NV製造之疊對度量工具來執行對疊對目標之基於光學之量測。在此等工具中之某些工具中,藉由在每一獲取處選擇光束路徑中之光學濾光器而採用一控制系統來改變每一不同獲取處之照明波長。
在此等實例中,在每一波長處單獨獲取對一疊對目標之反射率量測。資料獲取之此循序方法增加用以獲取多個波長處之資料且產生量測處方所需之時間。此外,照明系統必須經組態以提供不同、可選擇波長處之照明光,此增加系統複雜性。
涉及對疊對目標之量測之度量應用由於增加之小解析度要求及處理量要求而呈現挑戰。因此,用於經改良疊對量測之方法及系統係所要的。
本文中呈現用於在一寬廣範圍之入射角及一寬廣範圍之波長內同步偵測所收集光之方法及系統。
在一項態樣中,一光譜光束輪廓度量系統包含一光源,該光源發射具 有多個波長之一經準直光束。光束整形光學器件將該經準直光束重新整形成一窄線光束(例如,薄片狀剖面)。該窄線形照明光束通過一高數值孔徑(NA)物鏡,該高數值孔徑物鏡在一寬廣範圍之入射角內將該窄線光束投射至一疊對度量目標上。該窄線形光束經投射至該疊對度量目標上,使得該線形光束之方向與該疊對度量目標之一光柵結構之廣度方向對準。在與該疊對度量目標相互作用之後,所收集光沿一個方向根據AOI且沿另一方向根據波長而跨越一偵測器色散。以此方式,二維偵測器同時偵測角信號資訊(例如,入射角)及光譜資訊兩者。因此,在每一偵測器像素處之所量測信號與一特定AOI及波長相關聯。
在某些實例中,收集以第一繞射階(例如,+1及-1)自經照明量測位點繞射之光。在某些實例中,收集以零繞射階自該經照明量測位點繞射之光。在某些其他實例中,收集零階繞射光及一階繞射光兩者。在此等實例中,在該偵測器之單獨區上偵測該一階繞射光及該零階繞射光。
在又一態樣中,一波長(亦即,能量)色散元件位於光束路徑中在該偵測器之前。該波長色散元件根據波長將量測光之所收集光束色散至一個二維偵測器上。在某些實例中,一波長色散元件僅對零階繞射光起作用。然而,在某些其他實例中,一波長色散元件對一階繞射光起作用且增強來源於光與該疊對度量目標本身之相互作用之任何波長色散效應。
在另一其他態樣中,在收集路徑中採用兩個或兩個以上偵測器以同時或依序偵測信號。每一偵測器對經組態以偵測不同波長範圍。此針對寬波長範圍內之量測可係有利的,其中一單個偵測器及波長色散元件不能以充分準確性跨越整個波長範圍進行量測。
在另一其他態樣中,藉由使該窄線形照明光束相對於該疊對目標旋轉 90度而沿兩個正交方向量測一疊對目標。若利用一波長色散元件,則該波長色散元件亦經旋轉或以其他方式移動九十度或者替代地在投射至該偵測器上時將波長色散方向旋轉九十度。
在另一其他態樣中,可選擇照明孔隙及可選擇收集孔隙經組態以達成對不同目標之量測。
在又一態樣中,該光譜光束輪廓度量系統經組態以選擇所獲取波長及入射角之一子組以改良疊對量測之準確性及精確性。恰當波長及AOI範圍可由於程序變化而逐位點地改變。
在另一其他態樣中,該光譜光束輪廓度量系統經組態以將該等所獲取波長及入射角加權以改良該疊對量測之準確性及精確性。傳統上,信號選擇及加權係僅基於AOI,然而,如本文中所闡述,信號選擇及加權係基於同時收集之AOI及波長資料兩者。
在另一其他態樣中,將一經訓練SRM(信號回應度量)模型或SPI(單參數隔離)模型應用於所獲取量測信號以判定疊對值。該等SRM及SPI模型係基於疊對參考資料而訓練。
在另一其他態樣中,一空間光調變器(SLM)位於照明路徑、收集路徑或此兩者中。該SLM經組態以跨越照明光、所收集光或此兩者之路徑而調變振幅、相位分佈或此兩者以減小波前誤差且使光束之振幅及相位分佈整形。在又一態樣中,該空間光調變器達成跨越照明光束之相位分佈之可程式化組態。此可用於校正像差或取消污染信號。在某些實施例中,該SLM係一可變形鏡陣列。
在另一其他態樣中,一偏光元件位於該照明路徑中在該物鏡之前。在某些實施例中,採用一固定偏光器。在此等實施例中,可藉由單獨偵測器 而偵測兩個不同偏光分量。在某些其他實施例中,實施一連續旋轉之偏光器。在此等實施例中,一分析器元件位於該收集路徑中在該物鏡之後。
在另一其他態樣中,一補償器經添加於該照明路徑中在該偏光器之後且另一補償器經添加於該收集路徑中在該分析器之前。
在另一其他態樣中,一光束整形光學器件位於該照明路徑中。該光束整形光學器件經組態以將該窄線光束照明旋轉至一所要方位角。藉由使該窄線光束照明圍繞光束軸旋轉,有效方位角得以改變。
在另一其他態樣中,一光譜BPR系統經組態以沿著AOI方向掃描該照明光束以達成對光瞳平面之一映射。在又一態樣中,此光瞳掃描機構亦可與掃描視域平面之一第二掃描機構互補以達成對目標雜訊之平均化、同調效應之一減少及經改良準確性。
在另一其他態樣中,一光譜BPR系統包含處於共同路徑及收集路徑中之光束整形光學器件。以此方式,光束形狀僅在進入該物鏡及任何波長色散元件之前係一窄線形狀。
在另一其他態樣中,一光譜BPR系統包含兩個波長色散元件及兩個對應偵測器。一個偵測器經組態以執行對正進行量測之樣品之光瞳量測。另一偵測器經組態以執行對同一樣品之視域量測。
在某些實施例中,同時偵測視域量測信號及光瞳量測信號兩者。經偵測信號經迭代處理以估計一或多個結構或程序參數值。更具體而言,基於藉助一光瞳量測模型對光瞳量測信號之一迭代回歸及藉助一視域量測模型對視域量測信號之回歸而判定與至少一個量測目標相關聯之至少一個結構或程序參數之值。
在某些實施例中,視域量測信號及光瞳量測信號在一經組合分析中處 理以估計一或多個結構或程序參數值。在此等實例中,量測模型係一經組合量測模型,其結合針對光瞳量測及視域量測兩者的度量目標之結構參數、材料參數或結構參數與材料參數之一組合。
在另一其他態樣中,一光譜BPR系統包含處於量測路徑中在物鏡之前的一光束組合元件。一自動聚焦探測光束、一圖案辨識探測光束或此兩者之一組合在進入該物鏡之前與照明光束組合,且一自動聚焦信號光束、一圖案辨識信號光束或此兩者之一組合在離開該物鏡之後自收集光束提取。
在另一態樣中,採用一高光譜偵測器來偵測一光譜光束輪廓度量系統之光譜分量。
前述內容係一發明內容且因此必須含有細節之簡化、概述及省略;因此,熟習此項技術者將瞭解,發明內容僅係說明性的且不以任何方式為限制性的。在本文中所陳述之非限制性實施方式中,本文中所闡述之裝置及/或程序之其他態樣、發明性特徵及優點將變得顯而易見。
100:光譜光束輪廓度量系統/度量系統/系統/光譜光束輪廓反射計系統
101:多波長照明源/照明源
102:準直光學器件
103:彩色濾光器
104:照明孔隙/可選擇照明孔隙/切趾器
105:偏光器
106:物鏡/補償器
107:光束整形光學器件/光束整形元件
107A:圓形強度剖面
107B:窄線強度剖面/線
108:照明孔隙/可選擇照明孔隙
109:照明分束器
110:強度監測器
111:高數值孔徑物鏡透鏡/物鏡/高數值孔徑物鏡
112:樣品
113:補償器
114:分析器
115:收集孔隙/可選擇收集孔隙
116:光瞳中繼光學器件
117:波長色散元件
118:二維偵測器/偵測器/光瞳偵測器
119:照明光/多波長照明光/經準直照明光束/經準直照明光
120:光束整形光學器件
121:光束整形光學器件
122:偏光分束器
123:波長色散元件
124:偵測器
125:分束器
126:波長色散元件
127:視域偵測器/二維偵測器/視域信號偵測器
128:光束組合元件/光學組合元件/光學元件
129:分束器
130:運算系統/單電腦系統/多電腦系統/電腦系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
135:反射性信號/光譜光束輪廓反射計信號/量測信號/光譜光束輪廓反射計光瞳信號
136:光譜光束輪廓反射計信號
137:信號/視域量測信號/視域信號
138:自動聚焦信號
139:圖案辨識信號
140:參數值
141:自動聚焦子系統
142:圖案辨識子系統
143:掃描鏡
144:高光譜偵測器/偵測器/互補金屬氧化物半導體高光譜偵測器
145:聚焦光學器件
146:自動聚焦探測光束
147:圖案辨識探測光束
150:半摺疊鏡
151:光束輪廓/圓形光束輪廓
152:窄線光束輪廓/窄光束輪廓
155:四單元摺疊鏡/四單元鏡
156:光束輪廓/圓形光束輪廓
157:窄線光束輪廓/窄光束輪廓
160:窄線形鏡
161:光束輪廓/圓形光束輪廓
162:窄線光束輪廓/窄光束輪廓
165:窄十字形鏡
166:光束輪廓/圓形光束輪廓
167:窄線光束輪廓/窄光束輪廓
180A:強度剖面
180B:強度剖面
180C:強度剖面
180D:強度剖面
181A:強度剖面
181B:強度剖面
181C:強度剖面
181D:強度剖面
182A:強度剖面
182B:強度剖面
182C:強度剖面
182D:強度剖面
183A:強度剖面
183B:強度剖面
183C:強度剖面
183D:強度剖面
184A:強度剖面
184B:強度剖面
184C:強度剖面
184D:強度剖面
185A:強度剖面
185B:強度剖面
185C:強度剖面
185D:強度剖面
186A:強度剖面
186B:強度剖面
186C:強度剖面
186D:強度剖面
187A:強度剖面
187B:強度剖面
187C:強度剖面
187D:強度剖面
188A:強度剖面
188B:強度剖面
188C:強度剖面
189A:強度剖面
189B:強度剖面
189C:強度剖面
189D:投射
190A:強度剖面
190B:強度剖面
190C:強度剖面
190D:強度剖面
200:光譜光束輪廓度量系統/度量系統/系統
210:四單元疊對目標/疊對目標
211A:單元/光柵目標
211B:單元
211C:單元/光柵目標
211D:單元
215:窄線形光束
216:物鏡光瞳
220:光偵測表面/表面
221:物鏡光瞳區
300:光譜光束輪廓度量系統/度量系統/系統
400:光譜光束輪廓度量系統/度量系統/系統
500:光譜光束輪廓度量系統/度量系統/系統
600:光譜光束輪廓度量系統/系統
A:入射角區域
B:入射角區域
B’:入射角區域
C:入射角區域
D:入射角區域
D’:入射角區域
λ:照明波長
圖1圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一光譜光束輪廓度量系統100之一實施例。
圖2圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一光譜光束輪廓度量系統200之另一實施例。
圖3圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一光譜光束輪廓度量系統300之另一實施例。
圖4圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一光譜光束輪廓度量系統400之另一實施例。
圖5圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特 性之一光譜光束輪廓度量系統500之另一實施例。
圖6圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一光譜光束輪廓度量系統600之另一實施例。
圖7A至圖7C繪示用作本文中所闡述之光譜光束輪廓度量系統中之一光束組合元件之一半摺疊鏡150。
圖7D至圖7F繪示用作本文中所闡述之光譜光束輪廓度量系統中之一光束組合元件之一四單元摺疊鏡155。
圖8A至圖8C繪示用作本文中所闡述之光譜光束輪廓度量系統中之一光束組合元件之一窄線形鏡160。
圖8D至圖8F繪示作為本文中所闡述之光譜光束輪廓度量系統中之一光束組合元件之一窄十字形鏡165。
圖9A至圖9C繪示分別針對三個例示性量測應用之照明孔隙及收集孔隙選擇。
圖10A至圖10C繪示分別與三個不同方位角選擇相關聯之光束強度輪廓。
圖11A至圖11B繪示與兩個不同方位角選擇及一AOI選擇相關聯之光束強度輪廓。
圖12A至圖12B圖解說明與兩個不同照明切趾器及收集孔隙選擇相關聯之光束強度輪廓,該等選擇限制AOI範圍以自一樣本收集零階繞射光。
圖13圖解說明由於位於照明路徑中之一掃描鏡143之定向之改變所致之一光譜BPR系統中之光束強度輪廓之一移位。
圖14圖解說明安置於一樣品上之一例示性四單元疊對目標210。
圖15繪示由一窄線形照明光束沿x方向照明之一疊對度量目標之一單 元。
圖16繪示沿一個方向根據入射角及沿另一方向根據波長色散之入射一階繞射光。
圖17繪示沿一個方向根據入射角及沿另一方向根據波長色散之零階繞射光。
圖18繪示沿一個方向根據入射角及沿另一方向根據波長色散之入射零階及一階繞射光。
圖19繪示由一窄線形照明光束沿y方向照明之一疊對度量目標之一單元。
圖20繪示沿一個方向根據入射角及沿另一方向根據波長色散之入射一階繞射光。
圖21繪示沿一個方向根據入射角及沿另一方向根據波長色散之零階繞射光。
圖22係表示適合於由一光譜光束輪廓度量系統(諸如分別在圖1至圖5中所圖解說明之度量系統100、200、300、400及500)實施之一方法700之一流程圖。
相關申請案交叉參考
本專利申請案依據35 U.S.C.§119主張於2015年9月23日提出申請之標題為「Spectroscopic BPR Method and Apparatus for Overlay Measurement」之美國臨時專利申請案第62/222,314號之優先權,該美國臨時專利申請案之標的物以其全文引用方式併入本文中。
現在將詳細參考背景技術實例及本發明之某些實施例,本發明之實例 在附圖中圖解說明。
本文中呈現用於用光譜光束輪廓度量進行疊對量測之方法及系統。本文中出於圖解目的呈現一光譜光束輪廓度量系統之數項實施例。在此等實施例中,同時在一大波長範圍及一大入射角範圍內偵測疊對量測信號。藉由同時在大波長及入射角範圍之情況下獲取疊對信號,可針對每一特定量測應用迅速地識別最佳波長及AOI範圍。另外,可同時採用一或多個寬頻照明源來提供一波長範圍內之照明。
光柵量測尤其與疊對之量測相關。疊對度量之目標係判定不同微影曝光步驟之間的移位。執行裝置上疊對度量由於裝置上結構之小大小及通常小疊對值而係困難的。
舉例而言,典型刻劃線疊對度量目標之間距自200奈米變化至2,000奈米。但裝置上疊對度量結構之間距通常係100奈米或更小。另外,在一正常生產環境中,裝置疊對僅係裝置結構之週期性之一小部分。相比而言,在散射量測疊對中使用之代理度量結構通常以較大值(例如,間距之四分之一)偏移以增強信號對疊對之敏感度。本文中所闡述之方法及系統可用於基於裝置上結構、代理結構或此兩者獲得對疊對敏感之一量測信號。
在一項態樣中,一光譜光束輪廓度量系統包含一光源,該光源發射具有多個波長之一經準直光束。光束整形光學器件將經準直光束重新整形成一窄線光束(例如,薄片狀剖面),該窄線光束通過一高數值孔徑(NA)物鏡,該高數值孔徑物鏡將該窄線光束投射至一疊對度量目標之表面上。窄光束在一寬廣範圍之入射角內與正進行量測之疊對度量目標之光柵方向對準。
在某些實例中,光譜光束輪廓度量系統係一光譜光束輪廓反射計(BPR)系統。在此等實例中,每一像素處之經偵測量測信號表示針對一特 定AOI及一特定波長之反射性信號。
圖1圖解說明用於根據本文中所呈現之例示性方法量測一樣品之特性之一系統100。如在圖1中所展示,系統100可用於執行對安置於樣品112上之一疊對目標之光瞳量測。光瞳量測包含跨越一個二維偵測器色散之波長及AOI資訊兩者。在此態樣中,系統100可經組態為一光譜光束輪廓反射計(BPR)。系統100包含多波長照明源101、光束整形光學器件107、一高數值孔徑(NA)物鏡透鏡111(例如,NA>0.7)、一波長色散元件117(選用)及一個二維偵測器118。偵測器118同時在一AOI範圍及一波長範圍內自樣品112獲取反射性信號。反射性信號135由運算系統130處理以估計疊對值。
如在圖1中所繪示,系統100包含一照明源101,該照明源產生具有多個波長之一定量之照明光119。在某些實施例中,照明源101係一寬頻照明源,諸如一弧光燈(例如,氙燈)、一雷射驅動光源、一多波長雷射、一超連續光譜雷射等。在某些其他實施例中,照明源101組合多個窄頻光源,諸如多個單波長雷射、可調諧窄頻雷射等。在某些實施例中,照明源101包含寬頻照明源與窄頻照明源之一組合。在某些實施例中,照明源101包含多個光源,該等光源發射跨越深紫外線、紫外線、可見、近紅外線及紅外線光譜之光。可藉由一或多個滑動鏡、分束器或任何其他適合組態而組合多個光源。一般而言,照明源101可包含光源之任何組合。在一項實例中,照明源101包含橫跨100奈米與2,500奈米之間的波長之一範圍之一或多個光源。
如在圖1中所繪示,多波長照明光119通過準直光學器件102。準直光學器件102將多波長照明光119準直。準直光學器件102包含透鏡組件、鏡組件或此兩者之一組合。在一項實施例中,多波長照明光119由一離軸抛物 面鏡(OAP)準直且變成一經準直圓形光束。在某些實例中,準直光學器件102經組態以調整照明NA。
如在圖1中所繪示,由準直光學器件102準直之多波長照明光通過一或多個彩色濾光器103。彩色濾光器103出於量測目的選擇一或多個照明波長及對應波長範圍,且吸收或以其他方式耗散非所要波長。一或多個彩色濾光器103可包含一或多個光學濾光器、一或多個彩色濾光器輪、一或多個線性變化邊緣濾光器等。一或多個彩色濾光器103可包含一或多個長通濾光器、低通濾光器、帶通濾光器等。一般而言,選擇適合於一給定量測應用之一或多個波長範圍係有利的。
如在圖1中所繪示,儘管系統100包含一或多個彩色濾光器103,但在某些其他實施例中,可不採用彩色濾光器。因此,一般而言,彩色濾光器之使用係選用的。
如在圖1中所繪示,多波長照明光119通過位於照明路徑中在物鏡111之前的光束整形光學器件107。光束整形光學器件107經組態以將傳入光束重新整形成一窄線形狀。一般而言,多波長照明光119具有一個二維強度剖面。如在圖1中所繪示,多波長照明光束具有一圓形強度剖面107A。在與光束整形光學器件107相互作用之後,多波長照明光束具有大致係一維之一窄線強度剖面107B(亦即,強度剖面實質上沿一個維度延伸,而不實質上沿一正交維度延伸)。在不具有光束整形光學器件107之情況下,照明光束119將以空間分離之方位分量及AOI分量投射至樣品112上。然而,在藉由光束整形光學器件107進行重新整形之後,方位分量在跨越線107B之方向上有效地塌縮成一單個方位值,而AOI分量在沿著線107B之方向上得以保留。儘管方位資訊丟失,但此達成對波長資訊之偵測,如下文進一步詳細 地闡述。
光束整形光學器件107將多波長照明光束自一圓形形狀重新整形成具有可忽略光損耗之一線形狀。因此,光譜BPR系統具有極高光效率。此以極短獲取時間達成對疊對之量測。
在一項實施例中,採用一對柱面鏡來將傳入光束整形成一窄線形狀。在其他實施例中,採用一柱面透鏡、一空間光調變器(SLM)、一繞射光學元件、一狹縫或狹縫群組、兩個或兩個以上可變形鏡或其他適合元件來將光束整形成一窄線形狀。在某些實施例中,一壓電驅動鏡位於照明路徑中以使窄線形光束沿著樣本表面移動。
一般而言,傳入光束可經整形成任何大致一維形狀。取決於特定量測應用因素,諸如目標特徵、系統像差(標準或視域相依型)、晶圓形狀等,可設想其他形狀,諸如點、弧、曲線或根據本文中所闡述之方法及系統達成同步角資訊及光譜資訊之收集之任何其他適合形狀。
在藉由光束整形光學器件107重新整形之後,窄線照明光束經引導至照明分束器109。照明分束器109可包含任何適合分束元件,包含但不限於一立方分束器、一金屬塗層板、一個二向色光學塗層板或其他分束機構。照明分束器109將經準直窄線照明之一部分引導至物鏡111且將另一部分引導至強度監測器110。在某些實施例中,強度監測器110以通信方式耦合至運算系統130且將對總體照明強度、照明強度輪廓或此兩者之一指示提供至運算系統130。物鏡111在一寬廣範圍之入射角內將經準直窄線照明引導至樣品112之表面。藉由物鏡111收集自樣品112之表面反射、繞射及散射之光。
在一較佳實施例中,物鏡111僅包含反射性光學表面以適應可能由本 文中所闡述之光譜BPR系統採用之波長範圍。在某些實例中,採用一反射錐面(reflaxicon)物鏡。此一物鏡能夠具有高NA(例如,NA>0.9)。
在某些實施例中,物鏡111之設計可針對實質上一維光束最佳化,此乃因如在圖1中所闡述,進入物鏡111之照明光束之強度剖面係實質上一維的(例如,窄線形狀)。
在某些實施例中,多個物鏡位於一可移動載台(例如電動物鏡轉輪或電動線性物鏡轉換器)上。以此方式,可(舉例而言)藉由運算系統130而可程式化地控制一特定物鏡之選擇。以此方式,不同物鏡可用於針對不同量測應用提供最佳波長範圍及NA範圍。
一般而言,高NA物鏡111可係反射的(亦即,全部反射性表面)、反射折射的(亦即,反射性表面與折射性表面兩者之組合)或折射的(亦即,全部折射性表面)。
圖14圖解說明安置於樣品112上之一例示性四單元疊對目標210。疊對目標210包含四個單元211A至211D。每一單元包含一光柵結構,該光柵結構沿一個維度自另一光柵結構偏移。兩個光柵結構係在一個方向上彼此偏移達一已知經程式化偏移及一未知疊對偏移之標稱的相同結構。舉例而言,單元211A包含在x方向上彼此偏移達一經程式化偏移fx及一未知疊對偏移OVLx之兩個光柵結構。類似地,單元211D包含在x方向上彼此偏移達相反經程式化偏移-fx且在同一方向上偏移達未知疊對偏移OVLx之兩個光柵結構。藉由比較自單元211A及211D收集之量測資料,經程式化偏移之效應取消,從而曝露在x方向上之未知疊對值。
類似地,單元211B包含在y方向上彼此偏移達一經程式化偏移fy及一未知疊對偏移OVLy之兩個光柵結構。類似地,單元211C包含在y方向上彼 此偏移達相反經程式化偏移-fy且在同一方向上偏移達未知疊對偏移OVLy之兩個光柵結構。藉由比較自單元211B及211C收集之量測資料,經程式化偏移之效應取消,從而曝露在y方向上之未知疊對值。
在一項態樣中,將窄線形照明光束投射至一度量目標上,使得窄線形光束之方向與正進行量測之特定度量目標之光柵方向對準。
圖15繪示由窄線形光束215照明之單元211C,該窄線形光束由光束整形光學器件107產生且藉由物鏡111投射至單元211C上。如在圖15中所繪示,窄線形光束215位於樣品112之表面處之物鏡光瞳216內且以平行於單元211C之光柵結構之廣度方向(亦即,x方向)的一方向延伸。舉例而言,光柵結構可包含沿x方向延伸之週期劃線及空間。
如在圖1中所繪示,由物鏡111收集之光穿過一光瞳偵測路徑而引導至一個二維偵測器118。在某些實施例中,偵測器118係一個二維電荷耦合裝置(2D-CCD)。在某些其他實施例中,偵測器118係一個二維或三維互補金屬氧化物半導體(CMOS)感測器。一般而言,偵測器118可係具有單獨可定址像素之任何偵測器或沿兩個維度排列之其他光學敏感元件。以此方式,藉由系統100單獨地解析AOI資訊及波長資訊兩者。
在圖1中所繪示之實施例中,光瞳偵測路徑包含將所收集光引導至波長色散元件117之光瞳中繼光學器件116。波長色散元件117根據波長將所收集光跨越二維偵測器118之一個維度色散。波長色散元件117經定向,使得二維偵測器118之表面上之波長色散方向垂直於根據AOI之所收集光之色散方向。以此方式,二維偵測器同時偵測角信號資訊(例如,入射角)及光譜資訊兩者。
在一項實施例中,偵測器118之一個維度與窄線光束之投射之方向對 準。在此維度上(亦即,平行於線光束方向之方向),窄線光束隨入射角(AOI)而變化地色散至偵測器118上。波長色散元件117經定向,使得波長色散方向垂直於窄線光束。因此,窄線光束沿二維偵測器之第二、正交維度隨波長而變化地色散於偵測器上。因此,偵測器118之每一像素處之經偵測量測信號表示針對一特定AOI及一特定波長之散射量測信號。
一反射性光柵針對寬波長範圍係較佳的。光柵密度經選擇以達成針對量測應用之波長解析度。舉例而言,若需要紫外線光譜中之高波長解析度,則一高密度反射性光柵或一稜鏡係較佳的。一般而言,波長色散元件117可包含至少一個彎曲繞射光柵、平面繞射光柵、全像板、稜鏡或適合於根據波長將所收集光在空間上色散之任何其他元件。
在某些實施例中,疊對目標本身根據波長(亦即,能量)色散入射光且藉由光譜光束輪廓度量系統100之二維偵測器118而收集並偵測經色散光而不採用波長色散元件117。在一項實施例中,偵測器之一個維度沿著線光束方向對準。在此維度上(亦即,平行於線光束方向之方向),窄線光束隨入射角(AOI)而變化地色散於偵測器上。在二維偵測器之第二、正交維度上,窄線光束隨波長而變化地色散於偵測器上。因此,每一像素處之經偵測量測信號表示針對一特定AOI及一特定波長之散射量測信號。
在另一態樣中,基於自一疊對目標以0繞射階、+1及-1繞射階或其一組合繞射之經偵測光而執行對一疊對目標之光譜光束輪廓量測。
由於大部分疊對量測目標(例如,SCOL目標)經設計為二維光柵結構,因此疊對目標本身充當光譜BPR系統100中之一波長色散元件。入射至度量目標之窄線形光束(舉例而言如在圖15中所繪示)產生0階及更高階繞射光。若滿足方程式(1),則由高NA物鏡111收集更高階光束。關於方程式 (1),NA係物鏡之數值孔徑,m係繞射階數,λ係照明波長,且d係疊對目標間距值。
Figure 105130737-A0305-02-0019-1
在一項實例中,物鏡111之數值孔徑係0.93且最小照明波長經選擇為400奈米。在此實例中,疊對目標間距必須係至少431奈米以確保物鏡111收集以+1及-1繞射階繞射之光。
在某些實施例中,對一SCOL目標之光譜光束輪廓量測係基於一階繞射光(例如,+1及-1繞射階)。在此等實施例中之某些實施例中,SCOL目標充當一色散元件且藉由一個二維偵測器而獲取+1及-1繞射階信號,該二維偵測器同時記錄入射角(AOI)及波長域兩者中之疊對資訊。一般而言,基於+1/-1繞射階光之疊對量測適合於具有相對大間距值(例如,大於400奈米)之SCOL目標,該等SCOL目標並不遵守針對20奈米半導體製作節點之間距設計規則。
如參考圖15所闡述,疊對目標210之單元211C之光柵劃線平行於投射至晶圓表面上之照明線。圖16繪示偵測器118之光偵測表面220。圖16中亦圖解說明偵測器處之物鏡光瞳區221之投射。如在圖16中所繪示,自疊對目標反射之+/-1繞射階信號沿垂直於光柵劃線之方向經波長色散且沿平行於光柵劃線之方向根據AOI色散。物鏡透鏡不收集自物鏡光瞳區221外部之光柵目標211C繞射之光(亦即,較大AOI及波長值)。
如在圖16中所繪示,在一項實例中,如本文中所闡述而量測一大間距光柵(例如,大於450奈米之間距)。在偵測器處獲取之信號含有在介於0度與65度之間的一AOI範圍及介於360奈米與720奈米之間的一波長範圍內之+1階及-1階SCOL單元反射率兩者。此外,零階信號並不藉由一額外波長 色散元件色散。因此,0階信號作為經反射光之一窄線形光束而投射至偵測器118之表面220上。
在圖16中所繪示之實施例中,0階光及+1/-1階光兩者經偵測且可用於分析以估計疊對值。然而,在某些實施例中,0階光由一孔隙阻擋以防止偵測器118之飽和。在此等實施例中,僅+1/-1階光可用於分析以估計疊對值。
在某些實施例中,對一疊對目標之光譜光束輪廓量測係基於由位於收集路徑中之一色散元件而波長(亦即,能量)色散之零階繞射光。藉由一個二維偵測器而獲取經色散零階信號,該二維偵測器同時記錄入射角(AOI)及波長域兩者中之疊對資訊。
當使用一可見波長光源(例如,400奈米至800奈米)時,針對具有小光柵間距(例如,小於200奈米)之疊對目標,以+1/-1繞射階繞射之光無法由一實際物鏡捕獲。因此,針對此等小間距光柵結構,僅0階光被收集。
一般而言,僅基於0階光之疊對量測適合於具有小間距值(例如,小於200奈米)之SCOL目標,該等SCOL目標遵守針對20奈米半導體製作節點之間距設計規則。
在此等實例中,在收集路徑中採用一波長色散元件(諸如波長色散元件117)以沿垂直於線形狀之方向色散線形光束。由二維偵測器118收集該經色散光。每一像素處之經偵測信號指示每一特定波長及AOI處之0階光之反射率。如在圖17中所繪示,收集到在介於0度與65度之間的一入射角範圍內及在介於360奈米與900奈米之間的一波長範圍內之0階光。
在某些實施例中,對一疊對目標之光譜光束輪廓量測係基於由位於收集路徑中之一色散元件而波長(亦即,能量)色散之零階繞射光及一階繞射光(例如,+1及-1繞射階)。如在圖18中所繪示,自疊對目標210之單元211C 反射之+/-1繞射階信號係沿平行於光柵劃線投射至偵測器118之表面220上之方向的方向根據AOI而色散。自疊對目標210之單元211C反射之+/-1繞射階信號亦沿垂直於AOI之色散方向的方向根據波長色散。另外,來自疊對目標210之單元211C之0階信號經投射至不同於+1/-1繞射階信號的偵測器118之表面220之一區上。如在圖19中所繪示,自疊對目標210之單元211C反射之0繞射階信號係沿平行於光柵劃線投射至偵測器118之表面220上之方向的方向根據AOI而色散。自疊對目標210之單元211C反射之0繞射階信號亦沿垂直於AOI之色散方向的方向根據波長色散。以此方式,藉由一個二維偵測器而獲取0階繞射光及+1/-1繞射階光兩者,該二維偵測器同時記錄入射角(AOI)及波長域兩者中之疊對資訊。
在某些實施例中,經色散0階光束填充偵測器之中間且用於使+/-1階信號正規化。在某些實施例中,經色散0階光束填充偵測器之中間且用於評估SCOL目標之單元之結構品質。
如上文所闡述,一光譜光束輪廓度量系統可包含處於收集路徑中在偵測器之前的一波長(亦即,能量)色散元件以色散自正進行量測之疊對目標繞射之光。一般而言,一波長色散元件可由一光譜光束輪廓度量系統採用以色散自疊對目標反射之0階光束、+1/-1繞射階光束或其一組合。波長色散元件用於增加經偵測波長之解析度。在某些實例中,疊對目標本身以+1/-1繞射階提供某種波長色散。然而,在此等實例中,在收集路徑中採用一波長色散元件以在光束到達偵測器之前根據波長進一步色散信號可係有利的。
在又一態樣中,一低通濾光器、高通濾光器、帶通濾光器或光學濾光器之任何適合組合可選擇地位於一光譜光束輪廓度量系統之照明光束路徑 中以基於SCOL單元間距值選擇所要照明波長範圍。一般而言,照明波長範圍經選擇以確保經繞射+1/-1繞射階信號之足夠波長範圍且避免偵測器上不同繞射階之重疊。
在另一其他態樣中,一分選濾光器、一熱鏡、一冷鏡或光學濾光器之任何適合組合可選擇地位於一光譜光束輪廓度量系統之收集光束路徑中以避免偵測器上不同繞射階之重疊。
在某些實例中,一SCOL目標之光柵間距係900奈米且可用寬頻照明包含處於240奈米至1000奈米之範圍內之波長。基於SCOL疊對敏感度分析,收集介於350奈米與820奈米之間的一波長範圍內之光譜BPR量測資料係合意的。
在一項實例中,照明濾光器用於避免350奈米處之2繞射階光束與701奈米處之1繞射階光束重疊。在此實例中,一帶通濾光器位於照明路徑中以選擇具有介於350奈米與700奈米之間的波長之照明光。當用此光照明疊對目標時,偵測器收集經繞射信號之一第一影像。在收集第一影像之後,照明帶通濾光器經重新組態以選擇具有介於410奈米與820奈米之間的波長之照明光。當用此光照明疊對目標時,偵測器收集經繞射信號之一第二影像。採用一疊對計算演算法來基於所量測信號自兩個影像判定疊對值之一估計。
在另一實例中,照明濾光器及收集濾光器兩者用於避免350奈米處之2繞射階光束與701奈米處之1繞射階光束重疊。在此實例中,一帶通濾光器位於照明路徑中以選擇具有介於350奈米與820奈米之間的波長之照明光。另外,一分選濾光器位於收集路徑中。在此實例中,所收集影像資料將具有介於350奈米與820奈米之間的一連續波長範圍而不存在偵測器上2 階繞射光與1階繞射光重疊之情況。
如在圖14中所圖解說明,疊對目標210包含具有沿x方向延伸之光柵結構(例如,沿x方向延伸之週期劃線及空間)之兩個單元(211B及211C)及具有沿y方向延伸之光柵間距(例如,沿y方向延伸之劃線及空間)之兩個單元(211A及211D)。在另一其他態樣中,藉由將窄線形照明光束旋轉90度而沿兩個正交方向量測一疊對目標(諸如疊對目標210)。若利用一波長色散元件,則該波長色散元件亦經旋轉或以其他方式移動九十度或者替代地在投射至偵測器上時將波長色散方向旋轉九十度。
圖19繪示由窄線形光束215照明之單元211A,該窄線形光束由光束整形光學器件107產生且藉由物鏡111投射至單元211A上。如在圖19中所繪示,窄線形光束215位於樣品112之表面處之物鏡光瞳216內且窄線形光束215之方向與單元211A之光柵結構之廣度方向(亦即,y方向)對準。
在某些實施例中,光束整形光學器件107包含一柱面透鏡或鏡群組且該柱面透鏡或鏡群組經旋轉90度以將窄線形光束215至樣品112上之投射有效地旋轉90度。以此方式,可在不必旋轉樣品112之情況下量測x及ySCOL目標。
在某些實施例中,柱面透鏡或鏡群組及色散元件安裝於一可旋轉馬達或滑件或載臺上以將窄線形光束旋轉90度。
圖20繪示偵測器118之光偵測表面220。圖20中亦圖解說明偵測器處之物鏡光瞳區221之投射。如在圖20中所繪示,自單元211A反射之+/-1繞射階信號係沿垂直於光柵劃線投射至偵測器118上之方向而經波長色散且沿平行於光柵劃線之方向而根據AOI色散。物鏡透鏡不收集自物鏡光瞳區221外部之光柵目標211A繞射之光(亦即,較大AOI及波長值)。
類似地,如在圖21中所繪示,自單元211A反射之0階繞射信號沿垂直於光柵劃線之投射之方向而經波長色散至偵測器118上且沿平行於光柵劃線之方向根據AOI色散。如在圖21中所繪示,收集在介於0度與65度之間的一入射角範圍內及在介於360奈米與900奈米之間的一波長範圍內之0階繞射光。
在一項實施例中,運算系統130在一回歸分析中基於光譜BPR信號135而判定對一疊對參數之一估計。
在此實例中,一疊對量測模型包含就疊對偏移而言疊對度量目標之一參數化。另外,疊對量測模型包含量測工具本身之一參數化(例如,波長、入射角、偏光角等)。另外,模擬近似(例如,切片(slabbing)、嚴格耦合波分析(RCWA)等)經仔細地執行以避免引入過多大誤差。定義離散化及RCWA參數。
機器參數(Pmachine)係用於表徵度量工具本身之參數。例示性機器參數包含入射角(AOI)、分析器角(A0)、偏光器角(P0)、照明波長、數值孔徑(NA)等。樣品參數(Pspecimen)係用於表徵樣品之幾何性質及材料性質之參數。
出於量測目的,疊對量測模型之機器參數視為已知的,疊對量測模型之固定參數及樣品參數或樣品參數之一子集視為未知、浮動參數。藉由一擬合程序(例如,回歸、庫匹配等)來解析浮動參數,該擬合程序產生理論預測與所量測資料之間的最佳擬合。使未知樣品參數Pspecimen變化且計算模型輸出值直至判定產生模型輸出值與所量測值之間的一接近匹配之一組樣品參數值。
在又一態樣中,光譜光束輪廓度量系統經組態以選擇所獲取波長及入射角之一子組以改良疊對量測之準確性及精確性。恰當波長及AOI範圍可 由於程序變化而逐位點地改變。
在另一其他態樣中,光譜光束輪廓度量系統經組態以將所獲取波長及入射角加權以改良疊對量測之準確性及精確性。
傳統上,信號選擇及加權係僅基於AOI,然而,如本文中所闡述,信號選擇及加權係基於同時收集之AOI及波長資料兩者。
在另一其他態樣中,將一經訓練SRM(信號回應度量)模型或SPI(單參數隔離)模型應用於所獲取量測信號以判定疊對值。SRM及SPI模型係基於疊對參考資料而訓練。
在某些其他實施例中,可採用固體浸沒技術以在系統之光瞳內包含以較高階(亦即,不同於零之任何階)繞射之光。以此方式,可採用相同偵測器來偵測零階繞射光及較高階繞射光兩者,甚至針對不具有一大收集NA之系統亦如此。
在又一態樣中,在收集路徑中採用兩個或兩個以上偵測器以同時或依序偵測信號。在某些實施例中,每一偵測器經組態以同時偵測不同波長範圍。此針對寬波長範圍內之量測可係有利的,其中一單個偵測器不能以充分準確性跨越整個波長範圍進行量測。舉例而言,一個偵測器係針對紫外線至可見範圍而最佳化,而另一偵測器係針對紅外線範圍而最佳化。此等偵測器能夠共同地產生一寬光譜範圍內之量測信號。在一項實例中,期望跨越介於190奈米與2,300奈米之間的一波長範圍之量測。在此實例中,採用一背部薄化CCD影像感測器(例如,由Hamamatsu公司(日本)製造之背部薄化CCD影像感測器型號S10420)來執行在介於190奈米與1,000奈米之間的波長範圍內之量測。另外,採用一光電二極體陣列(例如,由Hamamatsu公司(日本)製造之InGaAs線性影像感測器型號G9207-256W)來執行在介 於950奈米與2,300奈米之間的波長範圍內之量測。
在某些實施例中,採用一經級聯光譜儀設計以同時在不同波長範圍內偵測量測信號。一分選濾光器可經添加以提供較高階信號與較低階信號之間的光譜分離。在一項實施例中,藉由一熱鏡或冷鏡而達成波長範圍分離。在另一實施例中,針對波長分離及信號偵測而使用一經級聯紫外線+紅外線光譜儀設計來達成波長範圍分離,如在讓與KLA-科磊公司之美國專利第8,873,050號中所闡述(該美國專利之標的物以其全文引用方式併入本文中)。
在另一其他態樣中,一或多個照明孔隙位於一光譜光束輪廓度量系統之照明路徑中以使窄線形照明光束之強度輪廓衰減。此達成一較小量測箱大小,使得可量測較小特徵。在某些實施例中,一或多個切趾器或狹縫位於照明路徑中以減小量測光點大小。在某些實施例中,切趾器或狹縫位於照明路徑中以限制量測AOI之範圍。
如在圖1中所繪示,多波長照明光119通過位於照明路徑中在光束整形光學器件107之前的一或多個照明孔隙104。可藉由任何適合裝置(包含但不限於一機械針孔、一空間光調變器(SLM)、一切趾器及任何其他光束形成及控制組件或子系統)而形成可選擇照明孔隙104之孔隙。
在某些實施例中,位於照明路徑中之一切趾器用於在光束由光束整形光學器件107實質上重新整形之前藉由使照明光束衰減而減小量測光點大小。在此等實施例中之某些實施例中,切趾器104經選擇以使光強度輪廓衰減來減小邊緣繞射效應。
在某些實施例中,切趾器104經選擇以控制照明NA範圍,且因此控制可用AOI之範圍。在圖12A中所繪示之一項實例中,正進行量測之樣品112 包含具有500奈米之一間距之一光柵結構。照明光119包含處於190奈米至2,500奈米之範圍內之波長分量。在此實例中,切趾器104經選擇以將照明AOI之範圍限制於32度至65度內。此達成此實例中之零階繞射信號收集。圖12A繪示在與切趾器104相互作用之前經準直照明光束119之強度剖面188A。圖12A亦繪示在與切趾器104相互作用之後經準直照明光束119之強度剖面188B。如在圖12A中所繪示,切趾器104藉由使光束之中心中(小AOI)之照明強度顯著地衰減而限制照明AOI之範圍。圖12A繪示當照明光束進入物鏡111時照明光束之強度剖面188C。如在圖12A中所圖解說明,強度剖面受切趾器104與藉由光束整形光學器件107對強度剖面之「平坦化」之組合影響。圖12A繪示所收集光至偵測器118上之投射188D。在此實例中,採用一收集孔隙115來阻擋與小於32度之一AOI相關聯之所收集光束。此有效地阻擋具有一非零繞射階之所收集光。因此,偵測器118感測在32度至65度之範圍內之AOI(亦即,在圖12A中所圖解說明之AOI區域B及B,)之光且不感測介於0度與32度之間的AOI之範圍內(亦即,在圖12A中所圖解說明之AOI區域A)之大量光。
在圖12B中所繪示之另一實例中,切趾器104經選擇以將照明AOI之範圍限制於15度至65度內。此亦達成此實例中之零階繞射信號收集。圖12B繪示在與切趾器104相互作用之前經準直照明光束119之強度剖面189A。圖12B亦繪示在與切趾器104相互作用之後經準直照明光束119之強度剖面189B。如在圖12B中所繪示,切趾器104藉由使光束之中心中(小AOI)之照明強度顯著地衰減而限制照明AOI之範圍。圖12B繪示當照明光束進入物鏡111時照明光束之強度剖面189C。圖12B繪示所收集光至偵測器118上之投射189D。在此實例中,採用一收集孔隙115來阻擋與小於15度之一AOI相 關聯之所收集光束。此有效地阻擋具有一非零繞射階之所收集光。因此,偵測器118感測在15度至65度之範圍內之AOI(亦即,在圖12B中所圖解說明之AOI區域D及D’)之光且不感測介於0度與15度之間的AOI之範圍內(亦即,在圖12B中所圖解說明之AOI區域C)之大量光。
在某些實施例中,照明孔隙104係一單個孔隙元件。在某些其他實施例中,照明孔隙104係孔隙元件之一陣列。在某些實例中,一或多個孔隙元件位於一單自由度運動載台或一多自由度運動載臺上。以此方式,可(舉例而言)藉由運算系統130而可程式化地控制照明路徑中一或多個孔隙元件之存在或位置。
儘管如在圖1中所繪示,系統100包含一或多個照明孔隙104,但在某些其他實施例中,可不在照明路徑中採用處於光束整形光學器件107之前的照明孔隙。因此一般而言,照明孔隙之使用係選用的。
在又一態樣中,一或多個照明孔隙位於照明路徑中在光束整形光學器件之後。在某些實施例中,照明孔隙位於照明路徑中以減小量測光點大小。在某些實施例中,照明孔隙位於照明路徑中以限制量測AOI之範圍。
如在圖1中所繪示,多波長照明光119通過位於照明路徑中在光束整形光學器件107之後的一或多個照明孔隙108。照明光在到達照明分束器109之前通過一或多個可選擇照明孔隙108。在某些實施例中,可選擇照明孔隙108包含一組照明視域光闌及一組照明光瞳光闌。照明視域光闌經組態以選擇投射至樣品112上之照明點大小。照明光瞳光闌經組態以選擇投射至樣品112上之照明光瞳。照明視域光闌及光瞳光闌與其他照明光學器件組件(例如,物鏡111)一起操作以達成針對最佳光處理量、照明視域及樣品112之表面上之光瞳而調諧之一照明NA。可藉由任何適合裝置(包含但不限於一機 械針孔、一空間光調變器(SLM)、一切趾器及任何其他光束形成及控制組件或子系統)而形成可選擇照明孔隙108之孔隙。
在某些實施例中,照明孔隙108包含一窄狹縫或切趾器以控制窄線形光束之大小及強度輪廓。在一項實施例中,照明孔隙108包含一切趾器以限制AOI之範圍,如參考圖12A至圖12B所闡述。以此方式,照明孔隙108可與切趾器104一起使用或作為切趾器104之一替代方案而使用。
儘管如在圖1中所繪示,系統100包含一或多個照明孔隙108,但在某些其他實施例中,可不在照明路徑中採用處於光束整形光學器件107之後的照明孔隙。因此一般而言,照明孔隙之使用係選用的。
在又一態樣中,一或多個收集孔隙位於收集路徑中在物鏡111之後。在某些實施例中,收集孔隙位於收集路徑中以選擇所收集光束之一部分以供由偵測器118偵測。
如在圖1中所繪示,所收集光通過位於收集路徑中在物鏡111之後的一或多個收集孔隙115。所收集光在到達波長色散元件117及偵測器118之前通過一或多個可選擇收集孔隙115。
在參考圖12A至圖12B所闡述之實例中,一照明切趾器及一收集孔隙經選擇以藉由限制AOI範圍而自一樣本收集0階繞射光。舉例而言,為自一500奈米間距光柵目標獲取零階信號,照明切趾器及收集孔隙兩者皆包含一中心阻擋件以限制經受偵測之AOI之範圍。更具體而言,收集孔隙之中心阻擋件有效地阻擋負1階繞射光到達偵測器118。
在另一實施例中,收集孔隙115包含一窄狹縫以在藉由波長色散元件117進行色散之前進一步減小所收集光之光束線寬度。
在某些其他實例中,一或多個照明孔隙及一或多個收集孔隙經選擇而 以一有限AOI範圍自一樣本收集較高階繞射光。
可藉由任何適合裝置(包含但不限於一機械針孔、一空間光調變器(SLM)、一切趾器及任何其他光束形成及控制組件或子系統)而形成可選擇收集孔隙115之孔隙。
在某些實施例中,收集孔隙115係一單個孔隙元件。在某些其他實施例中,收集孔隙115係孔隙元件之一陣列。在某些實例中,一或多個孔隙元件位於一單自由度運動載台或一多自由度運動載臺上。以此方式,可(舉例而言)藉由運算系統130而可程式化地控制收集路徑中一或多個孔隙元件之存在或位置。
儘管如在圖1中所繪示,系統100包含一或多個收集孔隙115,但在某些其他實施例中,可不採用收集孔隙。因此一般而言,收集孔隙之使用係選用的。
在另一其他態樣中,一空間光調變器(SLM)位於照明路徑、收集路徑或此兩者中。在某些實施例中,SLM位於量測系統之一光學光瞳平面中。SLM經組態以跨越照明光、所收集光或此兩者之路徑而調變振幅、相位分佈或此兩者以減小波前誤差且使光束之振幅及相位分佈整形。在又一態樣中,空間光調變器達成跨越照明光束之相位分佈之可程式化組態。此可用於校正像差或取消污染信號。以非限制性實例方式,一透射性液晶顯示器(LCD)裝置、一反射性矽上液晶(LCOS)裝置、一像素化鏡裝置及具有一連續表面之一可變形鏡裝置中之任一者皆可用作一度量系統之照明路徑中之一SLM。一可變形鏡元件包含一可程式化表面形狀。特定而言,可藉由一或多個SLM(諸如一可變形鏡陣列)而補償由物鏡111、切趾器104、光束整形元件107之柱面鏡及其他光學組件產生之光學像差。
在另一其他態樣中,一偏光元件位於照明路徑中在物鏡之前。在某些實施例中,該偏光元件位於光束整形光學器件之前。在某些其他實施例中,偏光元件位於光束整形光學器件與物鏡之間。
在再一其他態樣中,一分析器元件位於收集路徑中在物鏡之後。
如在圖1中所繪示,多波長照明光119通過偏光器105,且所收集光通過分析器114。在某些實施例中,偏光器105係一靜態或可選擇偏光元件。在某些實施例中,偏光器105及分析器114安裝於一旋轉載臺上。旋轉載台(舉例而言)藉由運算系統130控制以移動至一所要偏光角或偏光角之序列,且在每一偏光角下收集量測信號。另一選擇係,可採用其他偏光控制機構。舉例而言,可實施藉由通道分離或偏光控制機構(例如,巴比內.索雷依(soleil babinet)補償器、波板、液晶偏光控制器或其他電光偏光控制器)對偏光組件之同步量測。
在某些其他實施例中,偏光器105係一旋轉偏光元件。在此等實施例中,以一恆定速度旋轉偏光器105,且以預定義訊框速率獲取偵測器信號。在此等實施例中,系統100操作為一多AOI光譜橢圓偏光計(SE)。
在另一其他態樣中,一補償器(例如,補償器106)經添加於照明路徑中在偏光器之後且另一補償器(例如,補償器113)經添加於收集路徑中在分析器之前。若偏光器及收集側補償器在資料獲取期間持續旋轉,則度量系統操作為一多AOI旋轉偏光器、旋轉補償器(RPRC)系統。若偏光器停留在固定位置處且收集側補償器及照明側補償器兩者在資料獲取期間皆旋轉,則系統操作為一多AOI旋轉補償器、旋轉補償器(RCRC)系統。
儘管如在圖1中所繪示,系統100包含偏光器105、分析器114、補償器106及補償器113,但在某些其他實施例中,可不採用此等元件中之任何或 所有元件。因此一般而言,此等元件之使用係選用的。
如上文所闡述,一組照明孔隙(處於光束整形光學器件之前、光束整形光學器件之後或此兩者)經選擇以界定樣品上之照明點大小。另外,在某些實施例中,該組照明孔隙與一組收集孔隙配對以界定由光瞳偵測器偵測之AOI之範圍。該組照明孔隙及該組收集孔隙可係固定的或可程式化,且可基於實體孔隙、SLM或任何其他適合選擇性機構。
圖9A至圖9C繪示針對三個例示性量測應用之照明孔隙及收集孔隙選擇。
圖9A繪示針對具有一小間距(亦即,間距<136奈米)之光柵目標之CD信號之零階量測或針對薄膜之零階量測的一光譜BPR系統中之光束強度輪廓之數個視圖。在此實例中,波長介於自大約260奈米至大約800奈米之範圍內。
圖9A繪示在與光束整形光學器件107相互作用之前的經準直照明光束119之強度剖面180A。圖9A亦繪示在與光束整形光學器件107相互作用之後的經準直照明光束119之強度剖面180B。在此實例中,不存在藉由照明孔隙108或收集孔隙115之阻擋。因此,進入物鏡111之強度剖面180C及進入波長色散元件117之強度剖面180D反映由光束整形光學器件107施加之窄線光束形狀。
圖9B繪示針對具有一相對大間距(亦即,介於136奈米與500奈米之間的間距)之光柵目標之CD信號之零階量測的一光譜BPR系統中之光束強度輪廓之數個視圖。在此實例中,波長介於自大約190奈米至大約800奈米之範圍內。
圖9B繪示在與光束整形光學器件107相互作用之前的經準直照明光束 119之強度剖面181A。圖9B亦繪示在與光束整形光學器件107相互作用之後的經準直照明光束119之強度剖面181B。在此實例中,照明孔隙108經組態以阻擋小於32度之AOI。在與照明孔隙108相互作用之後,進入物鏡111之強度剖面181C反映此阻擋。類似地,收集孔隙115經組態以阻擋小於32度之AOI。在與收集孔隙115相互作用之後,進入波長色散元件117之強度剖面181D反映此阻擋。此有效地阻擋具有一非零繞射階之所收集光。因此,偵測器118在大於32度之AOI內感測光。
圖9C繪示針對具有相對大間距之疊對結構之一階量測(亦稱為,散射量測疊對量測)的一光譜BPR系統中之光束強度輪廓之數個視圖。
圖9C繪示在與光束整形光學器件107相互作用之前的經準直照明光束119之強度剖面182A。圖9C亦繪示在與光束整形光學器件107相互作用之後的經準直照明光束119之強度剖面182B。在此實例中,照明孔隙108經組態以阻擋大於12度之AOI。在與照明孔隙108相互作用之後,進入物鏡111之強度剖面182C反映此阻擋。相反地,收集孔隙115經組態以阻擋小於12度之AOI。在與收集孔隙115相互作用之後,進入波長色散元件117之強度剖面182D反映此阻擋。收集孔隙有效地阻擋具有零繞射階之所收集光。因此,偵測器118感測具有大於12度之AOI之光,在此實例中,該光包含-1階及+1階繞射光。
在另一其他態樣中,位於照明路徑中之一光束整形光學器件經組態以將窄線光束照明旋轉至一所要方位角。如上文所闡述,光束整形光學器件(例如,光束整形光學器件107)將方位照明分量有效地摺疊成一單個方位值。然而,藉由使窄線光束照明圍繞光束軸有效地旋轉,有效方位角得以改變。針對某些二維量測目標(諸如一CD線空間光柵)及某些三維量測目標 (諸如一複雜鰭型結構),當以一或多個特定方位角向目標提供照明時,量測敏感度得以改良。
在一項實施例中,光束整形光學器件107包含一SLM,該SLM經組態以接收經準直照明光119且產生相對於正進行量測之樣本以一可程式化照明方位角定向之一窄線光束。
在某些實施例中,另一SLM位於收集路徑中以針對光束方位角之任何改變而維持收集光束相對於波長色散元件117及偵測器118之定向。運算系統130經組態以針對光束方位角之任何改變而協調照明SLM及收集SLM之狀態之改變來維持角對準。在某些其他實施例中,以軟體旋轉投射至偵測器上之影像以考慮到由方位角之一改變誘發之旋轉。
在另一實施例中,在圖1中所繪示之光束整形光學器件107包含各自安裝至一旋轉運動載台之一對柱面鏡。(舉例而言)藉由運算系統130而控制每一旋轉運動載台以改變柱面鏡之定向以達成與窄線光束相關聯之一所要方位角。以此方式,系統100經組態以改變相對於樣本之照明光束方位角。
在某些實施例中,波長色散元件117及偵測器118亦安裝至旋轉運動載台以維持波長色散及偵測器相對於收集光束之定向。運算系統130經組態以協調柱面鏡、波長色散元件117及偵測器118之運動以針對光束方位角之任何改變而維持角對準。旋轉運動載台可藉由壓電馬達、伺服馬達或任何其他適合旋轉致動系統而驅動。在某些其他實施例中,以軟體旋轉投射至偵測器上之影像以考慮到由方位角之一改變誘發之旋轉。
在另一實施例中,在圖3中所繪示之光束整形光學器件121位於一光譜BPR系統之共同路徑中。光束整形光學器件121包含各自安裝至一旋轉運動載台之一對柱面鏡。(舉例而言)藉由運算系統130而控制每一旋轉運動載台 以改變柱面鏡之定向以達成與窄線光束相關聯之一所要方位角。以此方式,系統300經組態以改變相對於樣本之照明光束方位角。
在此實施例中,光束形狀在向後通過光束整形光學器件121之後恢復為一圓形光束。位於收集路徑中之額外光束整形光學器件120將圓形光束在色散至偵測器118上之前重新整形成一窄線形狀光束。
圖10A至圖10C繪示與三個不同方位角選擇相關聯之光束強度輪廓。
圖10A繪示針對一零方位角的一光譜BPR系統中之光束強度輪廓之數個視圖。經準直照明光束119之強度剖面183A繪示在與光束整形光學器件107相互作用之前的照明光束之光束輪廓強度。強度剖面183B繪示在與光束整形光學器件107相互作用之後的照明光束之光束輪廓強度。出於解釋性目的,在圖10A中所繪示之照明光束方位角可定義為零方位角。在物鏡111之入口處之強度剖面183C及在至波長色散元件117之入口處之強度剖面183D反映零方位角。
圖10B繪示針對一個九十度方位角的一光譜BPR系統中之光束強度輪廓之數個視圖。經準直照明光束119之強度剖面184A繪示在與光束整形光學器件107相互作用之前的照明光束之光束輪廓強度。強度剖面184B繪示在與光束整形光學器件107相互作用之後的照明光束之光束輪廓強度。在此實例中,光束整形光學器件107之組態經改變,使得照明光束方位角相對於參考圖10A所闡述之零方位角係九十度。在物鏡111之入口處之強度剖面184C及在至波長色散元件117之入口處之強度剖面184D反映九十度方位角。
圖10C繪示針對一個四十五度方位角的一光譜BPR系統中之光束強度輪廓之數個視圖。經準直照明光束119之強度剖面185A繪示在與光束整形 光學器件107相互作用之前的照明光束之光束輪廓強度。強度剖面185B繪示在與光束整形光學器件107相互作用之後的照明光束之光束輪廓強度。在此實例中,光束整形光學器件107之組態經改變,使得照明光束方位角相對於參考圖10A所闡述之零方位角係四十五度。在物鏡111之入口處之強度剖面185C及在至波長色散元件117之入口處之強度剖面185D反映四十五度方位角。
如上文所闡述,在某些實施例中,一光束整形光學器件位於照明路徑中以將窄線光束照明旋轉至一所要方位角。此外,在某些實施例中,如上文所闡述,一組照明孔隙與一組收集孔隙配對以界定由光瞳偵測器偵測之AOI之範圍。一般而言,一光譜BPR系統(諸如系統100)可針對方位選擇及AOI選擇兩者而組態。
圖11A至圖11B繪示與兩個不同方位角選擇及一AOI選擇相關聯之光束強度輪廓。
圖11A繪示針對一零方位角的一光譜BPR系統中之光束強度輪廓之數個視圖。經準直照明光束119之強度剖面186A繪示在與光束整形光學器件107相互作用之前的照明光束之光束輪廓強度。強度剖面186B繪示在與光束整形光學器件107相互作用之後的照明光束之光束輪廓強度。出於解釋性目的,在圖11A中所繪示之照明光束方位角經定義為零方位角。在此實例中,照明孔隙108經組態以阻擋小於32度之AOI。在與照明孔隙108相互作用之後,進入物鏡111之強度剖面186C反映此阻擋。類似地,收集孔隙115經組態以阻擋小於32度之AOI。在與收集孔隙115相互作用之後,進入波長色散元件117之強度剖面186D反映此阻擋。此有效地阻擋具有一非零繞射階之所收集光。因此,偵測器118係在大於32度之AOI內在零方位角下感測 光。
圖11B繪示針對一個九十度方位角的一光譜BPR系統中之光束強度輪廓之數個視圖。經準直照明光束119之強度剖面187A繪示在與光束整形光學器件107相互作用之前的照明光束之光束輪廓強度。強度剖面187B繪示在與光束整形光學器件107相互作用之後的照明光束之光束輪廓強度。在此實例中,光束整形光學器件107之組態經改變,使得照明光束方位角相對於參考圖11A所闡述之零方位角係九十度。如參考圖11A所闡述,照明孔隙108經組態以阻擋小於32度之AOI。在與照明孔隙108相互作用之後,進入物鏡111之強度剖面187C反映此阻擋。類似地,收集孔隙115經組態以阻擋小於32度之AOI。在與收集孔隙115相互作用之後,進入波長色散元件117之強度剖面187D反映此阻擋。此有效地阻擋具有一非零繞射階之所收集光。因此,偵測器118係在大於32度之AOI內在一個九十度方位角下感測光。
在另一其他態樣中,一光譜BPR系統經組態以沿著AOI方向掃描照明光束以達成對光瞳平面之一映射。在又一態樣中,此光瞳掃描機構亦可與掃描視域平面之一第二掃描機構互補以達成對目標雜訊之平均化、同調效應之一減少及經改良準確性。
如在圖1中所繪示,一掃描鏡143位於照明路徑中在光束整形光學器件之前。該掃描鏡安裝於一偏斜(tip)/傾斜運動載台(例如,壓電驅動載台)上。偏斜/傾斜運動載台經組態以藉由改變AOI之範圍而使跨越樣品之平面中之照明偏轉。當量測藉由相對大間距特徵表徵之週期結構(諸如一CD光柵或SCOL光柵)時,用掃描鏡來偏轉照明光束用於選擇最佳AOI範圍。
圖13繪示由於一掃描鏡143之定向之改變所致的一光譜BPR系統中之光束強度輪廓之移位之數個視圖。強度剖面190A繪示針對掃描鏡之一給定 定向在進入至物鏡111之前的照明光束之光束輪廓強度。強度剖面190B繪示進入波長色散元件117之收集光束之光束輪廓強度。強度剖面190C繪示針對掃描鏡之一不同定向在進入至物鏡111之前的照明光束之光束輪廓強度。如在圖13中所圖解說明,此導致窄線光束沿AOI方向跨越物鏡111之一移位。強度剖面190D繪示進入波長色散元件117之收集光束之光束輪廓強度。如所圖解說明,窄線光束之移位亦導致所收集光束沿AOI方向跨越波長色散元件117且最終跨越偵測器118之一移位。此導致在物鏡照明光瞳中可見之AOI之範圍之一改變。
儘管如在圖1中所繪示系統100包含掃描鏡143,但在某些其他實施例中,可不在照明路徑中採用處於光束整形光學器件107之前的一掃描鏡。因此一般而言,一掃描鏡之使用係選用的。
量測信號(例如,在圖1中所繪示之量測信號135)可用於臨界尺寸(CD)之量測、薄膜表徵、疊對量測、焦點調整、光學系統校準及診斷或任何其他適合度量。光譜BPR光瞳信號135含有處於一大波長及AOI範圍內之樣本資訊。每一像素處之偵測器信號表示針對一特定AOI及波長之散射量測信號。因此,在某些實施例中,與一像素子組相關聯之信號經選擇用於量測分析。可取決於量測應用(例如,CD、TF、疊對、焦點/劑量等)而選擇不同子組。另外,不同權重可經指派給不同像素資料(亦即,特定波長及AOI)。信號回應度量(SRM)方法或單參數隔離(SPI)方法可用於選擇最適合於一特定量測應用之像素信號子組。在其他實施例中,所有信號皆用於量測分析。
在另一其他態樣中,可在一組合式量測分析中包含來自其他量測模組(諸如旋轉偏光器光譜橢圓偏光計(RPSE)、旋轉分析器光譜橢圓偏光計 (RASE)、旋轉補償器光譜橢圓偏光計(RCSE)、旋轉偏光器、旋轉補償器光譜橢圓偏光計(RPRC SE)、旋轉補償器、旋轉補償器光譜橢圓偏光計(RCRC SE)、雷射驅動光譜反射計(LDSR)、一維光束輪廓反射計(1D-BPR)、二維光束輪廓反射計(2D-BPR)等)之量測信號以估計所關注參數之值。
在另一其他態樣中,一光譜BPR系統包含兩個波長色散元件及兩個對應偵測器,每一對應偵測器經組態以偵測所收集光束之一不同偏光分量。
圖2圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一系統200。相似編號之元件類似於參考圖1中所繪示之系統100而闡述之元件。
如在圖2中所繪示,系統200在收集路徑中包含偏光分束器122而非一分析器。偏光分束器122將所收集光分離成其p及s偏光分量。每一偏光分量皆經引導至一單獨波長色散元件及偵測器(亦即,波長色散元件117及偵測器118以及波長色散元件123及偵測器124)。以此方式,系統200經組態以同時偵測兩個不同偏光且產生分別與每一偏光分量相關聯之光譜BPR信號135及136。
在另一其他態樣中,一光譜BPR系統包含處於共同路徑及收集路徑中之光束整形光學器件。以此方式,光束形狀僅在進入物鏡及任何波長色散元件之前係一窄線形狀。
圖3圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一系統300。相似編號之元件類似於參考圖1中所繪示之系統100而闡述之元件。
如在圖3中所繪示,光束整形光學器件121位於由照明路徑及收集路徑 兩者共用之共同路徑中。如在圖3中所繪示,光束整形光學器件121經組態以將傳入照明光束重新整形成一窄線形狀。在與樣品112相互作用之後,所收集光束通過光束整形光學器件121且光束整形光學器件將所收集光束自一窄線形狀重新整形成一圓形形狀。
另外,光束整形光學器件120位於收集路徑中在波長色散元件117之前。光束整形光學器件120將所收集光束自圓形形狀再次重新整形成適合於藉由波長色散元件117而色散至偵測器118上(如上文所闡述)之一窄線形狀。
在此實施例中,光束形狀僅在進入物鏡111及波長色散元件117之前係一窄線形狀。另外,當通過其他光學組件(諸如偏光器、分析器、補償器、照明孔隙、收集孔隙等)時,光束形狀係圓形。
在另一其他態樣中,一光譜BPR系統包含兩個波長色散元件及兩個對應偵測器。一個偵測器經組態以執行對正進行量測之樣品之光瞳量測。另一偵測器經組態以執行對同一樣品之視域量測。
圖4圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一系統400。相似編號之元件類似於參考圖1中所繪示之系統100而闡述之元件。
如在圖4中所繪示,系統400包含除光瞳偵測器118之外的一視域偵測器127。視域偵測器127獲取在多個樣本或樣本位置處在一寬波長範圍內之反射率信號。在收集路徑中,一分束器125將量測光束分割。分束器125可包含任何適合分束元件,包含但不限於一立方分束器、一金屬塗層板、一個二向色光學塗層板或其他分束機構。量測光束之一部分朝向波長色散元件117及光瞳偵測器118而引導。量測光束之另一部分朝向波長色散元件 126及視域偵測器127而引導。光束藉由一聚焦光學器件145聚焦。在光束焦點位置處,波長色散元件126根據波長將光束沿著二維偵測器127之一個維度色散。樣本位置沿著二維偵測器127之另一維度色散。每一像素處之信號表示一特定樣本位置及波長處之反射率。此等信號137經傳遞至運算系統130以供量測分析。以非限制性實例方式,經偵測光譜可用於臨界尺寸(CD)之量測、薄膜表徵、疊對量測、基於零階信號之焦點調整、光學系統校準及診斷或任何其他適合度量。在某些實例中,基於視域量測信號137而同時量測多個目標。
在某些實施例中,視域收集路徑包含一組收集孔隙以選擇用於投射至視域信號偵測器127上之信號。在某些實例中,選擇較高階視域信號以用於投射至視域信號偵測器127上。可藉由任何適合裝置(包含但不限於一機械針孔、一空間光調變器(SLM)、一切趾器及任何其他光束形成及控制組件或子系統)而形成可選擇視域收集孔隙之孔隙。
在某些實施例中,替代分束器125而採用一滑動鏡或內翻式(flip-in)鏡。在此實施例中,藉由(舉例而言)在運算系統130之控制下選擇性地移動滑動鏡進出收集光束路徑而依序執行視域及光瞳量測。
在某些實施例中,分束器125將所收集光束之一部分轉向至聚焦光學器件145以用於直接成像至一個二維成像偵測器上。在此等實施例中,所得晶圓視域影像可用於量測目的、圖案辨識、基於影像之聚焦或其任何組合。
在又一態樣中,採用來自光瞳偵測器118及視域偵測器127之經組合資料來估計所關注之參數之值或執行診斷測試。在某些實施例中,同時偵測並處理視域量測信號及光瞳量測信號兩者以估計一或多個結構或程序參數值且表徵量測之品質。在某些實施例中,視域量測信號經處理以估計一或 多個結構或程序參數值,且光瞳量測信號經處理以表徵視域量測條件。在某些其他實施例中,光瞳量測信號經處理以估計一或多個結構或程序參數值,且視域量測信號經處理以表徵光瞳量測條件。
視域量測信號在量測系統之視域平面處或近視域平面而偵測。量測系統之視域平面與正進行量測之樣品之表面共軛。光瞳平面量測信號在量測系統之光瞳平面處或近光瞳平面而偵測。光瞳平面係視域平面之傅立葉(Fourier)變換且與物鏡之限制孔隙共軛。一般而言,自正進行量測之一樣品之表面上之不同位置反射、繞射或散射之光在量測系統之視域平面中之不同位置中偵測,不管收集角度為何。相比而言,自正進行量測之一樣品之表面以不同角度反射、繞射或散射之光在量測系統之光瞳平面中之不同位置中偵測,不管樣品之表面上之光相互作用之位置為何。
在某些實施例中,同時偵測視域量測信號及光瞳量測信號兩者。經偵測信號經迭代處理以估計一或多個結構或程序參數值。更具體而言,基於藉助一光瞳量測模型對光瞳量測信號之一迭代回歸及藉助一視域量測模型對視域量測信號之回歸而判定與至少一個量測目標相關聯之至少一個結構或程序參數之值。
在一項實施例中,運算系統130在一迭代回歸分析中基於光譜BPR信號135而判定對一CD參數之一估計且基於視域信號137而判定對一膜堆疊參數(例如,膜厚度)之一估計。
在此實例中,一CD量測模型包含就所關注CD參數而言度量目標之一參數化。另外,CD量測模型包含量測工具本身之一參數化(例如,波長、入射角、偏光角等)。類似地,膜堆疊量測模型包含就所關注膜堆疊參數(例如,膜厚度)而言度量目標之一參數化。另外,膜堆疊量測模型包含量測工 具本身之一參數化。另外,模擬近似(例如,切片、嚴格耦合波分析(RCWA)等)經仔細地執行以避免引入過多大誤差。定義離散化及RCWA參數。
機器參數(Pmachine)係用於表徵度量工具本身之參數。例示性機器參數包含入射角(AOI)、分析器角(A0)、偏光器角(P0)、照明波長、數值孔徑(NA)等。樣品參數(Pspecimen)係用於表徵樣品之幾何性質及材料性質之參數。針對一薄膜樣品,例示性樣品參數包含折射率、介電函數張量、所有層之標稱層厚度、層順序等。
出於量測目的,多目標模型之機器參數視為已知的,量測模型之固定參數及樣品參數或樣品參數之一子集視為未知、浮動參數。藉由一擬合程序(例如,回歸、庫匹配等)來解析浮動參數,該擬合程序產生理論預測與所量測資料之間的最佳擬合。使未知樣品參數Pspecimen變化且計算模型輸出值直至判定產生模型輸出值與所量測值之間的一接近匹配之一組樣品參數值。
在一迭代回歸分析中,運算系統130將所量測光瞳信號擬合至CD量測模型以得出一經估計CD參數值。存在於CD量測模型中之膜堆疊參數在此回歸期間係浮動的。然後,運算系統130將所量測視域信號擬合至膜堆疊模型以得出一經估計膜堆疊參數值(例如,膜厚度)。存在於膜堆疊模型中之CD參數值固定至藉由光瞳信號至CD量測模型之先前回歸而判定之值。隨後,運算系統130再次將所量測光瞳信號擬合至CD量測模型以得出對CD參數值之一經更新估計。在此迭代處,存在於CD量測模型中之膜堆疊參數固定至藉由視域信號至膜堆疊模型之先前回歸而判定之值。此迭代繼續直至參數估計達到充分準確性為止。
在另一其他態樣中,同時偵測視域量測信號及光瞳量測信號兩者。經 偵測信號在一經組合分析中處理以估計一或多個結構或程序參數值。在此等實例中,量測模型係一經組合量測模型,其結合針對光瞳量測及視域量測兩者的度量目標之結構參數、材料參數或結構參數與材料參數之一組合。
在另一其他態樣中,一光譜BPR系統包含處於量測路徑中在物鏡之前的一光束組合元件128。一自動聚焦探測光束、一圖案辨識探測光束或此兩者之一組合在進入物鏡之前與照明光束組合。類似地,一自動聚焦信號光束、一圖案辨識信號光束或此兩者之一組合在離開物鏡之後自收集光束提取。光束組合元件128相對於量測路徑固定於適當位置,因此增加可靠性及準確性。由於量測光束及自動聚焦光束兩者同時探測樣本,因此系統500能夠在自動聚焦系統連續參與焦點量測時獲取量測資料。此改良信號品質且減小聚焦時間。
圖5圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一系統500。相似編號之元件類似於參考圖1中所繪示之系統100而闡述之元件。
如本文中所闡述,在物鏡前部之照明光束及收集光束係窄線形光束。此允許一自動聚焦探測光束146、一圖案辨識探測光束147或此兩者在進入高NA物鏡之前與量測光束組合。
一自動聚焦子系統141產生一自動聚焦探測光束146,該自動聚焦探測光束穿過分束器129及光學組合元件128而經引導至物鏡111。回應於自動聚焦探測光束146而由物鏡111收集之光穿過相同路徑返回至自動聚焦子系統141。基於所接收信號,自動聚焦子系統141產生自動聚焦信號138,該等自動聚焦信號經傳遞至運算系統130。在一項實例中,運算系統130基於自動聚焦信號138而致使樣品112之焦點位置改變。
類似地,一圖案辨識子系統142產生一圖案辨識探測光束147,該圖案辨識探測光束穿過分束器129及光學組合元件128而經引導至物鏡111。回應於圖案辨識探測光束147而由物鏡111收集之光穿過相同路徑返回至圖案辨識子系統142。基於所接收信號,圖案辨識子系統142產生圖案辨識信號139(例如,影像),該等圖案辨識信號經傳遞至運算系統130。在一項實例中,運算系統130基於圖案辨識信號139而導致樣品112之位置將被改變。以此方式,圖案辨識信號139用於跨樣品112之表面導覽。
如在圖5中所繪示,系統500包含處於共同路徑中在物鏡111前部之一光學組合元件128。
在某些實施例中,光學組合元件128係具有一窄線形氣隙之一窄摺疊鏡。該窄摺疊鏡可藉由兩個或四個個別鏡單元之共面對準而形成。另一選擇係,在塗佈有一反射性層之前在一單個玻璃基板上切割一個或兩個槽。圖7A至圖7C繪示作為光學組合元件128之一半摺疊鏡150。圖7A繪示具有一圓形輪廓之一光束輪廓151。此一輪廓表示一自動聚焦光束、一圖案辨識光束或此兩者。如在圖7A中所繪示,光束輪廓151之一顯著部分藉由半摺疊鏡150反射。圖7B繪示一窄線光束輪廓152,該窄線光束輪廓表示一照明光束輪廓、收集光束輪廓或此兩者。如在圖7B中所繪示,窄光束輪廓152之全部通過半摺疊鏡150中之氣隙。圖7C繪示與半摺疊鏡150相互作用之窄線光束輪廓152與圓形光束輪廓151之組合。
圖7D至圖7F繪示作為光學組合元件128之一四單元摺疊鏡155。圖7D繪示具有一圓形輪廓之一光束輪廓156。如在圖7D中所繪示,光束輪廓156之一顯著部分藉由四單元鏡155反射。圖7E繪示一窄線光束輪廓157,該窄線光束輪廓表示一照明光束輪廓、收集光束輪廓或此兩者。如在圖7E中所 繪示,窄光束輪廓157之全部通過四單元摺疊鏡155中之氣隙。圖7F繪示與四單元摺疊鏡155相互作用之窄線光束輪廓157與圓形光束輪廓156之組合。
在某些其他實施例中,光學元件128係一扁平窄鏡。圖8A至圖8C繪示作為光學組合元件128之一窄線形鏡160。圖8A繪示具有一圓形輪廓之一光束輪廓161。此一輪廓表示一自動聚焦光束、一圖案辨識光束或此兩者。如在圖8A中所繪示,光束輪廓161之一顯著部分通過窄線形鏡160。圖8B繪示一窄線光束輪廓162,該窄線光束輪廓表示一照明光束輪廓、收集光束輪廓或此兩者。如在圖8B中所繪示,窄光束輪廓162之全部藉由窄線形鏡160反射。圖8C繪示與窄線形鏡160相互作用之窄線光束輪廓162與圓形光束輪廓161之組合。
圖8D至圖8F繪示作為光學組合元件128之一窄十字形鏡165。圖8D繪示具有一圓形輪廓之一光束輪廓166。此一輪廓表示一自動聚焦光束、一圖案辨識光束或此兩者。如在圖8D中所繪示,光束輪廓166之一顯著部分通過窄十字形鏡165。圖8E繪示一窄線光束輪廓167,該窄線光束輪廓表示一照明光束輪廓、收集光束輪廓或此兩者。如在圖8E中所繪示,窄光束輪廓167之全部藉由窄十字形鏡165反射。圖8F繪示與窄十字形鏡165相互作用之窄線光束輪廓167與圓形光束輪廓166之組合。
如在圖5中所繪示,系統500包含處於共同路徑中在物鏡111前部之一光學組合元件128,使得量測光束通過光學組合元件128。此一組態適合於參考圖7A至圖7F所闡述之窄摺疊鏡設計。若物鏡111經重新定向,參考圖8A至圖8F所闡述之窄鏡設計亦可實施為系統500之部分,使得自動聚焦及圖案辨識光束直接通過光束組合元件128且量測光束藉由光束組合元件 128返回。
在另一態樣中,採用一高光譜偵測器來偵測一光譜光束輪廓度量系統之光譜分量。
圖6圖解說明用於根據本文中所呈現之例示性方法來量測一樣品之特性之一系統600。相似編號之元件類似於參考圖1中所繪示之系統100而闡述之元件。
系統600包含作為光瞳偵測器之一高光譜偵測器144。偵測器144經組態以藉由穿透深度(垂直偵測器)、折射率或偵測器之另一波長相依性質而量測波長分量。在某些實施例中,一CMOS高光譜偵測器144沿兩個維度(例如,跨越偵測器之面)量測方位及AOI且沿正交於兩個平面維度之一第三維度(例如,至偵測器中之深度)解析波長資訊。在某些其他實施例中,高光譜偵測器之面上之每一「像素」係包含一小光柵結構之一光譜儀,該小光柵結構將傳入光散佈至若干不同光敏元件上。一般而言,一高光譜偵測器可用作對如關於圖1至圖5所闡述之一波長色散元件及偵測器之使用之一替代方案,或一高光譜偵測器可用於補充此等系統。
圖22圖解說明適合於由一度量系統(諸如分別在圖1至圖5中所圖解說明之度量系統100、200、300、400及500)實施之一方法700。在一項態樣中,認識到,方法700之資料處理方塊可經由一預程式化演算法執行,該預程式化演算法由運算系統130之一或多個處理器或任何其他一般用途運算系統執行。本文中認識到,前述度量系統中之任一者之特定結構態樣不表示限制且僅應解釋為說明性的。
在方塊701中,(舉例而言)由照明源101提供具有多個波長之一照明光束。光束強度剖面係二維的。
在方塊702中,(舉例而言)由光束整形元件107將照明光束重新整形,使得經重新整形照明光束具有大致係一維且由一長度維度表徵之一光束強度剖面。
在方塊703中,用經重新整形照明光束在一入射角範圍內照明一樣品之一表面上之一疊對度量目標。將經重新整形照明光束之長度維度沿一第一方向投射至疊對度量目標上,該第一方向與疊對度量目標之一光柵結構之一廣度方向平行。
在方塊704中,回應於在一入射角範圍內對疊對度量目標之照明而(舉例而言)由物鏡111自疊對度量目標收集光。
在方塊705中,沿著一個二維偵測器之一第一維度根據入射角偵測所收集光且沿著該二維偵測器之一第二維度根據波長偵測該所收集光。
應認識到,可由一單電腦系統130或(另一選擇係)一多電腦系統130執行本發明通篇所闡述之各種步驟。此外,本文中所闡述之光譜光束輪廓度量系統之不同子系統可包含適合於執行本文中所闡述之步驟之至少一部分之一電腦系統。因此,前述闡述不應解釋為對本發明之一限制而僅係一圖解。此外,一或多個運算系統130可經組態以執行本文中所闡述之方法實施例中之任一者之任一(任何)其他步驟。
另外,電腦系統130可以此項技術中已知之任何方式通信地耦合至本文中所闡述之光譜光束輪廓度量系統之偵測器。舉例而言,一或多個運算系統130可耦合至與系統100之偵測器相關聯之運算系統。在另一實例中,偵測器可直接由耦合至電腦系統130之一單電腦系統控制。
度量系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體而自系統之子系統(例如,偵測器118及諸如此類)接收及/ 或獲取資料或資訊。以此方式,傳輸媒體可用作電腦系統130與系統100之其他子系統之間的一資料鏈路。
系統100之電腦系統130可經組態以藉由可包含有線及/或無線部分之一傳輸媒體而自其他系統接收及/或獲取資料或資訊(例如,量測結果、模型化輸入、模型化結果等)。以此方式,傳輸媒體可用作電腦系統130與其他系統(例如,記憶體板上度量系統100、外部記憶體或其他外部系統)之間的一資料鏈路。舉例而言,運算系統130可經組態以經由一資料鏈路而自一儲存媒體(亦即,記憶體132或一外部記憶體)接收量測資料。舉例而言,使用偵測器118獲得之光譜量測結果可儲存於一永久性或半永久性記憶體裝置(例如,記憶體132或一外部記憶體)中。就此而言,光譜結果可自板上記憶體或自一外部記憶體系統導入。此外,電腦系統130可經由一傳輸媒體而將資料發送至其他系統。舉例而言,可傳遞藉由電腦系統130判定之一參數值140且將其儲存於一外部記憶體中。就此而言,量測結果可導出至另一系統。
運算系統130可包含(但不限於)一個人電腦系統、主機電腦系統、工作站、影像電腦、並行處理器或此項技術中已知之任何其他裝置。一般而言,術語「運算系統」可經廣泛定義為囊括具有執行來自一記憶體媒體之指令之一或多個處理器之任何裝置。
實施諸如本文中所闡述之彼等方法之方法之程式指令134可經由一傳輸媒體(諸如一導線、電纜或無線傳輸鏈路)傳輸。舉例而言,如在圖1中所圖解說明,儲存於記憶體132中之程式指令134經由匯流排133傳輸至處理器131。程式指令134儲存於一電腦可讀媒體(例如,記憶體132)中。例示性電腦可讀媒體包含唯讀記憶體、一隨機存取記憶體、一磁碟或光碟或一磁 帶。
一般而言,對較高階繞射光之偵測不必在光瞳平面中;亦可實施晶圓平面量測。
在又一態樣中,自多個目標收集量測資料以用於量測。在某些實例中,與多個目標相關聯之量測資料之使用消除或顯著地減少底層在量測結果中之影響。在一項實例中,將來自兩個目標之量測信號相減以消除或顯著減少底層在每一量測結果中之影響。與多個目標相關聯之量測資料之使用增加嵌入於模型中之樣本及程序資訊。
在另一其他態樣中,自可存在於裝置上或存在於刻劃線內之量測目標及輔助目標兩者收集量測資料以用於量測。
在某些實例中,本文中所闡述之量測方法實施為可自美國加利福尼亞州苗必達市(Milpitas)KLA-科磊公司購得之一SpectraShape®光學臨界尺寸度量系統之一元件。
在某些其他實例中,本文中所闡述之量測方法(舉例而言)由實施可自美國加利福尼亞州苗必達市KLA-科磊公司購得之AcuShape®軟體之一運算系統而離線實施。
一般而言,用於執行本文中所呈現之半導體度量之方法及系統可直接應用於實際裝置結構或應用於位於晶粒中或刻劃線內之專用度量目標(例如,代理結構)。
在再一態樣中,本文中所闡述之量測結果可用於將主動回饋提供至一程序工具(例如,微影工具、蝕刻工具、沈積工具等)。舉例而言,可將使用本文中所闡述之方法判定之結構或程序參數之值傳遞至一微影工具以調整微影系統來達成一所要輸出(例如,焦點及劑量)。以一類似方式,蝕刻 參數(例如,蝕刻時間、擴散率等)或沈積參數(例如,時間、濃度等)可包含於一量測模型中以將主動回饋分別提供至蝕刻工具或沈積工具。
如本文中所闡述,術語「波長色散元件」包含以任何方式(無論是線性還是非線性)將傳入光根據波長分離之任何色散元件。依據此定義,通常命名之「能量色散」元件出於本專利文件之目的而包含為波長色散元件。
如本文中所闡述,術語「臨界尺寸」包含一結構之任何臨界尺寸(例如,底部臨界尺寸、中間臨界尺寸、頂部臨界尺寸、側壁角、光柵高度等),任何兩個或兩個以上結構之間的一臨界尺寸(例如,兩個結構之間的距離)及兩個或兩個以上結構之間的一位移(例如,疊對光柵結構之間的疊對位移)。結構可包含三維結構、經圖案化結構、疊對結構等。
如本文中所闡述,術語「臨界尺寸應用」或「臨界尺寸量測應用」包含任何臨界尺寸量測。
如本文中所闡述,術語「度量系統」包含至少部分地用於在任何態樣中表徵一樣品之任何系統,包含量測應用,諸如臨界尺寸度量、疊對度量、焦點/劑量度量及組成度量。然而,此等技術術語並不限制如本文中所闡述之術語「度量系統」之範疇。另外,度量系統100可經組態用於經圖案化晶圓及/或未經圖案化晶圓之量測。度量系統可經組態為一LED檢驗工具、邊緣檢驗工具、背側檢驗工具、宏觀檢驗工具或多模式檢驗工具(涉及同時來自一或多個平臺之資料)以及自基於臨界尺寸資料而校準系統參數獲益之任何其他度量或檢驗工具。
本文中闡述可用於處理一樣品之一半導體處理系統(例如,一檢驗系統或一微影系統)之各種實施例。術語「樣品」在本文中用於係指一晶圓、一倍縮光罩或可藉由此項技術中已知之手段處理(例如,印刷或檢驗缺陷) 之任何其他樣本。
如本文中所使用,術語「晶圓」通常係指由一半導體或非半導體材料形成之基板。實例包含但不限於單晶矽、砷化鎵及磷化銦。此等基板通常可存在於半導體製作設施中及/或在半導體製作設施中處理。在某些情形中,一晶圓可僅包含基板(亦即,裸晶圓)。另一選擇係,一晶圓可包含形成於一基板上之一或多個不同材料層。形成於一晶圓上之一或多個層可係「經圖案化」或「未經圖案化」的。舉例而言,一晶圓可包含具有可重複圖案特徵之複數個晶粒。
一「倍縮光罩」可係在一倍縮光罩製作程序之任何階段處之一倍縮光罩或者可或可不釋放以供在一半導體製作設施中使用之一完成倍縮光罩。一倍縮光罩或一「遮罩」通常定義為具有在其上形成且經組態成一圖案之實質上不透明區域之一實質上透明基板。基板可包含(舉例而言)諸如非晶SiO2之一玻璃材料。一倍縮光罩可在一微影程序之一曝光步驟期間安置於一抗蝕劑疊對之晶圓上面,使得可將該倍縮光罩上之圖案轉印至該抗蝕劑。
形成於一晶圓上之一或多個層可係經圖案化或未經圖案化的。舉例而言,一晶圓可包含各自具有可重複圖案特徵之複數個晶粒。此等材料層之形成及處理可最終產生完成裝置。可在一晶圓上形成諸多不同類型之裝置,且如本文中所使用之術語晶圓意欲囊括其上製作所屬領域中已知之任何類型之裝置之一晶圓。
在一或多項例示性實施例中,所闡述之功能可以硬體、軟體、韌體或其任何組合實施。若以軟體實施,則該等功能可作為一或多個指令或代碼而儲存於一電腦可讀媒體上或者經由一電腦可讀媒體傳輸。電腦可讀媒體包含電腦儲存媒體及通信媒體兩者,其包含促進將一電腦程式自一個地方 傳送至另一地方之任何媒體。一儲存媒體可係可由一個一般用途或特殊用途電腦存取之任何可用媒體。以實例而非限制方式,此電腦可讀媒體可包括:RAM、ROM、EEPROM、CD-ROM或其他光碟儲存裝置、磁碟儲存裝置或其他磁性儲存裝置或者可用於以指令或資料結構之形式載運或儲存所要程式碼構件且可由一個一般用途或特殊用途電腦或者一個一般用途或特殊用途處理器存取之任何其他媒體。此外,可將任何連接恰當地稱為一電腦可讀媒體。舉例而言,若使用一同軸電纜、光纖電纜、雙絞線、數位訂戶線(DSL)或無線技術(諸如紅外線、無線電及微波)自一網站、伺服器或其他遠端源傳輸軟體,則該同軸電纜、光纖電纜、雙絞線、DSL或無線技術(諸如紅外線、無線電及微波)皆包含於媒體之定義內。如本文中所使用,磁碟及光碟包含:壓縮光碟(CD)、雷射光碟、光學光碟、數位多功能光碟(DVD)、軟碟及藍光碟,其中磁碟通常以磁性方式再現資料,而光碟藉助雷射以光學方式再現資料。上文之組合亦應包含於電腦可讀媒體之範疇內。
儘管在上文中出於指導性目的而闡述了某些特定實施例,但本專利文件之教示具有一般適用性且不限於上文所闡述之特定實施例。因此,可在不背離如申請專利範圍中所陳述之本發明之範疇之情況下實踐對所闡述實施例之各種特徵之各種修改、改動及組合。
100:光譜光束輪廓度量系統/度量系統/系統/光譜光束輪廓反射計系統
101:多波長照明源/照明源
102:準直光學器件
103:彩色濾光器
104:照明孔隙/可選擇照明孔隙/切趾器
105:偏光器
106:物鏡/補償器
107:光束整形光學器件/光束整形元件
107A:圓形強度剖面
107B:窄線強度剖面/線
108:照明孔隙/可選擇照明孔隙
109:照明分束器
110:強度監測器
111:高數值孔徑物鏡透鏡/物鏡/高數值孔徑物鏡
112:樣品
113:補償器
114:分析器
115:收集孔隙/可選擇收集孔隙
116:光瞳中繼光學器件
117:波長色散元件
118:二維偵測器/偵測器/光瞳偵測器
119:照明光/多波長照明光/經準直照明光束/經準直照明光
130:運算系統/單電腦系統/多電腦系統/電腦系統
131:處理器
132:記憶體
133:匯流排
134:程式指令
135:反射性信號/光譜光束輪廓反射計信號/量測信號/光譜光束輪廓反射計光瞳信號
140:參數值
143:掃描鏡
λ:照明波長

Claims (20)

  1. 一種度量系統,其包括:一多波長照明源,其經組態以提供具有多個波長及一個二維光束強度剖面之一照明光束;一光束整形元件,其經組態以將該照明光束重新整形,使得該經重新整形照明光束具有大致係一維且由一長度維度表徵之一光束強度剖面;一高數值孔徑(NA)物鏡,其經組態以接收該經重新整形照明光束且用該經重新整形照明光束在一入射角範圍內照明一疊對度量目標,使得該經重新整形照明光束之該長度維度沿一第一方向投射至該疊對度量目標上,該第一方向與該疊對度量目標之一光柵結構之一廣度方向平行,該高NA物鏡進一步經組態以回應於該照明而自該疊對度量目標收集光;及一第一二維偵測器,其經組態以沿著該第一二維偵測器之一第一維度根據入射角且沿著該第一二維偵測器之一第二維度根據波長偵測該所收集光。
  2. 如請求項1之度量系統,其中該第一二維偵測器進一步經組態以產生指示在該第一二維偵測器之每一像素處偵測之該光之一量測信號,其中該量測信號與在一唯一波長及入射角下對該疊對度量目標之一量測相關聯。
  3. 如請求項2之度量系統,其進一步包括:一運算系統,其經組態以:接收指示在每一像素處偵測之該光之該等量測信號; 基於該等量測信號而判定與該疊對度量目標相關聯之至少一個疊對參數之一值;且將該至少一個疊對參數之該值儲存於一記憶體中。
  4. 如請求項3之度量系統,其中與該疊對度量目標相關聯之該至少一個疊對參數之該值之該判定係基於以一疊對量測模型對該等量測信號之一迭代回歸。
  5. 如請求項3之度量系統,其中與該疊對度量目標相關聯之該至少一個疊對參數之該值之該判定係基於該等量測信號及一經訓練信號回應度量模型。
  6. 如請求項1之度量系統,其進一步包括:一第一波長色散元件,其經組態以接收該所收集光,根據入射角而傳輸該所收集光,且根據波長將該所接收之所收集光跨越該第一二維偵測器色散。
  7. 如請求項1之度量系統,其中該所收集光包含一階繞射光、零階繞射光或其一組合。
  8. 如請求項1之度量系統,其中該所收集光包含經投射至該第一二維偵測器之一第一區上之一階繞射光及經投射至該第一二維偵測器之一第二區上之零階繞射光,該第二區與該第一區分離。
  9. 如請求項1之度量系統,其進一步包括:一第二二維偵測器,其經組態以沿著該第二二維偵測器之一第一維度而根據入射角偵測所收集光之一部分且沿著該第二二維偵測器之一第二維度而根據波長偵測所收集光之該部分,其中該第一二維偵測器之一波長敏感度不同於該第二二維偵測器之一波長敏感度。
  10. 如請求項1之度量系統,其進一步包括:一偏光器元件,其位於該照明光束之一路徑中。
  11. 如請求項10之度量系統,其進一步包括:一分析器元件,其位於該所收集光之一路徑中;及至少一個補償器元件,其位於該照明光束之該路徑、該所收集光之該路徑或此兩者中。
  12. 如請求項1之度量系統,其中該光束整形元件係可旋轉的,使得該經重新整形照明光束沿垂直於該第一方向之一第二方向而投射至一第二疊對度量目標上,且其中該第二方向與該第二疊對度量目標之一光柵結構之一廣度方向平行。
  13. 如請求項1之度量系統,其進一步包括:一切趾器元件,其位於照明路徑中介於該多波長照明源與該疊對度量目標之間以減小量測光點大小。
  14. 如請求項1之度量系統,其進一步包括:可選擇地位於照明路徑中介於該多波長照明源與該疊對度量目標之間的一或多個波長濾光元件,可選擇地位於收集路徑中介於該疊對度量目標與該第一二維偵測器之間的一或多個波長濾光元件,或其一組合。
  15. 一種度量系統,其包括:一寬頻照明源,其經組態以提供具有多個波長之一照明光束;一光束整形元件,其經組態以將該照明光束重新整形,使得該經重新整形照明光束具有大致係一維且由一長度維度表徵之一光束強度剖面;一高數值孔徑(NA)物鏡,其經組態以接收該經重新整形照明光束且用該經重新整形照明光束在一入射角範圍內照明一疊對度量目標,使得該經重新整形照明光束之該長度維度沿一第一方向投射至該疊對度量目標上,該第一方向與該疊對度量目標之一光柵結構之一廣度方向平行,該高NA物鏡進一步經組態以回應於該照明而自該疊對度量目標收集光;及一個二維偵測器,其經組態以沿著該二維偵測器之一第一維度根據入射角且沿著該二維偵測器之一第二維度根據波長偵測該所收集光,其中該所收集光包含在該二維偵測器之一第一區上偵測之一階繞射光及在該二維偵測器之一第二區上偵測之零階繞射光,該第二區與該第一區分離。
  16. 如請求項15之度量系統,其進一步包括:一波長色散元件,其經組態以接收該零階繞射光、根據入射角而傳輸該零階繞射光且根據波長將該所接收零階繞射光沿著該二維偵測器之該第 二維度色散。
  17. 一種度量方法,其包括:提供具有多個波長及一光束強度剖面之一照明光束,該光束強度剖面係二維的;將該照明光束重新整形,使得該經重新整形照明光束具有大致係一維且由一長度維度表徵之一光束強度剖面;用該經重新整形照明光束在一入射角範圍內照明一樣品之一表面上之一疊對度量目標,使得該經重新整形照明光束之該長度維度沿一第一方向投射至該疊對度量目標上,該第一方向與該疊對度量目標之一光柵結構之一廣度方向平行;回應於對該疊對度量目標之該照明而自該疊對度量目標收集光;及沿著一個二維偵測器之一第一維度根據入射角偵測該所收集光且沿著該二維偵測器之一第二維度根據波長偵測該所收集光。
  18. 如請求項17之度量方法,其中該所收集光包含經投射至該二維偵測器之一第一區上之一階繞射光及經投射至該二維偵測器之一第二區上之零階繞射光,該第二區與該第一區分離。
  19. 如請求項17之度量方法,其進一步包括:產生指示在該二維偵測器之每一像素處偵測之該光之一量測信號,其中該量測信號與在一唯一波長及入射角下對該疊對度量目標之一量測相關聯。
  20. 如請求項19之度量方法,其進一步包括:接收指示在每一像素處偵測之該光之該等量測信號;基於該等量測信號而判定與該疊對度量目標相關聯之至少一個疊對參數之一值;及將該至少一個疊對參數之該值儲存於一記憶體中。
TW105130737A 2015-09-23 2016-09-23 度量系統及方法 TWI703415B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562222314P 2015-09-23 2015-09-23
US62/222,314 2015-09-23
US15/271,179 US10101676B2 (en) 2015-09-23 2016-09-20 Spectroscopic beam profile overlay metrology
US15/271,179 2016-09-20

Publications (2)

Publication Number Publication Date
TW201721308A TW201721308A (zh) 2017-06-16
TWI703415B true TWI703415B (zh) 2020-09-01

Family

ID=58282375

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105130737A TWI703415B (zh) 2015-09-23 2016-09-23 度量系統及方法

Country Status (7)

Country Link
US (1) US10101676B2 (zh)
JP (1) JP6830492B2 (zh)
KR (1) KR102373283B1 (zh)
CN (1) CN108027568B (zh)
IL (1) IL257866B (zh)
TW (1) TWI703415B (zh)
WO (1) WO2017053581A1 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
KR20160110594A (ko) 2015-03-09 2016-09-22 제주대학교 산학협력단 붉바리 종 판별용 pcr 프라이머 세트 및 이를 이용한 붉바리 종 판별 방법
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US10690602B2 (en) * 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
US11378451B2 (en) * 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
IL273501B2 (en) * 2017-09-28 2023-12-01 Asml Netherlands Bv Metrology method and standard
WO2019129465A1 (en) * 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3528048A1 (en) * 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3528049A1 (en) * 2018-02-20 2019-08-21 ASML Netherlands B.V. Metrology method and apparatus with increased bandwidth
US11054250B2 (en) * 2018-04-11 2021-07-06 International Business Machines Corporation Multi-channel overlay metrology
CN108897196A (zh) * 2018-07-11 2018-11-27 中国科学院微电子研究所 基于衍射的套刻误差测量中测量波长的选择方法
WO2020046408A1 (en) * 2018-08-28 2020-03-05 Kla-Tencor Corporation Off-axis illumination overlay measurement using two-diffracted orders imaging
KR102120551B1 (ko) * 2018-09-14 2020-06-09 (주)오로스 테크놀로지 오버레이 측정장치
US20210396683A1 (en) * 2018-10-11 2021-12-23 Asml Netherlands B.V. Multi-source illumination unit and method of operating the same
US11118903B2 (en) * 2018-10-17 2021-09-14 Kla Corporation Efficient illumination shaping for scatterometry overlay
KR20200072311A (ko) 2018-12-12 2020-06-22 삼성전자주식회사 반도체 소자 제조 방법
DE102019201468A1 (de) * 2019-02-05 2020-08-06 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Reparieren einer fotolithographischen Maske
CN113646700A (zh) 2019-04-08 2021-11-12 Asml控股股份有限公司 用于光刻测量的传感器装置和方法
NL2025265A (en) * 2019-05-06 2020-11-23 Asml Netherlands Bv Dark field microscope
EP3783439A1 (en) * 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
CN114585972A (zh) * 2019-10-21 2022-06-03 Asml控股股份有限公司 感测对准标记的设备和方法
IL294477A (en) * 2020-01-29 2022-09-01 Asml Holding Nv Optical designs of a miniaturized overlay measurement system
US11346657B2 (en) * 2020-05-22 2022-05-31 Kla Corporation Measurement modes for overlay
KR102461662B1 (ko) * 2020-07-02 2022-11-02 (주)오로스 테크놀로지 오버레이 측정장치
US11300405B2 (en) * 2020-08-03 2022-04-12 Kla Corporation Grey-mode scanning scatterometry overlay metrology
US11300524B1 (en) * 2021-01-06 2022-04-12 Kla Corporation Pupil-plane beam scanning for metrology
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
US20230016619A1 (en) * 2021-07-15 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for ion implantation uniformity control
US20230236113A1 (en) * 2022-01-25 2023-07-27 Kla Corporation Annular apodizer for small target overlay measurement
JP2023116048A (ja) * 2022-02-09 2023-08-22 キオクシア株式会社 計測装置および計測方法
EP4279994A1 (en) * 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222328A1 (en) * 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
EP4354224A1 (en) * 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
KR102550408B1 (ko) 2023-02-14 2023-07-03 (주)오로스 테크놀로지 오버레이 측정장치 및 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2275871A2 (en) * 2009-07-16 2011-01-19 ASML Netherlands B.V. Position Calibration of Alignment Heads in a Multi-Head Alignment System
WO2011023517A1 (en) * 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US20150204664A1 (en) * 2012-10-18 2015-07-23 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US20150227061A1 (en) * 2012-11-05 2015-08-13 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
TW201531817A (zh) * 2014-02-03 2015-08-16 Asml Netherlands Bv 度量衡方法及裝置、基板、微影系統及器件製造方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5877859A (en) 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
WO2002015238A2 (en) 2000-08-11 2002-02-21 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US7515279B2 (en) * 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7280230B2 (en) 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7352453B2 (en) 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060164649A1 (en) 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
ATE475862T1 (de) 2005-02-25 2010-08-15 Nanometrics Inc Vorrichtung und verfahren zur verbesserten critical-dimension-scatterometrie
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7463369B2 (en) 2006-03-29 2008-12-09 Kla-Tencor Technologies Corp. Systems and methods for measuring one or more characteristics of patterned features on a specimen
WO2007115034A2 (en) 2006-03-31 2007-10-11 Alcon, Inc. Method and system for correcting an optical beam
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8699027B2 (en) 2007-07-27 2014-04-15 Rudolph Technologies, Inc. Multiple measurement techniques including focused beam scatterometry for characterization of samples
US7734437B2 (en) 2008-03-27 2010-06-08 Tokyo Electron Limited Apparatus for designing an optical metrology system optimized with signal criteria
US8248617B2 (en) 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
US7912658B2 (en) 2008-05-28 2011-03-22 Kla-Tencor Corp. Systems and methods for determining two or more characteristics of a wafer
NL2003404A (en) 2008-09-16 2010-03-17 Asml Netherlands Bv Inspection method and apparatus, substrate, lithographic apparatus, lithographic processing cell and device manufacturing method.
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US8030631B2 (en) 2009-03-30 2011-10-04 Tokyo Electron Limited Apparatus for controlling angle of incidence of multiple illumination beams
US8848186B2 (en) 2009-07-22 2014-09-30 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US20110246400A1 (en) * 2010-03-31 2011-10-06 Tokyo Electron Limited System for optical metrology optimization using ray tracing
US8896832B2 (en) 2010-06-17 2014-11-25 Kla-Tencor Corp. Discrete polarization scatterometry
JP2012104586A (ja) * 2010-11-09 2012-05-31 Elpida Memory Inc 半導体計測装置
NL2008936A (en) 2011-07-28 2013-01-29 Asml Netherlands Bv Illumination source for use in inspection methods and/or lithography inspection and lithographic apparatus and inspection method.
US20130042089A1 (en) 2011-08-11 2013-02-14 Advanced Micro Devices, Inc. Word line late kill in scheduler
JP2013061185A (ja) * 2011-09-12 2013-04-04 Toshiba Corp パターン検査装置およびパターン検査方法
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US8570531B2 (en) 2011-12-11 2013-10-29 Tokyo Electron Limited Method of regenerating diffraction signals for optical metrology systems
KR101704591B1 (ko) 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
WO2013181156A1 (en) 2012-05-29 2013-12-05 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
JP6169176B2 (ja) 2012-07-23 2017-07-26 エーエスエムエル ネザーランズ ビー.ブイ. 検査方法及び装置、リソグラフィシステム並びにデバイス製造方法
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
TWI598972B (zh) 2012-11-09 2017-09-11 克萊譚克公司 減少散射量測疊對量測技術中演算法之不準確
US9255891B2 (en) 2012-11-20 2016-02-09 Kla-Tencor Corporation Inspection beam shaping for improved detection sensitivity
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9217717B2 (en) 2012-12-17 2015-12-22 Kla-Tencor Corporation Two dimensional optical detector with multiple shift registers
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9116103B2 (en) 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014138522A1 (en) 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US10139352B2 (en) 2014-10-18 2018-11-27 Kla-Tenor Corporation Measurement of small box size targets
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10175396B2 (en) * 2014-12-11 2019-01-08 Rambus Inc. Ultra-miniature wide-angle lensless CMOS visual edge localizer
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2275871A2 (en) * 2009-07-16 2011-01-19 ASML Netherlands B.V. Position Calibration of Alignment Heads in a Multi-Head Alignment System
WO2011023517A1 (en) * 2009-08-24 2011-03-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US20150204664A1 (en) * 2012-10-18 2015-07-23 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US20150227061A1 (en) * 2012-11-05 2015-08-13 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
TW201531817A (zh) * 2014-02-03 2015-08-16 Asml Netherlands Bv 度量衡方法及裝置、基板、微影系統及器件製造方法

Also Published As

Publication number Publication date
WO2017053581A1 (en) 2017-03-30
KR102373283B1 (ko) 2022-03-10
CN108027568B (zh) 2020-08-14
US20170082932A1 (en) 2017-03-23
JP6830492B2 (ja) 2021-02-17
CN108027568A (zh) 2018-05-11
TW201721308A (zh) 2017-06-16
US10101676B2 (en) 2018-10-16
KR20180045026A (ko) 2018-05-03
IL257866B (en) 2021-08-31
IL257866A (en) 2018-05-31
JP2018535560A (ja) 2018-11-29

Similar Documents

Publication Publication Date Title
TWI703415B (zh) 度量系統及方法
TWI659204B (zh) 光譜光束分佈度量
CN108463877B (zh) 用于扩展的红外线光谱椭偏测量的系统及方法
US9921104B2 (en) Simultaneous multi-angle spectroscopy
US10444161B2 (en) Systems and methods for metrology with layer-specific illumination spectra
CN113348361B (zh) 用于共址计量的方法及系统
US20060285111A1 (en) Apparatuses and methods for enhanced critical dimension scatterometry
TW201921132A (zh) 使用多重參數組態之疊對度量
TW201643414A (zh) 具有小照明光斑尺寸之光學計量
KR20160055908A (ko) 다수의 입사각 반도체 계측 시스템 및 방법
JP2018508995A (ja) フォーカスエラー感応性が減少した光学的計測
TW202309599A (zh) 平行散射量測之疊對計量