TWI700766B - Susceptor position and rotation apparatus and methods of use - Google Patents

Susceptor position and rotation apparatus and methods of use Download PDF

Info

Publication number
TWI700766B
TWI700766B TW105116973A TW105116973A TWI700766B TW I700766 B TWI700766 B TW I700766B TW 105116973 A TW105116973 A TW 105116973A TW 105116973 A TW105116973 A TW 105116973A TW I700766 B TWI700766 B TW I700766B
Authority
TW
Taiwan
Prior art keywords
top plate
shaft
base
rod
bottom plate
Prior art date
Application number
TW105116973A
Other languages
Chinese (zh)
Other versions
TW201709393A (en
Inventor
威廉T 韋佛爾
羅伯特伯內特 渥帕特
約瑟夫 尤多夫斯基
傑森M 雪勒
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201709393A publication Critical patent/TW201709393A/en
Application granted granted Critical
Publication of TWI700766B publication Critical patent/TWI700766B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

Apparatus and methods for aligning large susceptors in batch processing chambers are described. Apparatus and methods for controlling the parallelism of a susceptor relative to a gas distribution assembly are also described.

Description

基座定位及旋轉設備及使用方法Base positioning and rotating equipment and method of use

本揭示一般有關於用於定位及/或旋轉基座組件的設備及方法。更具體地,本揭示的實施例針對用於在多個軸中移動批次處理基座組件的設備及方法。The present disclosure generally relates to equipment and methods for positioning and/or rotating base components. More specifically, the embodiments of the present disclosure are directed to an apparatus and method for moving a batch processing base assembly in multiple axes.

某些批次處理腔室具有相對大直徑的基座(1 m或更大),以持定足夠數量的被處理之晶圓。基座在靠近(3 mm至0.5 mm)注射板處旋轉,其中該注射板為另一個大直徑的類盤形元件。該等元件之間的平行度被調整,以控制沉積處理。目前,該等元件被手動定位,此舉需要大約三個小時。該平行隨著基座溫度及腔室壓力而變化。從而,需要設備及方法來對準及控制平行,以應付緊密的縫隙及改變處理參數的影響。Some batch processing chambers have a relatively large diameter base (1 m or larger) to hold a sufficient number of wafers to be processed. The base rotates near (3 mm to 0.5 mm) the injection plate, which is another large diameter disc-like element. The parallelism between these elements is adjusted to control the deposition process. Currently, these components are manually positioned, which takes about three hours. The parallelism changes with the temperature of the base and the pressure of the chamber. Therefore, equipment and methods are required to align and control parallelism to cope with the effects of tight gaps and changing processing parameters.

本揭示的一或更多個實施例是針對基座組件,該基座組件包括軸桿,該軸桿可支撐基座及定位系統。定位系統包括底部板材、頂部板材及至少三個致動器,該等致動器定位於該底部板材及該頂部板材之間並與該底部板材及該頂部板材接觸。每個致動器具有主體及桿,該桿具有定位於該主體內的桿端。每個桿可沿著主體的軸線而滑動地移動,以將頂部板材移動靠近或遠離底部板材。One or more embodiments of the present disclosure are directed to a base assembly that includes a shaft that can support the base and the positioning system. The positioning system includes a bottom plate, a top plate and at least three actuators. The actuators are positioned between the bottom plate and the top plate and are in contact with the bottom plate and the top plate. Each actuator has a main body and a rod, and the rod has a rod end positioned in the main body. Each rod can be slidably moved along the axis of the main body to move the top sheet closer to or away from the bottom sheet.

本揭示的額外實施例針對處理腔室,該等處理腔室包括真空腔室,該真空腔室具有底部,該底部具有穿過該底部的開口。基座組件包括軸桿,該軸桿可支撐基座及定位系統。定位系統包括底部板材、頂部板材及至少三個致動器,該等致動器定位於該底部板材及該頂部板材之間並與該底部板材及該頂部板材接觸。每個致動器具有主體及桿,該桿具有定位於該主體內的桿端。每個桿可沿著主體的軸線而滑動地移動,以將頂部板材移動靠近或遠離底部板材。基座組件經定位以使得軸桿延伸穿過真空腔室的底部中的開口。基座連接至真空腔室內的軸桿之頂部。Additional embodiments of the present disclosure are directed to processing chambers, the processing chambers including vacuum chambers having a bottom with an opening through the bottom. The base assembly includes a shaft that can support the base and the positioning system. The positioning system includes a bottom plate, a top plate and at least three actuators. The actuators are positioned between the bottom plate and the top plate and are in contact with the bottom plate and the top plate. Each actuator has a main body and a rod, and the rod has a rod end positioned in the main body. Each rod can be slidably moved along the axis of the main body to move the top sheet closer to or away from the bottom sheet. The base assembly is positioned so that the shaft extends through the opening in the bottom of the vacuum chamber. The base is connected to the top of the shaft in the vacuum chamber.

本揭示的額外實施例針對處理腔室,該等處理腔室包括真空腔室,該真空腔室具有底部,該底部具有穿過該底部的開口。軸桿延伸穿過開口並支撐在真空腔室內的基座。軸承組件包含球形滾輪軸承,該球形滾輪軸承定位在軸桿周圍,以形成軸桿及真空腔室之間的密封。Additional embodiments of the present disclosure are directed to processing chambers, the processing chambers including vacuum chambers having a bottom with an opening through the bottom. The shaft extends through the opening and is supported on the base in the vacuum chamber. The bearing assembly includes a spherical roller bearing that is positioned around the shaft to form a seal between the shaft and the vacuum chamber.

在描述本揭示的數個例示性實施例之前,應理解到本揭示不受限於以下描述中闡述的構造或處理步驟的細節。本揭示能夠完成其他實施例,且能夠以各種方式實行或實現。所描述的實施例及繪圖僅意圖用作為範例,且不應被建構為限制所揭示的設備或方法。Before describing several exemplary embodiments of the present disclosure, it should be understood that the present disclosure is not limited to the details of the configuration or processing steps set forth in the following description. The present disclosure can complete other embodiments, and can be implemented or realized in various ways. The described embodiments and drawings are intended to be used as examples only, and should not be constructed to limit the disclosed equipment or methods.

在此所使用的「基板」指的是任何基板或在基板上形成的材料表面,其中在製程期間,薄膜處理執行於該基板上。例如,可在其上執行處理的基板表面包含例如矽、氧化矽、應變矽(strained silicon)、絕緣體上的矽(silicon on insulator, SOI)、摻雜碳的矽氧化物、氮化矽、經摻雜的矽(doped silicon)、鍺、砷化鎵、玻璃、藍寶石等材料,以及任何其他例如金屬、金屬氮化物、金屬合金等材料及其他導電材料,這取決於應用。基板包括,但不受限於,半導體晶圓。基板可暴露於預處理製程,以研磨、蝕刻、減少、氧化、羥化(hydroxylate)、退火及/或烘烤基板表面。除了在基板本身的表面上直接處理薄膜外,在本揭示中,任何所揭示的薄膜處理步驟亦可在基板上形成的下覆層上進行,如在下方更詳細地的揭示,且「基板表面」的用詞是如內文所指示地,意圖包含這樣的下覆層。因此,舉例而言,在薄膜/層或部分薄膜/層被沉積到基板表面的地方,新沉積的薄膜/層的暴露表面變成基板表面。The "substrate" as used herein refers to any substrate or material surface formed on the substrate, wherein the thin film processing is performed on the substrate during the manufacturing process. For example, the substrate surface on which processing can be performed includes, for example, silicon, silicon oxide, strained silicon, silicon on insulator (SOI), carbon-doped silicon oxide, silicon nitride, Doped silicon, germanium, gallium arsenide, glass, sapphire and other materials, as well as any other materials such as metals, metal nitrides, metal alloys, and other conductive materials, depending on the application. The substrate includes, but is not limited to, a semiconductor wafer. The substrate may be exposed to a pretreatment process to grind, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the surface of the substrate. In addition to directly processing the film on the surface of the substrate itself, in this disclosure, any of the disclosed film processing steps can also be performed on the under cladding layer formed on the substrate, as disclosed in more detail below, and "substrate surface The term "" is as indicated in the text, and is intended to include such an underlying layer. Therefore, for example, where the thin film/layer or part of the thin film/layer is deposited on the surface of the substrate, the exposed surface of the newly deposited thin film/layer becomes the surface of the substrate.

根據一或更多個實施例,設備及方法可與原子層沉積(atomic layer deposition, ALD)處理一起使用。在這樣的實施例中,基板表面被依序地或幾乎依序地暴露於前驅物(或反應氣體)。如整個說明書在此所用地,「幾乎依序」是指大部分的前驅物暴露之持續時間不與共試劑(co-reagent)的暴露重疊,雖然可能有一些重疊。如本說明書及附隨之請求項中所使用地,「前驅物」、「反應物」、「反應氣體」等術語及類者可交互使用,以代表能與基板表面反應的任何氣態種類。According to one or more embodiments, the apparatus and method may be used with atomic layer deposition (ALD) processing. In such an embodiment, the surface of the substrate is sequentially or almost sequentially exposed to the precursor (or reactive gas). As used herein throughout the specification, "almost sequential" means that the duration of most of the precursor exposure does not overlap with the co-reagent exposure, although there may be some overlap. As used in this manual and the accompanying claims, terms such as "precursor", "reactant" and "reactive gas" can be used interchangeably to represent any gaseous species that can react with the substrate surface.

所描述的各種實施例可與使用多軸動態的任何系統類型應用。為了描述的目的,實施例被顯示為使用空間ALD批次處理腔室。熟知技藝者將理解到,設備及方法可經適配以在其他環境或與其他處理腔室中使用。例如,時域ALD處理腔室、化學氣相沉積腔室。The various embodiments described can be applied to any system type that uses multi-axis dynamics. For descriptive purposes, the embodiment is shown as using a spatial ALD batch processing chamber. Those skilled in the art will understand that the device and method can be adapted for use in other environments or with other processing chambers. For example, time domain ALD processing chamber, chemical vapor deposition chamber.

圖1顯示批次處理腔室100的剖面圖,該批次處理腔室包括氣體分配組件120及基座組件140,該氣體分配組件亦稱為注射器或注射器組件。氣體分配組件120是處理腔室中所使用的任何類型的氣體輸送裝置。氣體分配組件120包括前表面121,該前表面面對基座組件140。前表面121可具有任何數量或種類的開口,以將氣流輸送朝向基座組件140。氣體分配組件120亦包含外邊緣124,其中在所顯示的實施例中,該外邊緣幾乎是圓的。FIG. 1 shows a cross-sectional view of a batch processing chamber 100. The batch processing chamber includes a gas distribution assembly 120 and a base assembly 140. The gas distribution assembly is also called a syringe or a syringe assembly. The gas distribution assembly 120 is any type of gas delivery device used in the processing chamber. The gas distribution assembly 120 includes a front surface 121 facing the base assembly 140. The front surface 121 may have any number or kind of openings to convey air flow toward the base assembly 140. The gas distribution assembly 120 also includes an outer edge 124, which in the illustrated embodiment is almost rounded.

所使用的氣體分配組件120之具體類型可取決於所使用的特定處理而變化。本揭示的實施例可與任何類型的處理系統使用,其中在該處理系統中,基座及氣體分配組件之間的縫隙受到控制。在二元反應(binary reaction)中,複數個氣體通道可包含至少一個第一反應氣體A通道,至少一個第二反應氣體B通道,至少一個淨化氣體P通道及/或至少一個真空V通道。從第一反應氣體A通道、第二反應氣體B通道及淨化氣體P通道流動的氣體被導引朝向晶圓的頂表面。某些氣流橫向移動經過晶圓的表面,並透過淨化氣體P通道離開處理區域。The specific type of gas distribution assembly 120 used may vary depending on the specific process used. The embodiments of the present disclosure can be used with any type of processing system in which the gap between the base and the gas distribution assembly is controlled. In a binary reaction, the plurality of gas channels may include at least one first reaction gas A channel, at least one second reaction gas B channel, at least one purge gas P channel and/or at least one vacuum V channel. The gas flowing from the first reactive gas A channel, the second reactive gas B channel, and the purge gas P channel is guided toward the top surface of the wafer. Certain air flows move laterally across the surface of the wafer and leave the processing area through the purge gas P channel.

在一些實施例中,氣體分配組件120是由單一注射器單元製成的剛性固定主體。在一或更多個實施例中,氣體分配組件120是由複數個獨立區段(例如,注射器單元122)所製成,如圖2所顯示。單獨的一件主體或多區段主體的任一者可與本揭示所描述的各種實施例一起使用。In some embodiments, the gas distribution assembly 120 is a rigid fixed body made of a single syringe unit. In one or more embodiments, the gas distribution assembly 120 is made of a plurality of independent sections (for example, the injector unit 122), as shown in FIG. 2. Either a single-piece body or a multi-section body can be used with the various embodiments described in this disclosure.

基座組件140被定位在氣體分配組件120的下方。基座組件140包含頂表面141,及在頂表面141中的至少一個凹部142。基座組件140亦具有底表面143及邊緣144。凹部142可為任何合適的形狀及大小,這取決於被處理的基板60之形狀及大小。在圖1所顯示的實施例中,凹部142具有平坦的底部,以支撐晶圓的底部;然而,凹部的底部可以變化。在一些實施例中,凹部在該凹部的外圍邊緣周圍具有步階區域,該等步階區域被設定尺寸以支撐晶圓的外圍邊緣。由步階支撐的晶圓外圍邊緣量可被改變,這取決於,例如,晶圓的厚度及已經存在於晶圓背側上的特徵之存在。The base assembly 140 is positioned below the gas distribution assembly 120. The base assembly 140 includes a top surface 141 and at least one recess 142 in the top surface 141. The base assembly 140 also has a bottom surface 143 and an edge 144. The recess 142 can be any suitable shape and size, depending on the shape and size of the substrate 60 to be processed. In the embodiment shown in FIG. 1, the recess 142 has a flat bottom to support the bottom of the wafer; however, the bottom of the recess may vary. In some embodiments, the recess has a step area around the peripheral edge of the recess, and the step area is sized to support the peripheral edge of the wafer. The amount of the peripheral edge of the wafer supported by the step can be changed, depending on, for example, the thickness of the wafer and the presence of features already present on the backside of the wafer.

在一些實施例中,如圖1所顯示,基座組件140的頂表面141中的凹部142被設定尺寸,使得基板60中的凹部142具有頂表面61,該頂表面與基座140的頂表面141幾乎共平面。如本說明書及附隨的請求項中所使用地,「幾乎共平面」的用詞是指晶圓的頂表面及基座組件的頂表面在±0.5 mm、±0.4 mm、±0.3 mm、±0.25 mm、±0.2 mm、±0.15 mm、±0.10 mm或±0.05 mm內共平面。In some embodiments, as shown in FIG. 1, the recesses 142 in the top surface 141 of the base assembly 140 are sized such that the recesses 142 in the substrate 60 have a top surface 61 that is the same as the top surface of the base 140 141 is almost coplanar. As used in this manual and the accompanying requirements, the term "almost coplanar" means that the top surface of the wafer and the top surface of the base assembly are within ±0.5 mm, ±0.4 mm, ±0.3 mm, ± Coplanar within 0.25 mm, ±0.2 mm, ±0.15 mm, ±0.10 mm, or ±0.05 mm.

圖1的基座組件140包含軸桿160,該軸桿能夠升高、降低及旋轉基座組件140。基座組件在軸桿160的中心內可包含加熱器,或氣體管線,或電子元件。軸桿160可以是增加或減少基座組件140及氣體分配組件120之間的縫隙之主要手段,以將基座組件140移動到適當的位置。基座組件140亦可包括微調致動器162,該微調致動器可對基座組件140做微調,以產生基座組件140及氣體分配組件120之間的預定縫隙170。在一些實施例中,縫隙170的距離是在約0.1 mm至約5.0 mm的範圍內,或在約0.1 mm至約3.0 mm的範圍內,或在約0.1 mm至約2.0 mm的範圍內,或在約0.2 mm至約1.8 mm的範圍內,或在約0.3 mm至約1.7 mm的範圍內,或在約0.4 mm至約1.6 mm的範圍內,或在約0.5 mm至約1.5 mm的範圍內,或在約0.6 mm至約1.4 mm的範圍內,或在約0.7 mm至約1.3 mm的範圍內,或在約0.8 mm至約1.2 mm的範圍內,或在約0.9 mm至約1.1 mm的範圍內,或約1 mm。The base assembly 140 of FIG. 1 includes a shaft 160 that can raise, lower, and rotate the base assembly 140. The base assembly may include a heater, or gas pipeline, or electronic components in the center of the shaft 160. The shaft 160 may be the main means to increase or decrease the gap between the base assembly 140 and the gas distribution assembly 120 to move the base assembly 140 to a proper position. The base assembly 140 may also include a fine adjustment actuator 162 that can finely adjust the base assembly 140 to create a predetermined gap 170 between the base assembly 140 and the gas distribution assembly 120. In some embodiments, the distance of the gap 170 is in the range of about 0.1 mm to about 5.0 mm, or in the range of about 0.1 mm to about 3.0 mm, or in the range of about 0.1 mm to about 2.0 mm, or In the range of about 0.2 mm to about 1.8 mm, or in the range of about 0.3 mm to about 1.7 mm, or in the range of about 0.4 mm to about 1.6 mm, or in the range of about 0.5 mm to about 1.5 mm , Or in the range of about 0.6 mm to about 1.4 mm, or in the range of about 0.7 mm to about 1.3 mm, or in the range of about 0.8 mm to about 1.2 mm, or in the range of about 0.9 mm to about 1.1 mm Within the range, or about 1 mm.

在圖式中所顯示的處理腔室100是旋轉料架(carousel)類型的腔室,其中基座組件140可持定複數個基板60。如圖2所顯示,氣體分配組件120可包含複數個分離的注射器單元122,每個注射器單元122能夠隨著晶圓在注射器單元底下移動而在晶圓上沉積薄膜。兩個扇形注射器單元122被顯示為大約定位在基座組件140的相對側邊及上方。注射器單元122的此數量僅為了例示性目的而顯示。應理解到,更多或更少的注射器單元122可被包含在內。在一些實施例中,有足夠數量的扇形注射器單元122,以形成符合基座組件140形狀的形狀。在一些實施例中,每個獨立的扇形注射器單元122可被獨立移動、移除及/或替換,而不影響任何其他注射器單元122。例如,一個區段可被升高,以允許機器人出入基座組件140及氣體分配組件120之間的區域,以加載/卸載基板60。The processing chamber 100 shown in the figure is a carousel type chamber, in which the base assembly 140 can hold a plurality of substrates 60. As shown in FIG. 2, the gas distribution assembly 120 may include a plurality of separate injector units 122, and each injector unit 122 can deposit a thin film on the wafer as the wafer moves under the injector unit. The two sector injector units 122 are shown approximately positioned on opposite sides and above the base assembly 140. This number of syringe units 122 is shown for illustrative purposes only. It should be understood that more or fewer syringe units 122 may be included. In some embodiments, there are a sufficient number of sector-shaped syringe units 122 to form a shape conforming to the shape of the base assembly 140. In some embodiments, each independent sector injector unit 122 can be independently moved, removed, and/or replaced without affecting any other injector units 122. For example, a section may be raised to allow the robot to enter and exit the area between the base assembly 140 and the gas distribution assembly 120 to load/unload the substrate 60.

具有多個氣體注射器的處理腔室可用來同時處理多個晶片,以使得晶圓經歷相同的處理流程。例如,如圖3所顯示,處理腔室100具有四個氣體注射器組件及四個基板60。在處理開始時,基板60可定位在注射器組件30之間。旋轉17基座組件140 45度將造成氣體分配組件120之間的每個基板60移動至氣體分配組件120以用於薄膜沉積,如氣體分配組件120下方的虛線圓圈所繪示。額外的45度旋轉將使基板60移動遠離注射器組件30。利用空間ALD注射器,在晶圓相對於注射器組件移動期間,薄膜被沉積在晶圓上。在一些實施例中,基座組件140以增量(increments)旋轉,此舉防止基板60於氣體分配組件120下方停止。基板60及氣體分配組件120的數量可為相同的或不同的。在一些實施例中,被處理的晶圓具有與氣體分配組件相同的數量。在一或更多個實施例中,被處理的晶圓數量是氣體分配組件的分數或整數倍數。例如,如果有四個氣體分配組件,則有4x的晶圓被處理,其中x是大於或等於一的整數值。A processing chamber with multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers undergo the same processing flow. For example, as shown in FIG. 3, the processing chamber 100 has four gas injector assemblies and four substrates 60. At the beginning of the process, the substrate 60 may be positioned between the syringe assemblies 30. Rotating the 17 base assembly 140 45 degrees will cause each substrate 60 between the gas distribution assemblies 120 to move to the gas distribution assembly 120 for film deposition, as shown by the dotted circle under the gas distribution assembly 120. The additional 45 degree rotation will move the base plate 60 away from the syringe assembly 30. With the spatial ALD injector, a thin film is deposited on the wafer during the movement of the wafer relative to the injector assembly. In some embodiments, the base assembly 140 rotates in increments, which prevents the substrate 60 from stopping under the gas distribution assembly 120. The number of the substrate 60 and the gas distribution assembly 120 may be the same or different. In some embodiments, the wafers being processed have the same number of gas distribution components. In one or more embodiments, the number of wafers processed is a fraction or integer multiple of the gas distribution assembly. For example, if there are four gas distribution components, 4x wafers are processed, where x is an integer value greater than or equal to one.

在圖3中所顯示的處理腔室100僅代表一個可能的配置,且不應該被視為限制本揭示的範疇。在此,處理腔室100包含複數個氣體分配組件120。在所顯示的實施例中,有四個氣體分配組件(也稱為注射器組件30),該等氣體分配組件平均地在處理腔室100周圍間隔開來。所顯示的處理腔室100是八角形的,然而,熟知技藝人士將理解到,這是一種可能的形狀,且不應被視為限制本揭示的範疇。所顯示的氣體分配組件120是梯形的,但該氣體分配組件可為單一的圓形元件或由複數個扇形區段所構成,如圖2所顯示。The processing chamber 100 shown in FIG. 3 only represents one possible configuration, and should not be considered as limiting the scope of the present disclosure. Here, the processing chamber 100 includes a plurality of gas distribution components 120. In the illustrated embodiment, there are four gas distribution assemblies (also referred to as injector assemblies 30), which are equally spaced around the processing chamber 100. The processing chamber 100 shown is octagonal, however, those skilled in the art will understand that this is a possible shape and should not be considered as limiting the scope of this disclosure. The gas distribution assembly 120 shown is trapezoidal, but the gas distribution assembly can be a single circular element or composed of a plurality of sector-shaped segments, as shown in FIG. 2.

圖3所顯示的實施例包含裝載鎖定腔室180,或類似緩衝站的輔助腔室。此腔室180被連接到處理腔室100的側邊,以允許,例如,基板(也稱為基板60)從腔室100裝載/卸載。晶圓機器人可被定位在腔室180中,以將基板移動到基座上。The embodiment shown in FIG. 3 includes a load lock chamber 180, or an auxiliary chamber like a buffer station. This chamber 180 is connected to the side of the processing chamber 100 to allow, for example, substrates (also referred to as substrates 60) to be loaded/unloaded from the chamber 100. The wafer robot may be positioned in the chamber 180 to move the substrate onto the susceptor.

旋轉料架(例如,基座組件140)的旋轉可為連續的或不連續的。在連續處理中,晶圓不斷地旋轉,使得該等晶圓依序地被暴露於每個注射器。在不連續的處理中,晶圓可被移動到注射器區域並停止,接著移動到注射器之間的區域84並停止。例如,旋轉料架可旋轉,使得晶圓從注射器區域之間移動經過注射器(或在注射器旁邊停止),並移到旋轉料架可再次停止的下一個注射器區域之間。注射器之間的暫停可提供時間給每個層沉積之間的額外處理步驟(例如,暴露於電漿)。The rotation of the rotating rack (for example, the base assembly 140) may be continuous or discontinuous. In continuous processing, the wafers are continuously rotated so that the wafers are sequentially exposed to each injector. In a discontinuous process, the wafer can be moved to the injector area and stopped, and then moved to the area 84 between the injectors and stopped. For example, the rotating rack can be rotated so that the wafer moves from between the injector areas, past the injector (or stopped next to the injector), and moved between the next injector area where the rotating rack can stop again. The pause between injectors can provide time for additional processing steps (eg, exposure to plasma) between the deposition of each layer.

圖4顯示可稱為注射器單元112的氣體分配組件220的區段或部分。注射器單元122可單獨使用,或與其他注射器單元組合使用。例如,如圖5所顯示,圖4的四個注射器單元122被組合以形成單一個氣體分配組件220。(為了清楚起見,分離四個注射器單元的線沒有被顯示)。雖然圖4的注射器單元122具有第一反應氣體端口125及第二反應氣體端口135兩者,及額外具有淨化氣體端口155及真空端口145,但注射器單元122不需要所有這些元件。FIG. 4 shows a section or part of the gas distribution assembly 220 that can be referred to as the injector unit 112. The syringe unit 122 can be used alone or in combination with other syringe units. For example, as shown in FIG. 5, the four injector units 122 of FIG. 4 are combined to form a single gas distribution assembly 220. (For clarity, the lines separating the four syringe units are not shown). Although the injector unit 122 of FIG. 4 has both the first reaction gas port 125 and the second reaction gas port 135, and additionally has a purge gas port 155 and a vacuum port 145, the injector unit 122 does not require all these components.

參考圖4及圖5兩者,根據一或更多個實施例的氣體分配組件220可包括複數個區段(或注射器單元122),其中每個區段是相同的或不同的。氣體分配組件220被定位在處理腔室中,並在氣體分配組件220的前表面121中包括複數個延長氣體端口125、135、155及真空端口145。複數個延長氣體端口125、135、155及真空端口145從相鄰於內圍邊緣123的區域延伸,朝向相鄰於氣體分配組件220的外圍邊緣124之區域。所顯示的複數個氣體端口包含第一反應氣體端口125、第二反應氣體端口135、真空端口145,該真空端口圍繞第一反應氣體端口及第二反應氣體端口的每一個,及淨化氣體端口155。Referring to both FIGS. 4 and 5, the gas distribution assembly 220 according to one or more embodiments may include a plurality of sections (or injector units 122), where each section is the same or different. The gas distribution assembly 220 is positioned in the processing chamber and includes a plurality of extended gas ports 125, 135, 155 and a vacuum port 145 in the front surface 121 of the gas distribution assembly 220. The plurality of extended gas ports 125, 135, 155 and the vacuum port 145 extend from the area adjacent to the inner peripheral edge 123 toward the area adjacent to the peripheral edge 124 of the gas distribution assembly 220. The plurality of gas ports shown include a first reaction gas port 125, a second reaction gas port 135, and a vacuum port 145. The vacuum port surrounds each of the first reaction gas port and the second reaction gas port, and the purge gas port 155 .

參考圖4或圖5所顯示的實施例,當闡述該等端口從至少大約內圍區域周圍延伸至至少大約外圍區域周圍時,然而,該等端口可不僅僅從內圍區域徑向延伸到外圍區域。該等端口可隨著真空端口145圍繞反應氣體端口125及反應氣體端口135而切線地延伸。在圖4及圖5所顯示的實施例中,楔形反應氣體端口125、135在所有邊緣上,包含相鄰的內圍區域及外圍區域,被真空端口145包圍。Referring to the embodiment shown in FIG. 4 or FIG. 5, when it is described that the ports extend from at least about the inner circumference area to at least about the outer circumference area, however, the ports may not only extend radially from the inner circumference area to the outer circumference area. . The ports may extend tangentially as the vacuum port 145 surrounds the reactive gas port 125 and the reactive gas port 135. In the embodiments shown in FIGS. 4 and 5, the wedge-shaped reaction gas ports 125 and 135 are surrounded by the vacuum port 145 on all edges, including adjacent inner and outer regions.

參考圖4,隨著基板沿著路徑127移動,基板表面的每個部分被暴露於各種反應氣體。為了依循路徑127,基板將被暴露於,或「看到」,淨化氣體端口155、真空端口145、第一反應氣體端口125、真空端口145、淨化氣體端口155、真空端口145、第二反應氣體端口135及真空端口145。因此,在圖4所顯示的路徑127的終點處,基板被暴露於來自第一反應氣體端口125及第二反應氣體端口135的氣流,以形成層。所顯示的注射器單元122產生了四分之一圓,但可以更大或更小。在圖5中所顯示的氣體分配組件220可被認定為圖4的四個注射器單元122的串聯連接之組合。Referring to FIG. 4, as the substrate moves along the path 127, each part of the surface of the substrate is exposed to various reactive gases. In order to follow path 127, the substrate will be exposed to, or "see", purge gas port 155, vacuum port 145, first reactive gas port 125, vacuum port 145, purge gas port 155, vacuum port 145, second reactive gas Port 135 and vacuum port 145. Therefore, at the end of the path 127 shown in FIG. 4, the substrate is exposed to the gas flow from the first reaction gas port 125 and the second reaction gas port 135 to form a layer. The shown syringe unit 122 produces a quarter circle, but it can be larger or smaller. The gas distribution assembly 220 shown in FIG. 5 can be regarded as a series connection combination of the four syringe units 122 of FIG. 4.

圖4的注射器單元122顯示氣體幕帘(gas curtain)150,該氣體幕帘使得反應氣體分離。「氣體幕帘」的用詞用來描述分離反應氣體以防止混合的氣流或真空之任何組合。圖4中所顯示的氣體幕帘150包括在第一反應氣體端口125旁邊的真空端口145之一部分、在中間的淨化氣體端口155,及在第二反應氣體端口135旁邊的真空端口145之一部分。氣流及真空的此組合可用於防止或最小化第一反應氣體及第二反應氣體的氣相反應。The injector unit 122 of FIG. 4 shows a gas curtain 150 that separates the reaction gas. The term "gas curtain" is used to describe any combination of gas flow or vacuum that separates the reaction gases to prevent mixing. The gas curtain 150 shown in FIG. 4 includes a part of the vacuum port 145 beside the first reaction gas port 125, a purge gas port 155 in the middle, and a part of the vacuum port 145 beside the second reaction gas port 135. This combination of gas flow and vacuum can be used to prevent or minimize the gas phase reaction of the first reaction gas and the second reaction gas.

參考圖5,來自氣體分配組件220的氣流及真空的組合將分離形成複數個處理區域250。處理區域在獨立的反應氣體端口125、135周圍粗略地利用250之間的氣體幕帘150定義。圖5所顯示的實施例利用處理區域之間的八個分離的氣體幕帘150構成了八個分離的處理區域250。處理腔室可具有至少兩個處理區域。在一些實施例中,有至少三個、四個、五個、六個、七個、八個、九個、10個、11個,或12個處理區域。Referring to FIG. 5, the combination of gas flow and vacuum from the gas distribution assembly 220 will separate to form a plurality of processing areas 250. The processing area is roughly defined by the gas curtain 150 between 250 around the independent reaction gas ports 125, 135. The embodiment shown in FIG. 5 utilizes eight separated gas curtains 150 between the processing regions to form eight separated processing regions 250. The processing chamber may have at least two processing areas. In some embodiments, there are at least three, four, five, six, seven, eight, nine, 10, 11, or 12 processing areas.

在處理期間,基板可在任何給定的時間暴露於一個以上的處理區域250。然而,暴露於不同處理區域的部分將有氣體幕帘分離兩者。例如,如果基板的前緣進入了包含第二反應氣體端口135的處理區域,則基板的中間部分將位於氣體幕帘150底下,且基板的後緣將位於包含第一反應氣體端口125的處理區域中。During processing, the substrate may be exposed to more than one processing area 250 at any given time. However, the parts exposed to different treatment areas will have a gas curtain separating the two. For example, if the front edge of the substrate enters the processing area containing the second reactive gas port 135, the middle portion of the substrate will be located under the gas curtain 150, and the rear edge of the substrate will be located in the processing area containing the first reactive gas port 125 in.

舉例而言,可以是裝載鎖定腔室的工廠介面280被顯示為連接到處理腔室100。基板60被顯示為疊加在氣體分配組件220上方,以提供參考框架。基板60可經常坐落在基座組件上,以被持定於氣體分配組件120(也稱為氣體分配板材)的前表面121附近。基板60透過工廠介面280裝載到處理腔室100中的基板支座或基座組件上(參見圖3)。基板60可被顯示為定位在處理區域內,因為在該基板位於第一反應氣體端口125旁邊及兩個氣體幕帘150a、150b之間。將基板60沿著路徑127旋轉將使該基板繞著處理腔室100逆時針移動。因此,基板60將透過第八處理區250h而暴露於第一處理區域250a,包含其間的所有處理區域。對於圍繞處理腔室的每個循環,利用所顯示的氣體分配組件,基板60將被暴露於第一反應氣體及第二反應氣體的四個ALD循環。For example, the factory interface 280, which may be a load lock chamber, is shown as connected to the processing chamber 100. The base plate 60 is shown as being superimposed above the gas distribution assembly 220 to provide a frame of reference. The base plate 60 may often sit on the base assembly to be held near the front surface 121 of the gas distribution assembly 120 (also referred to as the gas distribution plate). The substrate 60 is loaded on the substrate support or base assembly in the processing chamber 100 through the factory interface 280 (see FIG. 3). The substrate 60 may be shown as being positioned within the processing area because it is located beside the first reactive gas port 125 and between the two gas curtains 150a, 150b. Rotating the substrate 60 along the path 127 will cause the substrate to move counterclockwise around the processing chamber 100. Therefore, the substrate 60 will be exposed to the first processing area 250a through the eighth processing area 250h, including all processing areas in between. For each cycle around the processing chamber, using the gas distribution assembly shown, the substrate 60 will be exposed to four ALD cycles of the first reactive gas and the second reactive gas.

具有四個運動軸的精密線性定位系統可被用來將基座定位在氣體注射器附近。此舉可在圖6中看到。定位系統可利用底部板材建構,該底部板材具有三個平均間隔的線性致動器,該等線性致動器牢固地垂直於底部板材表面而安裝。每個致動器可提供精確的垂直運動,並以4度自由度(4-degree-of-freedom,4-DOF)的關節耦合至頂部板材。在一些實施例中,如圖8A及圖8B中所顯示地,4-DOF關節可在連接到線性軸承的軸承中包括球形桿。在一些實施例中,4-DOF關節包括運動耦合特徵(參見圖9),該運動耦合特徵提供俯仰(pitch)、偏擺(yaw)、翻滾(roll)及與頂部板材的中心對準的一個線性自由度。基座的旋轉出入可整合到頂部板材以用於處理及增加第四運動軸。在一或更多個實施例中,該系統提供小於0.005英寸的位置重複性(position repeatability)。A precision linear positioning system with four motion axes can be used to position the base near the gas injector. This can be seen in Figure 6. The positioning system can be constructed using a bottom plate, the bottom plate having three equally spaced linear actuators, and the linear actuators are firmly installed perpendicular to the surface of the bottom plate. Each actuator can provide precise vertical movement and is coupled to the top plate with a 4-degree-of-freedom (4-DOF) joint. In some embodiments, as shown in FIGS. 8A and 8B, the 4-DOF joint may include a spherical rod in the bearing connected to the linear bearing. In some embodiments, the 4-DOF joint includes a kinematic coupling feature (see Figure 9) that provides pitch, yaw, roll, and one aligned with the center of the top sheet Linear degrees of freedom. The rotation of the base can be integrated into the top plate for processing and adding a fourth axis of movement. In one or more embodiments, the system provides position repeatability of less than 0.005 inches.

圖6根據本揭示的一個或更多個實施例顯示結合了基座組件的處理腔室。基座組件340包含軸桿160,該軸可支撐基座341。基座340被顯示為平板,但也可包含類似圖2中顯示的凹部或口袋。Figure 6 shows a processing chamber incorporating a base assembly according to one or more embodiments of the present disclosure. The base assembly 340 includes a shaft 160 that can support the base 341. The base 340 is shown as a flat plate, but may also include recesses or pockets similar to those shown in FIG. 2.

返回參考圖6,定位系統300與軸桿160連通,以移動基座341。如這方面所使用地,「連通」的用詞是指至少一個元件能夠影響另一個元件的位置,或直接地或者間接地與另外一個元件接觸。一些實施例的定位系統300可將基座341沿著z軸移動(亦即,在繪圖中向上及向下)、沿著x軸或y軸移動,以造成基座341相對於氣體分配組件320傾斜。Referring back to FIG. 6, the positioning system 300 communicates with the shaft 160 to move the base 341. As used in this regard, the term "connected" means that at least one element can affect the position of another element, or directly or indirectly contact another element. The positioning system 300 of some embodiments can move the base 341 along the z-axis (that is, up and down in the drawing), along the x-axis or the y-axis, so that the base 341 is relative to the gas distribution assembly 320 tilt.

圖6中的定位系統300包括底部板材301、頂部板材302及至少三個致動器310。每個致動器310被 定位在底部板材301及頂部板材302之間,並與該底部板材及該頂部板材接觸。每個致動器310具有主體311及桿312,該桿具有桿端313,該桿端可在主體311內移動。每個桿312可在主體內滑動,使得從主體延伸的桿的長度可以變化。因此,桿312可沿著主體311的軸移動,以將頂部板材302移動靠近或遠離底部板材301。在此所使用的基座341靠近或遠離氣體分配組件320的移動被稱為沿著z軸移動。The positioning system 300 in FIG. 6 includes a bottom plate 301, a top plate 302 and at least three actuators 310. Each actuator 310 is positioned between the bottom plate 301 and the top plate 302 and is in contact with the bottom plate and the top plate. Each actuator 310 has a main body 311 and a rod 312 with a rod end 313 that can move within the main body 311. Each rod 312 can slide within the main body so that the length of the rod extending from the main body can be varied. Therefore, the rod 312 can move along the axis of the main body 311 to move the top plate 302 closer to or away from the bottom plate 301. The movement of the base 341 close to or away from the gas distribution assembly 320 used herein is referred to as movement along the z-axis.

圖6中顯示的實施例包含V形塊316,其中每個致動器與該V形塊接觸。圖8A及圖8B顯示V形塊316的放大視圖。V形塊316中的槽317相對於頂部板材302的中心徑向對準。如這方面所使用地,頂部板材302的「中心」是指相對於致動器及軸桿的運動中心。頂部板材的形狀可繞著中心而呈現同心,或可為不規則的。與移動中心的徑向對準允許桿312的端部313沿著長度滑動以朝向及遠離中心,該長度是沿著V形塊的槽317所定義。The embodiment shown in Figure 6 includes a V-shaped block 316 with each actuator in contact with the V-shaped block. 8A and 8B show enlarged views of the V-shaped block 316. The groove 317 in the V-shaped block 316 is aligned radially with respect to the center of the top plate 302. As used in this regard, the "center" of the top plate 302 refers to the center of motion relative to the actuator and shaft. The shape of the top sheet may be concentric around the center, or may be irregular. The radial alignment with the center of movement allows the end 313 of the rod 312 to slide toward and away from the center along a length that is defined along the groove 317 of the V-shaped block.

在一些實施例中,V形塊316進一步包括端部板材318,該端部板材定位在V形塊316的任一端部或兩個端部。V形塊316可經定位使得端部板材318位於V形塊316的外端,使得桿312的端部313無法從中心延伸得比端部板材318更遠。In some embodiments, the V-shaped block 316 further includes an end plate 318 which is positioned at either end or both ends of the V-shaped block 316. The V-shaped block 316 may be positioned so that the end plate 318 is located at the outer end of the V-shaped block 316 so that the end 313 of the rod 312 cannot extend farther from the center than the end plate 318.

圖6中顯示的實施例是由重力支撐,使得桿312的端部313及頂部板材302之間沒有機械連接。在一些實施例中,底部板材301及頂部板材302之間有機械連接。例如,圖8A及圖8B顯示機械連接的系統,其中每個致動器310與線性軸承379接觸。圖8A顯示致動器310的前視圖,其中桿312從主體311的頂部延伸。在所顯示的實施例中,桿端313具有球形軸承374,以與插座375連接。「球形」的用詞在這方面是指桿的端部具有凸形側面,且並不意味著完美的球體。球形軸承374的凸形側面之目的是為了與插座375的凹形部分376協同地互動。球形軸承374及插座375的協同互動允許軸承及插座的對準隨著桿312移動而改變角度。插座375具有托架377,該托架具有貫通該托架的通道378。圖8B顯示圖8A的致動器的側視圖。托架377的通道378可與線性軸承379協同地互動。就像圖6的V形塊316,線性軸承379可連接到頂部板材302,或與頂部板材302一體成形。線性軸承379可相對於頂部板材302的移動中心徑向對準。桿312的移動將造成頂部板材302傾斜,及使托架377沿著線性軸承379的長度(亦即,延長軸)滑動。在不受任何特定的運作理論約束下,相信允許桿端313沿著V形塊316、線性軸承379,或其他軸承類型的元件之任一者滑動將使元件上的應力最小化。一些實施例的軸承允許足夠的運動範圍,並提供支撐元件的正向保持,以允許在不脫離(升高離開V形塊)的情況下允許元件反向。The embodiment shown in FIG. 6 is supported by gravity so that there is no mechanical connection between the end 313 of the rod 312 and the top plate 302. In some embodiments, there is a mechanical connection between the bottom plate 301 and the top plate 302. For example, FIGS. 8A and 8B show a mechanically connected system in which each actuator 310 is in contact with a linear bearing 379. FIG. 8A shows a front view of the actuator 310 in which the rod 312 extends from the top of the main body 311. In the embodiment shown, the rod end 313 has a spherical bearing 374 to connect with the socket 375. The term "spherical" in this respect means that the end of the rod has convex sides and does not mean a perfect sphere. The purpose of the convex side of the spherical bearing 374 is to cooperate with the concave portion 376 of the socket 375. The cooperative interaction of the spherical bearing 374 and the socket 375 allows the alignment of the bearing and socket to change the angle as the rod 312 moves. The socket 375 has a bracket 377 with a passage 378 passing through the bracket. Fig. 8B shows a side view of the actuator of Fig. 8A. The channel 378 of the bracket 377 can interact cooperatively with the linear bearing 379. Just like the V-shaped block 316 in FIG. 6, the linear bearing 379 can be connected to the top plate 302 or integrally formed with the top plate 302. The linear bearing 379 may be radially aligned with respect to the center of movement of the top plate 302. The movement of the rod 312 will cause the top plate 302 to tilt and cause the bracket 377 to slide along the length of the linear bearing 379 (ie, the extension shaft). Without being bound by any particular theory of operation, it is believed that allowing the rod end 313 to slide along any of the V-shaped block 316, linear bearing 379, or other bearing type components will minimize the stress on the components. The bearings of some embodiments allow sufficient range of motion and provide positive retention of the support element to allow the element to be reversed without disengaging (raising away from the V-block).

每個致動器的結合運動及位置提供了精確的俯仰、翻滾及z運動,以在此實施例中定位基座。該移動可在非常嚴格的允差(tolerances)下使基座對準到注射器組件,這取決於所使用的運動致動器之解析度/精準度。在一些實施例中,該移動可將基座與注射器組件對準到小於約0.05、0.045、0.04、0.035、0.03、0.025、0.02、0.015、0.01或0.005英寸。由定位系統300所提供的運動允許以波紋管(bellows)或唇封(lip seal)使垂直致動器安排整合至真空腔室中。在習知系統中,由於底部板材上的鉸接致動器造成真空饋通難以設計,整個致動器軸桿在多個方向中移動。The combined movement and position of each actuator provides precise pitch, roll, and z movements to position the base in this embodiment. This movement can align the base to the syringe assembly with very strict tolerances, depending on the resolution/precision of the motion actuator used. In some embodiments, this movement can align the base and syringe assembly to less than about 0.05, 0.045, 0.04, 0.035, 0.03, 0.025, 0.02, 0.015, 0.01, or 0.005 inches. The movement provided by the positioning system 300 allows the vertical actuator arrangement to be integrated into the vacuum chamber with bellows or lip seals. In the conventional system, it is difficult to design the vacuum feedthrough due to the hinged actuator on the bottom plate, and the entire actuator shaft moves in multiple directions.

再次參考圖6,定位系統300可位於真空腔室101的外側。在此,真空腔室101的底部具有從中穿過的開口102。軸桿160通過開口102,並支撐在真空腔室101內的基座341,並與定位系統300的頂部板材302連通或連接。Referring again to FIG. 6, the positioning system 300 may be located outside the vacuum chamber 101. Here, the bottom of the vacuum chamber 101 has an opening 102 passing therethrough. The shaft 160 passes through the opening 102, is supported on the base 341 in the vacuum chamber 101, and communicates or connects with the top plate 302 of the positioning system 300.

為了維持真空腔室101氣密密封,波紋管382可被包括在內。圖6的波紋管382使頂部板材302及真空腔室101連接或接觸。軸桿160沿著z軸的移動造成波紋管382擴張或收縮,而不破壞真空腔室101中的真空。雖然顯示了波紋管,但熟知技藝人士將理解到,其他的密封機制可被採用。例如,唇封、磁性耦合件或在真空中密封線性運動軸的任何其他方法。In order to maintain an airtight seal of the vacuum chamber 101, a bellows 382 may be included. The bellows 382 of FIG. 6 connects or contacts the top plate 302 and the vacuum chamber 101. The movement of the shaft 160 along the z-axis causes the bellows 382 to expand or contract without breaking the vacuum in the vacuum chamber 101. Although a bellows is shown, those skilled in the art will understand that other sealing mechanisms can be used. For example, a lip seal, a magnetic coupling or any other method of sealing a linear motion shaft in a vacuum.

圖9顯示另一個實施例,其中定位系統位於真空腔室101內。在此,軸桿160延伸通過定位系統300的頂部板材302及底部板材301。定位系統300可完全位於真空腔室中或部分位於真空腔室中。波紋管382在圖9中顯示在底部板材301的下方,來說明系統300的一部分可通過真空腔室101中的開口,並同時維持氣密密封。圖9中顯示的實施例包含底部板材301下方的致動器密封件319。致動器密封件319可提供足夠的空間給致動器移動,而同時維持氣密密封。FIG. 9 shows another embodiment in which the positioning system is located in the vacuum chamber 101. Here, the shaft 160 extends through the top plate 302 and the bottom plate 301 of the positioning system 300. The positioning system 300 may be completely located in the vacuum chamber or partially located in the vacuum chamber. The bellows 382 is shown below the bottom plate 301 in FIG. 9 to illustrate that part of the system 300 can pass through the opening in the vacuum chamber 101 while maintaining an airtight seal. The embodiment shown in FIG. 9 includes an actuator seal 319 under the bottom plate 301. The actuator seal 319 can provide enough space for the actuator to move while maintaining an airtight seal.

圖10顯示精密線性定位系統400的另一個實施例,該精密線性定位系統具有四個運動軸,該等運動軸可用於,例如,將基座放置在氣體注射板材附近。系統可利用附接到真空腔室101的球形滾輪軸承390而建構。滾輪軸承390可為機械軸承或空氣軸承。滾輪軸承390提供用於繞著z軸旋轉、繞著x軸旋轉及繞著y軸旋轉的軸承支撐。波紋管382,也被稱為波紋管密封件,可被包含在滾輪軸承390下方,以提供處理環境及大氣之間的屏障,而同時允許旋轉唇封繞著x、y及z軸的旋轉。在一些實施例中,在波紋管下方的分階真空唇封(未顯示)提供用於繞著z軸(theta旋轉)的旋轉運動之真空隔離屏障。旋轉馬達(也稱為theta馬達355)可附接到定位系統400的框架410,以與唇封整合並提供用於x、y及z台階420的安裝點,該等台階支撐並致動負載。具有theta馬達355/唇封的框架410可被附接到x、y及z台階420,以提供用於將基座341對準到注射器板材的精確運動。Fig. 10 shows another embodiment of a precision linear positioning system 400, which has four motion axes, which can be used, for example, to place the base near the gas injection sheet. The system can be constructed using spherical roller bearings 390 attached to the vacuum chamber 101. The roller bearing 390 may be a mechanical bearing or an air bearing. The roller bearing 390 provides bearing support for rotation about the z-axis, rotation about the x-axis, and rotation about the y-axis. The bellows 382, also known as bellows seals, can be included under the roller bearing 390 to provide a barrier between the processing environment and the atmosphere, while allowing the rotating lip seal to rotate about the x, y, and z axes. In some embodiments, a stepped vacuum lip seal (not shown) under the bellows provides a vacuum isolation barrier for rotational movement about the z-axis (theta rotation). A rotary motor (also known as theta motor 355) can be attached to the frame 410 of the positioning system 400 to integrate with the lip seal and provide mounting points for the x, y, and z steps 420, which support and actuate the load. The frame 410 with theta motor 355/lip seal can be attached to the x, y and z steps 420 to provide precise movement for aligning the base 341 to the syringe plate.

在圖6、圖9及圖10中顯示的theta馬達355旋轉軸桿160,以旋轉基座341。Theta馬達355可為任何適當的馬達,該馬達能夠精準地及一致地旋轉沉重的元件。The theta motor 355 shown in FIGS. 6, 9 and 10 rotates the shaft 160 to rotate the base 341. The Theta motor 355 can be any suitable motor that can rotate heavy components accurately and consistently.

圖10中顯示的框架410包含頂部板材302及底部板材301。頂部板材及底部板材由複數個支撐桿411連接。頂部板材302及底部板材301之間的距離可為任何合適的距離,這取決於位於其間的元件尺寸。例如,在圖10顯示的實施例中,頂部板材302及底部板材301之間的最小距離是由theta馬達355所佔用的空間量。The frame 410 shown in FIG. 10 includes a top plate 302 and a bottom plate 301. The top plate and the bottom plate are connected by a plurality of support rods 411. The distance between the top plate 302 and the bottom plate 301 can be any suitable distance, depending on the size of the components located between them. For example, in the embodiment shown in FIG. 10, the minimum distance between the top plate 302 and the bottom plate 301 is the amount of space occupied by the theta motor 355.

所顯示的台階420是由x軸馬達、y軸馬達及z軸馬達的組合所構成。x軸的運動可利用x軸軌道421來完成,該x軸軌道在其上具有可滑動的平臺422。平臺422可沿著x軸軌道421的長度移動,以使基座傾斜。軸桿160通過真空腔室101中的開口102的點充當幾乎固定的點,使得平臺422的移動造成基座繞著開口位置樞轉。y軸運動可利用y軸軌道424完成,該y軸軌道在其上具有可滑動的平臺426。平臺426可沿著y軸軌道424的長度移動,以使基座在垂直於x軸的軸中傾斜。z軸運動可利用連接到致動器429的z軸馬達428完成,該致動器沿著z軸移動。致動器429可利用類似圖10中顯示的板材而機械地連接到框架410。在一些實施例中,致動器429沒有機械固定器地藉由摩擦互動而接合框架410。台階420可具有類似所顯示的堆疊線性軌道或其他形狀,包含但不受限於,弧形軌道。台階420可為其他類型的多軸元件,包括但不受限於,三腳架及六腳架。The step 420 shown is composed of a combination of an x-axis motor, a y-axis motor, and a z-axis motor. The movement of the x-axis can be accomplished using an x-axis track 421, which has a slidable platform 422 thereon. The platform 422 can move along the length of the x-axis track 421 to tilt the base. The point where the shaft 160 passes through the opening 102 in the vacuum chamber 101 serves as an almost fixed point such that the movement of the platform 422 causes the base to pivot about the opening position. The y-axis movement can be accomplished using the y-axis track 424, which has a slidable platform 426 thereon. The platform 426 can move along the length of the y-axis track 424 to tilt the base in an axis perpendicular to the x-axis. The z-axis movement can be accomplished using a z-axis motor 428 connected to an actuator 429, which moves along the z-axis. The actuator 429 may be mechanically connected to the frame 410 using a plate similar to that shown in FIG. 10. In some embodiments, the actuator 429 engages the frame 410 through frictional interaction without a mechanical anchor. The steps 420 may have stacked linear tracks similar to those shown or other shapes, including but not limited to arc-shaped tracks. The step 420 may be other types of multi-axis components, including but not limited to a tripod and a hexapod.

本揭示的一或更多個實施例併入了真空隔離及基座的4-DOF運動。運動包含繞著x軸的旋轉、繞著y軸的旋轉、z軸中的轉移,及繞著z軸的旋轉。一些實施例的台階經定位以能夠在支架堆疊底部的幾乎垂直於負載處,處理負載。從而,一些實施例在單一元件中提供軸承支承、運動及真空隔離,該單一元件可輕易地被分離,以用於簡單且可靠的整合。One or more embodiments of the present disclosure incorporate vacuum isolation and 4-DOF movement of the base. Movement includes rotation around the x-axis, rotation around the y-axis, transfer in the z-axis, and rotation around the z-axis. The steps of some embodiments are positioned to handle the load at the bottom of the stent stack almost perpendicular to the load. Thus, some embodiments provide bearing support, motion, and vacuum isolation in a single element that can be easily separated for simple and reliable integration.

參考圖11,一些實施例包含波紋管382及真空腔室101之間的軸承組件440。所顯示的軸承組件包含唇封442(或分階真空)、連接到唇封442的波紋管382及波紋管382與真空腔室101之間的連接板材444。軸承組件440在真空腔室101的內部體積及大氣之間產生真空密封。區域445可在與真空腔室101相同的或不同的壓力之下,且可包含分階真空,以確保任何洩漏不會影響真空腔室101。Referring to FIG. 11, some embodiments include a bellows 382 and a bearing assembly 440 between the vacuum chamber 101. The bearing assembly shown includes a lip seal 442 (or a stepped vacuum), a bellows 382 connected to the lip seal 442, and a connecting plate 444 between the bellows 382 and the vacuum chamber 101. The bearing assembly 440 creates a vacuum seal between the internal volume of the vacuum chamber 101 and the atmosphere. The area 445 may be under the same or a different pressure as the vacuum chamber 101 and may contain a stepped vacuum to ensure that any leakage will not affect the vacuum chamber 101.

所顯示的軸承組件440包含球形滾輪軸承450,該球形滾輪軸承定位在軸桿160周圍,並在軸桿160及真空腔室101之間形成密封。球形滾輪軸承450定位在真空腔室101的開口102處。球形滾輪軸承450具有兩個主要元件;內環452及外環454。當軸桿160繞著z軸旋轉時,內環452也跟著旋轉。相對於軸桿160的旋轉量可為從完全停止(亦即,不旋轉)或多達軸桿旋轉速度的任何一者,這取決於內環452的類型。在一些實施例中,內環452以相同於軸桿160的速度旋轉。外環454在位置中保持固定,並允許內環452在x-y平面中旋轉,亦即,繞著z軸。此外,外環454可允許內環452隨著基座(未顯示)傾斜而在x-z及y-z平面中旋轉,以允許軸桿160在不垂直於外環454的主要平面的方向中通過外環454。圖12A顯示的球形滾輪軸承450的部分視圖,其中軸桿垂直於外環454的平面延伸。圖12B顯示球形滾輪軸承450的部分視圖,該球形滾輪軸承在x-z平面中傾斜,使得軸桿160不再垂直於外環454的平面。交叉線(cross-hatching)被用來描繪不同的元件,且不一定代表構成獨立元件的材料。例如,內環、外環及軸桿皆可由鋁製成,或每個元件可為不同的材料。所顯示的外環454被定位在連接板材444的縫隙456中。縫隙456可經設定尺寸以牢固地持定外環,並防止或減少區域445及真空腔室101的內部體積之間的氣體洩漏。The bearing assembly 440 shown includes a spherical roller bearing 450 positioned around the shaft 160 and forming a seal between the shaft 160 and the vacuum chamber 101. The spherical roller bearing 450 is positioned at the opening 102 of the vacuum chamber 101. The spherical roller bearing 450 has two main elements; an inner ring 452 and an outer ring 454. When the shaft 160 rotates around the z-axis, the inner ring 452 also rotates. The amount of rotation relative to the shaft 160 may be any one from a complete stop (ie, no rotation) or up to the shaft rotation speed, depending on the type of the inner ring 452. In some embodiments, the inner ring 452 rotates at the same speed as the shaft 160. The outer ring 454 remains fixed in position and allows the inner ring 452 to rotate in the x-y plane, that is, around the z axis. In addition, the outer ring 454 may allow the inner ring 452 to rotate in the xz and yz planes as the base (not shown) is tilted to allow the shaft 160 to pass through the outer ring 454 in a direction that is not perpendicular to the main plane of the outer ring 454 . FIG. 12A shows a partial view of the spherical roller bearing 450 in which the shaft extends perpendicular to the plane of the outer ring 454. FIG. 12B shows a partial view of a spherical roller bearing 450 that is inclined in the x-z plane so that the shaft 160 is no longer perpendicular to the plane of the outer ring 454. Cross-hatching is used to depict different components, and does not necessarily represent the materials that make up individual components. For example, the inner ring, outer ring, and shaft can all be made of aluminum, or each element can be of different materials. The outer ring 454 shown is positioned in the gap 456 of the connecting plate 444. The gap 456 can be sized to firmly hold the outer ring and prevent or reduce gas leakage between the area 445 and the internal volume of the vacuum chamber 101.

在一些實施例中,唇封442位於軸桿160上的固定位置,使得當軸桿上升或下降時,唇封442與軸桿160沿著z軸移動。波紋管382擴張及收縮,以維持真空腔室101的底部及唇封442之間的真空密封。唇封442允許軸桿160繞著z軸旋轉。In some embodiments, the lip seal 442 is located at a fixed position on the shaft 160 such that when the shaft rises or falls, the lip seal 442 and the shaft 160 move along the z-axis. The bellows 382 expands and contracts to maintain the vacuum seal between the bottom of the vacuum chamber 101 and the lip seal 442. The lip seal 442 allows the shaft 160 to rotate about the z axis.

圖13顯示另一個球形滾輪軸承450,其中內環452及外環454兩者是半圓形的,而不是平面的。類似其他的球形滾輪軸承,在外環454內的內環452之傾斜是可變的,這取決於內環及外環的形狀及尺寸。在圖13的實施例中,可施加到軸桿160的傾斜量取決於外環中的開口458的尺寸。Figure 13 shows another spherical roller bearing 450 in which both the inner ring 452 and the outer ring 454 are semicircular rather than flat. Similar to other spherical roller bearings, the inclination of the inner ring 452 in the outer ring 454 is variable, depending on the shape and size of the inner ring and the outer ring. In the embodiment of FIG. 13, the amount of tilt that can be applied to the shaft 160 depends on the size of the opening 458 in the outer ring.

適合使用的滾輪軸承包含但不限於,機械軸承、空氣軸承、支撐繞著x、y及z軸旋轉及沿著z軸轉移的軸承。分階真空或唇封可用於內環452及外環454之間,及內環452及軸桿160之間。此舉可提供真空屏障,而同時仍然允許轉動。Suitable roller bearings include, but are not limited to, mechanical bearings, air bearings, bearings that support rotation around the x, y, and z axes and transfer along the z axis. A stepped vacuum or lip seal can be used between the inner ring 452 and the outer ring 454, and between the inner ring 452 and the shaft 160. This can provide a vacuum barrier while still allowing rotation.

遍及本說明書中對於「一個實施例」、「某些實施例」、「一或更多個實施」或「一實施例」的參考意味著連同實施例所描述的特定特徵、結構、材料,或特性被包含於本揭示的至少一個實施例中。因此,諸如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的用語在遍及本說明書的各種地方之出現不一定指的是本揭示的同一個實施例。進一步而言,特定的特徵、結構、材料或特性可以任何合適的方式而結合於一或更多個實施例中。References throughout this specification to "one embodiment", "certain embodiments", "one or more implementations" or "an embodiment" mean specific features, structures, materials, or The characteristics are included in at least one embodiment of the present disclosure. Therefore, terms such as "in one or more embodiments", "in some embodiments", "in one embodiment" or "in an embodiment" appear in various places throughout this specification It does not necessarily refer to the same embodiment of the present disclosure. Furthermore, specific features, structures, materials, or characteristics may be combined in one or more embodiments in any suitable manner.

雖然在此的揭示已參考特定實施例描述,但應理解到,該等實施例僅說明本揭示的原理及應用。熟知技藝者可明顯觀察到,本揭示的方法及設備可做各種修改及變化,而不背離本揭示的精神及範疇。因此,本揭示包含所附隨之請求項範疇內的修改及變化及其等同物是所意圖的。Although the disclosure herein has been described with reference to specific embodiments, it should be understood that these embodiments only illustrate the principles and applications of the present disclosure. Those skilled in the art can clearly observe that various modifications and changes can be made to the method and equipment of the present disclosure without departing from the spirit and scope of the present disclosure. Therefore, it is intended that this disclosure includes modifications and changes within the scope of the accompanying claims and their equivalents.

17‧‧‧旋轉 60‧‧‧基板 61‧‧‧頂表面 84‧‧‧區域 100‧‧‧處理腔室 101‧‧‧真空腔室 102‧‧‧開口 120‧‧‧氣體分配組件 121‧‧‧前表面 122‧‧‧注射器單元 123‧‧‧內圍邊緣 124‧‧‧外圍邊緣 125‧‧‧第一反應氣體端口 127‧‧‧路徑 135‧‧‧第二反應氣體端口 140‧‧‧基座組件 141‧‧‧頂表面 142‧‧‧凹部 143‧‧‧底表面 144‧‧‧邊緣 145‧‧‧真空端口 150‧‧‧氣體幕帘 155‧‧‧淨化氣體端口 160‧‧‧軸桿 162‧‧‧致動器 170‧‧‧縫隙 180‧‧‧裝載鎖定腔室 250‧‧‧處理區域 250a‧‧‧處理區域 250b‧‧‧處理區域 250c‧‧‧處理區域 250d‧‧‧處理區域 250e‧‧‧處理區域 250f‧‧‧處理區域 250g‧‧‧處理區域 250h‧‧‧處理區域 280‧‧‧工廠介面 300‧‧‧定位系統 301‧‧‧底部板材 302‧‧‧頂部板材 310‧‧‧致動器 311‧‧‧主體 312‧‧‧桿 316‧‧‧V形塊 317‧‧‧槽 318‧‧‧端部板材 319‧‧‧密封件 320‧‧‧氣體分配組件 340‧‧‧基座組件 341‧‧‧基座 355‧‧‧theta馬達 374‧‧‧球形軸承 375‧‧‧插座 376‧‧‧凹形部分 377‧‧‧托架 378‧‧‧通道 379‧‧‧線性軸承 382‧‧‧波紋管 390‧‧‧球形滾輪軸承 400‧‧‧定位系統 410‧‧‧框架 411‧‧‧支撐桿 420‧‧‧台階 421‧‧‧x軸軌道 422‧‧‧平臺 424‧‧‧y軸軌道 426‧‧‧平臺 428‧‧‧z軸馬達 429‧‧‧致動器 440‧‧‧軸承組件 442‧‧‧唇封 444‧‧‧連接板材 445‧‧‧區域 450‧‧‧球形滾輪軸承 452‧‧‧內環 454‧‧‧外環 456‧‧‧縫隙 458‧‧‧開口17‧‧‧Rotate 60‧‧‧Substrate 61‧‧‧Top surface 84‧‧‧area 100‧‧‧Processing chamber 101‧‧‧Vacuum chamber 102‧‧‧Open 120‧‧‧Gas distribution assembly 121‧‧‧Front surface 122‧‧‧Syringe unit 123‧‧‧Inner edge 124‧‧‧Outer edge 125‧‧‧First reactant gas port 127‧‧‧path 135‧‧‧Second reaction gas port 140‧‧‧Base Assembly 141‧‧‧Top surface 142‧‧‧Recess 143‧‧‧Bottom surface 144‧‧‧Edge 145‧‧‧Vacuum port 150‧‧‧Gas curtain 155‧‧‧Purge gas port 160‧‧‧Shaft 162‧‧‧Actuator 170‧‧‧Gap 180‧‧‧Load lock chamber 250‧‧‧Processing area 250a‧‧‧treatment area 250b‧‧‧Processing area 250c‧‧‧Processing area 250d‧‧‧treatment area 250e‧‧‧Processing area 250f‧‧‧Processing area 250g‧‧‧treatment area 250h‧‧‧Processing area 280‧‧‧Factory interface 300‧‧‧Positioning System 301‧‧‧Bottom plate 302‧‧‧Top plate 310‧‧‧Actuator 311‧‧‧Main body 312‧‧‧ bar 316‧‧‧V-shaped block 317‧‧‧Slot 318‧‧‧End plate 319‧‧‧Seal 320‧‧‧Gas distribution assembly 340‧‧‧Base Assembly 341‧‧‧Base 355‧‧‧theta motor 374‧‧‧Spherical Bearing 375‧‧‧Socket 376‧‧‧Concave part 377‧‧‧ Bracket 378‧‧‧Channel 379‧‧‧Linear Bearing 382‧‧‧Corrugated pipe 390‧‧‧Spherical roller bearing 400‧‧‧Positioning system 410‧‧‧Frame 411‧‧‧Support Rod 420‧‧‧Step 421‧‧‧x axis track 422‧‧‧Platform 424‧‧‧y-axis track 426‧‧‧Platform 428‧‧‧z axis motor 429‧‧‧Actuator 440‧‧‧Bearing assembly 442‧‧‧Lip Seal 444‧‧‧Connecting plate 445‧‧‧area 450‧‧‧Spherical roller bearing 452‧‧‧Inner ring 454‧‧‧Outer Ring 456‧‧‧Gap 458‧‧‧Open

為了使本揭示的上述特徵可被詳細理解,在上方簡要總結的本揭示之更具體描述可參考實施例,其中某些實施例繪示於附圖中。然而應注意到,附圖僅繪示本揭示的典型實施例,且因此不應被認為是限制本揭示的範疇,因為本揭示可承認其他同等有效的實施例。In order to enable the above-mentioned features of the present disclosure to be understood in detail, the more detailed description of the present disclosure briefly summarized above may refer to the embodiments, some of which are shown in the accompanying drawings. It should be noted, however, that the drawings only depict typical embodiments of the present disclosure, and therefore should not be considered as limiting the scope of the present disclosure, because the present disclosure may recognize other equally effective embodiments.

圖1根據本揭示的一或更多個實施例顯示批次處理腔室的剖面圖;Figure 1 shows a cross-sectional view of a batch processing chamber according to one or more embodiments of the present disclosure;

圖2根據本揭示的一或更多個實施例顯示批次處理腔室的局部透視圖;Figure 2 shows a partial perspective view of a batch processing chamber according to one or more embodiments of the present disclosure;

圖3根據本揭示的一或更多個實施例顯示批次處理腔室的示意圖;Fig. 3 shows a schematic diagram of a batch processing chamber according to one or more embodiments of the present disclosure;

圖4根據本揭示的一或更多個實施例顯示部分的楔形氣體分配組件的示意圖,該楔形氣體分配組件用於批次處理腔室中;4 shows a schematic diagram of part of a wedge-shaped gas distribution assembly according to one or more embodiments of the present disclosure, the wedge-shaped gas distribution assembly being used in a batch processing chamber;

圖5根據本揭示的一或更多個實施例顯示批次處理腔室的示意圖;FIG. 5 shows a schematic diagram of a batch processing chamber according to one or more embodiments of the present disclosure;

圖6根據本揭示的一或更多個實施例顯示批次處理腔室的局部透視圖;Figure 6 shows a partial perspective view of a batch processing chamber according to one or more embodiments of the present disclosure;

圖7顯示與本揭示的一或更多個實施例使用的V形塊的透視圖;Figure 7 shows a perspective view of a V-shaped block used with one or more embodiments of the present disclosure;

圖8A及圖8B根據本揭示的一或更多個實施例顯示線性致動器,該等線性致動器具有球形桿端;8A and 8B show linear actuators according to one or more embodiments of the present disclosure, the linear actuators having spherical rod ends;

圖9根據本揭示的一或更多個實施例顯示批次處理腔室的局部透視圖;Figure 9 shows a partial perspective view of a batch processing chamber according to one or more embodiments of the present disclosure;

圖10根據本揭示的一或更多個實施例顯示批次處理腔室的局部透視圖;Figure 10 shows a partial perspective view of a batch processing chamber according to one or more embodiments of the present disclosure;

圖11根據本揭示的一或更多個實施例顯示球形軸承組件的局部剖面圖;Figure 11 shows a partial cross-sectional view of a spherical bearing assembly according to one or more embodiments of the present disclosure;

圖12A及圖12B根據本揭示的一或更多個實施例顯示使用中的球形軸承組件的局部剖面圖;及12A and 12B show partial cross-sectional views of a spherical bearing assembly in use according to one or more embodiments of the present disclosure; and

圖13根據本揭示的一或更多個實施例顯示球形軸承的局部剖面圖。Fig. 13 shows a partial cross-sectional view of a spherical bearing according to one or more embodiments of the present disclosure.

國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無Domestic hosting information (please note in the order of hosting organization, date and number)

國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無Foreign hosting information (please note in the order of hosting country, institution, date and number) None

(請換頁單獨記載) 無(Please change the page to record separately) None

101‧‧‧真空腔室 101‧‧‧Vacuum chamber

102‧‧‧開口 102‧‧‧Open

160‧‧‧軸桿 160‧‧‧Shaft

300‧‧‧定位系統 300‧‧‧Positioning System

301‧‧‧底部板材 301‧‧‧Bottom plate

302‧‧‧頂部板材 302‧‧‧Top plate

310‧‧‧致動器 310‧‧‧Actuator

311‧‧‧主體 311‧‧‧Main body

312‧‧‧桿 312‧‧‧ bar

316‧‧‧V形塊 316‧‧‧V-shaped block

317‧‧‧槽 317‧‧‧Slot

320‧‧‧氣體分配組件 320‧‧‧Gas distribution assembly

340‧‧‧基座組件 340‧‧‧Base Assembly

341‧‧‧基座 341‧‧‧Base

355‧‧‧theta馬達 355‧‧‧theta motor

382‧‧‧波紋管 382‧‧‧Corrugated pipe

Claims (20)

一種基座組件,包括:一軸桿(shaft),該軸桿可支撐一基座;及一定位系統,該定位系統包括一底部板材、一頂部板材及至少三個致動器,該等致動器定位在該底部板材及該頂部板材之間,並與該底部板材及該頂部板材接觸,該等致動器的每一個具有一主體及一桿(rod),該桿定位在該主體內,每個桿可沿著該主體的一軸而可滑動地移動,以將該頂部板材移動靠近或遠離該底部板材,每個致動器與該頂部板材上的一V形塊接觸,每個V形塊具有一槽,該槽相對於該頂部板材的一中心徑向對準並面向該底部板材,使得該桿的一端部可沿著該槽的一長度移動。 A base assembly includes: a shaft, which can support a base; and a positioning system, the positioning system includes a bottom plate, a top plate and at least three actuators, the actuations The actuator is positioned between the bottom plate and the top plate and is in contact with the bottom plate and the top plate. Each of the actuators has a main body and a rod, and the rod is positioned in the main body, Each rod can be slidably moved along an axis of the main body to move the top plate closer to or away from the bottom plate, each actuator contacts a V-shaped block on the top plate, and each V-shaped The block has a groove that is radially aligned with a center of the top plate and faces the bottom plate so that one end of the rod can move along a length of the groove. 如請求項1所述之基座組件,其中每個該V形塊進一步包括一端部板材,該端部板材相對於該頂部板材的該中心而定位在該V形塊的一外部端點,以防止該桿的該端部從該V形塊滑出。 The base assembly according to claim 1, wherein each of the V-shaped blocks further includes an end plate, and the end plate is positioned at an outer end point of the V-shaped block with respect to the center of the top plate. Prevent the end of the rod from slipping out of the V-shaped block. 如請求項1所述之基座組件,其中該軸桿延伸通過該定位系統的該頂部板材及該底部板材。 The base assembly according to claim 1, wherein the shaft extends through the top plate and the bottom plate of the positioning system. 一種基座組件,包括:一軸桿,該軸桿可支撐一基座;及一定位系統,該定位系統包括一底部板材、一頂部 板材及至少三個致動器,該等致動器定位在該底部板材及該頂部板材之間,並與該底部板材及該頂部板材接觸,該等致動器的每一個具有一主體及一桿,該桿定位在該主體內,每個桿可沿著該主體的一軸而可滑動地移動,以將該頂部板材移動靠近或遠離該底部板材,其中每個致動器與該頂部板材上的一線性軸承接觸,每個線性軸承相對於該頂部板材的一中心徑向對準。 A base assembly includes: a shaft, the shaft can support a base; and a positioning system, the positioning system includes a bottom plate, a top Plate and at least three actuators, the actuators are positioned between the bottom plate and the top plate, and are in contact with the bottom plate and the top plate, each of the actuators has a main body and a The rods are positioned in the main body, and each rod can be slidably moved along an axis of the main body to move the top plate closer to or away from the bottom plate, wherein each actuator is connected to the top plate Contact with a linear bearing, and each linear bearing is radially aligned with a center of the top plate. 如請求項4所述之基座組件,其中該等致動器的該桿的一端部具有一球形軸承,以與該線性軸承上的一插座連接。 The base assembly according to claim 4, wherein one end of the rod of the actuators has a spherical bearing to connect with a socket on the linear bearing. 一種處理腔室,包括:一真空腔室,該真空腔室具有一底部,該底部具有從中穿過的一開口;一基座組件,該基座組件具有一軸桿及一定位系統,該軸桿可支撐一基座,該定位系統包括一底部板材、一頂部板材及至少三個致動器,該等致動器定位在該底部板材及該頂部板材之間,並與該底部板材及該頂部板材接觸,該等致動器的每一個具有一主體及一桿,該桿定位在該主體內,每個桿可沿著該主體的一軸而可滑動地移動,以將該頂部板材移動靠近或遠離該底部板材,該基座組件經定位以使得該軸桿延伸 通過該真空腔室的該底部中的該開口,每個致動器與該頂部板材上的一V形塊接觸,每個V形塊具有一槽,該槽相對於該頂部板材的一中心徑向對準並面向該底部板材,使得該桿的一端部可沿著該槽的一長度移動;及一基座,該基座在該真空腔室內連接到該軸桿的一頂部。 A processing chamber includes: a vacuum chamber, the vacuum chamber has a bottom, the bottom has an opening therethrough; a base assembly, the base assembly has a shaft and a positioning system, the shaft It can support a base. The positioning system includes a bottom plate, a top plate, and at least three actuators. The actuators are positioned between the bottom plate and the top plate and are connected to the bottom plate and the top plate. In contact with the plate, each of the actuators has a main body and a rod, the rod is positioned in the main body, and each rod can be slidably moved along an axis of the main body to move the top plate closer or Away from the bottom plate, the base assembly is positioned so that the shaft extends Through the opening in the bottom of the vacuum chamber, each actuator is in contact with a V-shaped block on the top plate, and each V-shaped block has a groove relative to a central diameter of the top plate. Aligning and facing the bottom plate so that one end of the rod can move along a length of the groove; and a base connected to a top of the shaft in the vacuum chamber. 如請求項6所述之處理腔室,進一步包括一波紋管,該波紋管將該頂部板材連接到真空腔室以形成一氣密密封。 The processing chamber according to claim 6, further comprising a bellows that connects the top sheet to the vacuum chamber to form an airtight seal. 如請求項7所述之處理腔室,進一步在該波紋管及該真空腔室之間包括一軸承組件。 The processing chamber according to claim 7, further comprising a bearing assembly between the bellows and the vacuum chamber. 如請求項8所述之處理腔室,其中該軸承組件包括一球形滾輪軸承,該球形滾輪軸承定位在該軸桿周圍,並在該軸桿及該真空腔室之間形成一密封。 The processing chamber according to claim 8, wherein the bearing assembly includes a spherical roller bearing positioned around the shaft and forming a seal between the shaft and the vacuum chamber. 如請求項6所述之處理腔室,進一步包括一theta馬達以旋轉該軸桿。 The processing chamber according to claim 6, further comprising a theta motor to rotate the shaft. 如請求項6所述之基座組件,其中每個該V形塊進一步包括一端部板材,該端部板材相對於該頂部板材的該中心而定位在該V形塊的一外部端點,以防止該桿的該端部從該V形塊滑出。 The base assembly according to claim 6, wherein each of the V-shaped blocks further includes an end plate, and the end plate is positioned at an outer end point of the V-shaped block with respect to the center of the top plate. Prevent the end of the rod from slipping out of the V-shaped block. 一種處理腔室,包括: 一真空腔室,該真空腔室具有一底部,該底部具有從中穿過的一開口;如請求項1所述之基座組件,該基座組件定位在該真空腔室內;及一基座,該基座在該真空腔室內連接到該軸桿的一頂部。 A processing chamber includes: A vacuum chamber, the vacuum chamber having a bottom with an opening therethrough; the base assembly according to claim 1, the base assembly is positioned in the vacuum chamber; and a base, The base is connected to a top of the shaft in the vacuum chamber. 如請求項12所述之處理腔室,進一步包括一波紋管,該波紋管將該底部板材連接到真空腔室以形成一氣密密封。 The processing chamber according to claim 12, further comprising a bellows that connects the bottom plate to the vacuum chamber to form an airtight seal. 一種處理腔室,包括:一真空腔室,該真空腔室具有一底部,該底部具有從中穿過的一開口;一軸桿,該軸桿延伸通過該開口,該軸桿在該真空腔室內支撐一基座;及一軸承組件,該軸承組件包括一球形滾輪軸承,該球形滾輪軸承定位在該軸桿周圍,以在該軸桿及該真空腔室之間形成一密封。 A processing chamber includes: a vacuum chamber having a bottom with an opening passing therethrough; a shaft extending through the opening, the shaft supporting in the vacuum chamber A base; and a bearing assembly, the bearing assembly includes a spherical roller bearing, the spherical roller bearing is positioned around the shaft to form a seal between the shaft and the vacuum chamber. 如請求項14所述之處理腔室,其中該軸承組件進一步包括一波紋管及一唇封(lip seal),該波紋管將該唇封連接到該真空腔室的該底部,以形成一氣密連接。 The processing chamber according to claim 14, wherein the bearing assembly further includes a bellows and a lip seal, and the bellows connects the lip seal to the bottom of the vacuum chamber to form an airtight connection. 如請求項15所述之處理腔室,其中當升 高或降低該基座時,該唇封沿著一z軸與該軸桿移動,使得該波紋管擴張或收縮以維持一真空密封。 The processing chamber according to claim 15, wherein when When the base is raised or lowered, the lip seal moves along a z-axis and the shaft, so that the bellows expands or contracts to maintain a vacuum seal. 如請求項14所述之處理腔室,進一步包括一定位系統,該定位系統包括一底部板材、一頂部板材及至少三個致動器,該等致動器定位在該底部板材及該頂部板材之間,並與該底部板材及該頂部板材接觸,該等致動器的每一個具有一主體及一桿,該桿具有一桿端,該桿端定位在該主體內,每個桿可沿著該主體的一軸而可滑動地移動,以將該頂部板材移動靠近或遠離該底部板材。 The processing chamber according to claim 14, further comprising a positioning system including a bottom plate, a top plate and at least three actuators, the actuators being positioned on the bottom plate and the top plate Between and in contact with the bottom plate and the top plate, each of the actuators has a main body and a rod, the rod has a rod end, the rod end is positioned in the main body, and each rod can be along A shaft of the main body is slidably moved to move the top plate closer to or away from the bottom plate. 如請求項14所述之處理腔室,進一步包括一台階,該台階與該軸桿連通,該台階提供沿著一x軸、y軸及z軸的移動,以傾斜地升高及降低該基座。 The processing chamber according to claim 14, further comprising a step communicating with the shaft, the step providing movement along an x-axis, y-axis, and z-axis to raise and lower the base obliquely . 如請求項18所述之處理腔室,進一步包括一噴淋頭,該噴淋頭定位在該真空腔室內,並與該基座的一頂部表面隔開來。 The processing chamber according to claim 18, further comprising a shower head positioned in the vacuum chamber and separated from a top surface of the base. 如請求項19所述之處理腔室,其中該台階經配置以將該基座與該噴淋頭對準到小於約0.005英寸。 The processing chamber of claim 19, wherein the step is configured to align the base and the shower head to less than about 0.005 inches.
TW105116973A 2015-06-05 2016-05-31 Susceptor position and rotation apparatus and methods of use TWI700766B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562171968P 2015-06-05 2015-06-05
US62/171,968 2015-06-05

Publications (2)

Publication Number Publication Date
TW201709393A TW201709393A (en) 2017-03-01
TWI700766B true TWI700766B (en) 2020-08-01

Family

ID=58774396

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105116973A TWI700766B (en) 2015-06-05 2016-05-31 Susceptor position and rotation apparatus and methods of use

Country Status (2)

Country Link
CN (1) CN107735857B (en)
TW (1) TWI700766B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109943826A (en) * 2018-09-11 2019-06-28 东南大学 A kind of multi-functional composite deposition equipment and its preparation process
CN109898072B (en) * 2019-01-31 2021-05-18 长江存储科技有限责任公司 Semiconductor processing apparatus
CN109881181B (en) * 2019-01-31 2021-05-18 长江存储科技有限责任公司 Semiconductor processing equipment
CN113013010B (en) * 2019-12-20 2023-09-29 中微半导体设备(上海)股份有限公司 Corrugated pipe structure, method for adjusting verticality and plasma processing device thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04167541A (en) * 1990-10-31 1992-06-15 Fujitsu Ltd Positioning method for substrate and device thereof
CN2467721Y (en) * 2001-02-28 2001-12-26 上海东丰五金有限公司 Closer and opener with friction brake for sliding door
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
TWI246124B (en) * 2001-11-27 2005-12-21 Tokyo Electron Ltd Liquid processing apparatus and method
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
JP5132108B2 (en) * 2006-02-02 2013-01-30 株式会社Sokudo Substrate processing equipment
CN101364563A (en) * 2007-08-06 2009-02-11 力晶半导体股份有限公司 Positioning mechanism and substrate stage applying the same
US8438712B2 (en) * 2008-02-08 2013-05-14 Lam Research Corporation Floating collar clamping device for auto-aligning nut and screw in linear motion leadscrew and nut assembly
JP5592129B2 (en) * 2010-03-16 2014-09-17 東京エレクトロン株式会社 Plasma processing equipment
KR101363083B1 (en) * 2012-11-22 2014-02-14 (주)유시스템 Apparatus for aligning plate
US9620339B2 (en) * 2013-03-15 2017-04-11 Applied Materials, Inc. Sputter source for semiconductor process chambers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
US20080280453A1 (en) * 2007-05-09 2008-11-13 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber

Also Published As

Publication number Publication date
CN107735857B (en) 2022-01-11
CN107735857A (en) 2018-02-23
TW201709393A (en) 2017-03-01

Similar Documents

Publication Publication Date Title
JP6802191B2 (en) Positioning and rotating device of susceptor, and method of use
TWI700766B (en) Susceptor position and rotation apparatus and methods of use
TWI691006B (en) Substrate carrier system
US5643366A (en) Wafer handling within a vacuum chamber using vacuum
US6899765B2 (en) Chamber elements defining a movable internal chamber
KR101800719B1 (en) Process chamber gas flow apparatus, systems, and methods
KR101825503B1 (en) Process chamber apparatus, systems, and methods for controlling a gas flow pattern
JP6504017B2 (en) Substrate processing equipment
US20200090978A1 (en) Methods Of Operating A Spatial Deposition Tool
TW201833371A (en) Pad raising mechanism in wafer positioning pedestal for semiconductor processing
US20220068608A1 (en) Pedestal Support Design for Precise Chamber Matching and Process Control
TWI677940B (en) Substrate holding mechanism and substrate processing apparatus using the same
US9302358B2 (en) Chamber elements and a method for placing a chamber at a load position
TWI723997B (en) Injector for batch processing and methods of use
US20200066572A1 (en) Methods Of Operating A Spatial Deposition Tool
US11602064B2 (en) Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
KR20220106046A (en) Substrate processing apparatus
JP2016122788A (en) Deposition device, deposition method and storage medium
JP2022112466A (en) Substrate treatment apparatus