TWI699839B - 製造半導體元件的方法 - Google Patents
製造半導體元件的方法 Download PDFInfo
- Publication number
- TWI699839B TWI699839B TW107129579A TW107129579A TWI699839B TW I699839 B TWI699839 B TW I699839B TW 107129579 A TW107129579 A TW 107129579A TW 107129579 A TW107129579 A TW 107129579A TW I699839 B TWI699839 B TW I699839B
- Authority
- TW
- Taiwan
- Prior art keywords
- wafer
- bonding
- layer
- interconnect structure
- die
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 465
- 238000004519 manufacturing process Methods 0.000 title claims description 51
- 239000000463 material Substances 0.000 claims description 375
- 238000000034 method Methods 0.000 claims description 192
- 238000011049 filling Methods 0.000 claims description 136
- 239000000758 substrate Substances 0.000 claims description 52
- 238000000151 deposition Methods 0.000 claims description 35
- 239000004020 conductor Substances 0.000 claims description 31
- 239000011248 coating agent Substances 0.000 claims description 2
- 238000000576 coating method Methods 0.000 claims description 2
- 238000001465 metallisation Methods 0.000 abstract description 15
- 235000012431 wafers Nutrition 0.000 description 932
- 239000010410 layer Substances 0.000 description 698
- 230000008569 process Effects 0.000 description 153
- 229910052751 metal Inorganic materials 0.000 description 81
- 239000002184 metal Substances 0.000 description 81
- 239000003989 dielectric material Substances 0.000 description 34
- 238000005229 chemical vapour deposition Methods 0.000 description 28
- 239000011229 interlayer Substances 0.000 description 27
- 238000005530 etching Methods 0.000 description 25
- 230000015572 biosynthetic process Effects 0.000 description 24
- 239000000126 substance Substances 0.000 description 22
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 17
- 125000006850 spacer group Chemical group 0.000 description 16
- 230000004888 barrier function Effects 0.000 description 15
- 229910052802 copper Inorganic materials 0.000 description 14
- 239000010949 copper Substances 0.000 description 14
- 238000005137 deposition process Methods 0.000 description 14
- 230000009977 dual effect Effects 0.000 description 14
- 238000000231 atomic layer deposition Methods 0.000 description 13
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 12
- 238000005498 polishing Methods 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 11
- 229910052718 tin Inorganic materials 0.000 description 11
- 238000002955 isolation Methods 0.000 description 10
- 230000008021 deposition Effects 0.000 description 9
- 239000007769 metal material Substances 0.000 description 9
- 239000013078 crystal Substances 0.000 description 8
- 239000002019 doping agent Substances 0.000 description 8
- 238000001020 plasma etching Methods 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 229910052726 zirconium Inorganic materials 0.000 description 8
- 230000004927 fusion Effects 0.000 description 7
- 238000000206 photolithography Methods 0.000 description 7
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 238000004544 sputter deposition Methods 0.000 description 7
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 229910004200 TaSiN Inorganic materials 0.000 description 6
- 229910010037 TiAlN Inorganic materials 0.000 description 6
- 238000001312 dry etching Methods 0.000 description 6
- 238000000227 grinding Methods 0.000 description 6
- 229910052748 manganese Inorganic materials 0.000 description 6
- 238000000059 patterning Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 238000004140 cleaning Methods 0.000 description 5
- 238000009713 electroplating Methods 0.000 description 5
- 239000000945 filler Substances 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- 238000001994 activation Methods 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 238000007772 electroless plating Methods 0.000 description 4
- 239000007943 implant Substances 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- -1 transition metal nitrides Chemical class 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- 229910004166 TaN Inorganic materials 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 150000004645 aluminates Chemical class 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 238000000465 moulding Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 229910000679 solder Inorganic materials 0.000 description 3
- 229910016570 AlCu Inorganic materials 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 239000004593 Epoxy Substances 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 238000001723 curing Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 125000001153 fluoro group Chemical group F* 0.000 description 2
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910052914 metal silicate Inorganic materials 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 238000007517 polishing process Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229910052723 transition metal Inorganic materials 0.000 description 2
- 229910000314 transition metal oxide Inorganic materials 0.000 description 2
- 229910000326 transition metal silicate Inorganic materials 0.000 description 2
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- 229910005881 NiSi 2 Inorganic materials 0.000 description 1
- 239000004642 Polyimide Substances 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 210000000746 body region Anatomy 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 230000001413 cellular effect Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000005496 eutectics Effects 0.000 description 1
- 238000005429 filling process Methods 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910000078 germane Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000013007 heat curing Methods 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920001721 polyimide Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 230000001568 sexual effect Effects 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 230000001960 triggered effect Effects 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L24/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/50—Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
- H01L21/4814—Conductive parts
- H01L21/4846—Leads on or in insulating or insulated substrates, e.g. metallisation
- H01L21/4857—Multilayer substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/50—Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
- H01L21/52—Mounting semiconductor bodies in containers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76837—Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/538—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
- H01L23/5389—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/03—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L24/19—Manufacturing methods of high density interconnect preforms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L24/20—Structure, shape, material or disposition of high density interconnect preforms
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L24/23—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
- H01L24/24—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/27—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L24/28—Structure, shape, material or disposition of the layer connectors prior to the connecting process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/93—Batch processes
- H01L24/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L25/00—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
- H01L25/03—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
- H01L25/04—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
- H01L25/07—Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
- H01L25/074—Stacked arrangements of non-apertured devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/50—Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
- H01L21/60—Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
- H01L2021/60007—Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
- H01L2021/60015—Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using plate connectors, e.g. layer, film
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76898—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0231—Manufacturing methods of the redistribution layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0231—Manufacturing methods of the redistribution layers
- H01L2224/02313—Subtractive methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0233—Structure of the redistribution layers
- H01L2224/02331—Multilayer structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/023—Redistribution layers [RDL] for bonding areas
- H01L2224/0237—Disposition of the redistribution layers
- H01L2224/02381—Side view
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/04—Structure, shape, material or disposition of the bonding areas prior to the connecting process
- H01L2224/04105—Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08135—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/08145—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
- H01L2224/08146—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08151—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/08221—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/08225—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
- H01L2224/08235—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bonding area connecting to a via metallisation of the item
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
- H01L2224/081—Disposition
- H01L2224/0812—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/08151—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/08221—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/08265—Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/02—Bonding areas; Manufacturing methods related thereto
- H01L2224/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L2224/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
- H01L2224/091—Disposition
- H01L2224/0918—Disposition being disposed on at least two different sides of the body, e.g. dual array
- H01L2224/09181—On opposite sides of the body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/113—Manufacturing methods by local deposition of the material of the bump connector
- H01L2224/1131—Manufacturing methods by local deposition of the material of the bump connector in liquid form
- H01L2224/1132—Screen printing, i.e. using a stencil
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/113—Manufacturing methods by local deposition of the material of the bump connector
- H01L2224/1133—Manufacturing methods by local deposition of the material of the bump connector in solid form
- H01L2224/11334—Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/114—Manufacturing methods by blanket deposition of the material of the bump connector
- H01L2224/1143—Manufacturing methods by blanket deposition of the material of the bump connector in solid form
- H01L2224/11436—Lamination of a preform, e.g. foil, sheet or layer
- H01L2224/1144—Lamination of a preform, e.g. foil, sheet or layer by transfer printing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/114—Manufacturing methods by blanket deposition of the material of the bump connector
- H01L2224/11444—Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
- H01L2224/1145—Physical vapour deposition [PVD], e.g. evaporation, or sputtering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/114—Manufacturing methods by blanket deposition of the material of the bump connector
- H01L2224/1146—Plating
- H01L2224/11462—Electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/11—Manufacturing methods
- H01L2224/118—Post-treatment of the bump connector
- H01L2224/11848—Thermal treatments, e.g. annealing, controlled cooling
- H01L2224/11849—Reflowing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/12105—Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L2224/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
- H01L2224/13001—Core members of the bump connector
- H01L2224/13099—Material
- H01L2224/131—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
- H01L2224/13101—Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
- H01L2224/13111—Tin [Sn] as principal constituent
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16135—Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/16145—Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/10—Bump connectors; Manufacturing methods related thereto
- H01L2224/15—Structure, shape, material or disposition of the bump connectors after the connecting process
- H01L2224/16—Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
- H01L2224/161—Disposition
- H01L2224/16151—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
- H01L2224/16221—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
- H01L2224/16265—Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L2224/20—Structure, shape, material or disposition of high density interconnect preforms
- H01L2224/21—Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
- H01L2224/214—Connecting portions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L2224/23—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
- H01L2224/24—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
- H01L2224/241—Disposition
- H01L2224/24135—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/24137—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/18—High density interconnect [HDI] connectors; Manufacturing methods related thereto
- H01L2224/23—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
- H01L2224/24—Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
- H01L2224/241—Disposition
- H01L2224/24135—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
- H01L2224/24145—Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L2224/26—Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
- H01L2224/27—Manufacturing methods
- H01L2224/278—Post-treatment of the layer connector
- H01L2224/27848—Thermal treatments, e.g. annealing, controlled cooling
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73201—Location after the connecting process on the same surface
- H01L2224/73209—Bump and HDI connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73251—Location after the connecting process on different surfaces
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/73—Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
- H01L2224/732—Location after the connecting process
- H01L2224/73251—Location after the connecting process on different surfaces
- H01L2224/73259—Bump and HDI connectors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80011—Chemical cleaning, e.g. etching, flux
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80013—Plasma cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80009—Pre-treatment of the bonding area
- H01L2224/8001—Cleaning the bonding area, e.g. oxide removal step, desmearing
- H01L2224/80019—Combinations of two or more cleaning methods provided for in at least two different groups from H01L2224/8001 - H01L2224/80014
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80053—Bonding environment
- H01L2224/80054—Composition of the atmosphere
- H01L2224/80075—Composition of the atmosphere being inert
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/8012—Aligning
- H01L2224/80121—Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/802—Applying energy for connecting
- H01L2224/80201—Compression bonding
- H01L2224/80203—Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/8034—Bonding interfaces of the bonding area
- H01L2224/80357—Bonding interfaces of the bonding area being flush with the surface
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80895—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/808—Bonding techniques
- H01L2224/80894—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
- H01L2224/80896—Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/80001—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
- H01L2224/80905—Combinations of bonding methods provided for in at least two different groups from H01L2224/808 - H01L2224/80904
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/82—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
- H01L2224/821—Forming a build-up interconnect
- H01L2224/82106—Forming a build-up interconnect by subtractive methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
- H01L2224/83009—Pre-treatment of the layer connector or the bonding area
- H01L2224/83024—Applying flux to the bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/80—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
- H01L2224/83—Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
- H01L2224/8319—Arrangement of the layer connectors prior to mounting
- H01L2224/83191—Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
- H01L2224/921—Connecting a surface with connectors of different types
- H01L2224/9212—Sequential connecting processes
- H01L2224/92122—Sequential connecting processes the first connecting process involving a bump connector
- H01L2224/92124—Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
- H01L2224/922—Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
- H01L2224/9222—Sequential connecting processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/91—Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
- H01L2224/92—Specific sequence of method steps
- H01L2224/922—Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
- H01L2224/9222—Sequential connecting processes
- H01L2224/92222—Sequential connecting processes the first connecting process involving a bump connector
- H01L2224/92224—Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2224/00—Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
- H01L2224/93—Batch processes
- H01L2224/94—Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06513—Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06517—Bump or bump-like direct electrical connections from device to substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06524—Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2225/00—Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
- H01L2225/03—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
- H01L2225/04—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
- H01L2225/065—All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
- H01L2225/06503—Stacked arrangements of devices
- H01L2225/06541—Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/28—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
- H01L23/31—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
- H01L23/3107—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
- H01L23/3121—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
- H01L23/3128—Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/488—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
- H01L23/498—Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
- H01L23/49811—Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
- H01L23/49816—Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/08—Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/02—Bonding areas ; Manufacturing methods related thereto
- H01L24/07—Structure, shape, material or disposition of the bonding areas after the connecting process
- H01L24/09—Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/11—Manufacturing methods
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L24/00—Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
- H01L24/01—Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
- H01L24/10—Bump connectors ; Manufacturing methods related thereto
- H01L24/12—Structure, shape, material or disposition of the bump connectors prior to the connecting process
- H01L24/13—Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/10—Details of semiconductor or other solid state devices to be connected
- H01L2924/102—Material of the semiconductor or solid state bodies
- H01L2924/1025—Semiconducting materials
- H01L2924/1026—Compound semiconductors
- H01L2924/1032—III-V
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/19—Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
- H01L2924/191—Disposition
- H01L2924/19101—Disposition of discrete passive components
- H01L2924/19102—Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
- H01L2924/19104—Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
一種半導體元件,其中第一半導體元件黏結至第二半導
體元件。黏結可發生在閘極層級、閘極接點層級、第一金屬化層、中間金屬化層或是第一半導體元件抑或第二半導體元件的頂部金屬化層。
Description
本發明的實施例是有關於一種製造半導體元件的方法。
半導體元件用於多種電子應用中,諸如個人電腦、蜂巢式電話、數位攝影機以及其他電子設備。半導體元件通常藉由以下來製造:在半導體基底上方依序沈積材料之絕緣或介電層、導電層以及半導體層,且使用微影及蝕刻製程使各種材料層圖案化以形成電路組件及其上之元件。
半導體行業繼續藉由持續地降低最小特徵大小來改進各種電子組件(例如,電晶體、二極體、電阻器、電容器等)之整合密度,從而允許更多組件整合至給定區域中。然而,隨著最小特徵大小減小,額外問題在所使用之製程中之每一者內產生,且這些額外問題應得到解決。
在一實施例中,製造半導體元件之方法包含:利用第一金屬鑲嵌製程或第一雙金屬鑲嵌製程在第一半導體基底上方形成第一互連件結構;塗覆與第一互連件結構實體接觸之第一黏結層;利
用第二金屬鑲嵌製程或第二雙金屬鑲嵌製程在第二半導體基底上方形成第二互連件結構;以及將第二互連件結構黏結至第一黏結層。
在另一實施例中,製造半導體元件之方法包含:沈積與閘電極或閘電極接點中之一者實體接觸的第一黏結介電層;穿過第一黏結介電層嵌入第一導電黏結材料,且第一導電黏結材料與閘電極或閘電極接點中之一者實體接觸;以及將第一半導體晶粒之導電材料直接黏結至第一導電黏結材料。
在又一實施例中,製造半導體元件之方法包含:在第一半導體晶圓上方沈積第一黏結介電層,且第一黏結介電層與第一閘極疊層、第一閘電極接點、與第一閘電極接點實體接觸之第一互連件結構或鄰近於第一互連件結構之第二互連件結構中的一者實體接觸;將第一黏結導體嵌入至第一黏結介電層中;在不同於第一半導體晶圓之第二半導體晶圓上方沈積第二黏結介電層,且第二黏結介電層與第二閘極疊層、第二閘電極接點、與第二閘電極接點實體接觸之第三互連件結構或鄰近於第二互連件結構之第四互連件結構中的一者實體接觸地沈積第二黏結介電層;將第二黏結導體嵌入至第二黏結介電層中;激活第一黏結介電層及第二黏結介電層;以及使第一黏結介電層及第二黏結介電層接觸。
100:第一半導體元件
101:第一晶圓基底
102:主體區域
103:第一晶圓隔離區
104:主動區域
105:第一晶圓源極/汲極區
107:第一晶圓層間介電層
109:第一晶圓閘極疊層
111:第一晶圓閘極接點
113:第一晶圓介電層
115:第二晶圓介電層
117:第一晶圓金屬線
119:第一晶圓互連件結構
121:第一晶圓黏結層
123:第一導電晶圓黏結材料
200:第二半導體元件
201:第一晶粒基底
202:基底穿孔
203:第一晶粒隔離區
205:第一晶粒源極/汲極區
207:第一晶粒閘極疊層
209:第一晶粒層間介電層
211:第一晶粒閘極接點介電層
213:第一晶粒閘極接點
215:第一晶粒介電層
217:第一晶粒金屬線
219:中間晶粒互連件結構
221:第三晶粒介電層
223:第二晶粒金屬線
225:頂部晶粒互連件結構
227:第四晶粒介電層
229:第三晶粒金屬線
231:第一晶粒互連件結構
300:第三半導體元件
401:第一填充材料
501:填充穿孔
600:第一互連層
601:中間互連互連件結構
603:第一外部連接件
605:頂部互連互連件結構
700:第四半導體元件
703:第二填充材料
705:第二填充穿孔
900:第二互連層
903:第二中間互連互連件結構
905:第二頂部互連互連件結構
1101:中間晶圓互連件結構
1103:第三晶圓介電層
1105:第二晶圓金屬線
1201:頂部晶圓互連件結構
1203:第四晶圓介電層
1205:第三晶圓金屬線
1501:第二晶圓
1503:第二晶圓黏結層
1505:第二導電晶圓黏結材料
1507:第二基底穿孔
2001:第三黏結層
D1:第一距離
D2:第二距離
H1:第一高度
H2:第二高度
H3:第三高度
H4:第四高度
H5:第五高度
H6:第六高度
H7:第七高度
H8:第八高度
H9:第九高度
P1:第一間距
P2:第二間距
P3:第三間距
T1:第一厚度
W1:第一寬度
W2:第二寬度
W3:第三寬度
W4:第四寬度
W5:第五寬度
W6:第六寬度
W7:第七寬度
W8:第八寬度
W9:第九寬度
當結合附圖閱讀時,自以下詳細描述最佳地理解本揭露內容之態樣。應注意,根據行業中的標準慣例,各種特徵未按比例繪製。實際上,可出於論述清楚起見,任意地增加或減小各種特徵
之尺寸。
圖1A至圖1B說明根據一些實施例之第一黏結層的形成。
圖2說明根據一些實施例之第二半導體元件。
圖3說明根據一些實施例之第一半導體元件及第二半導體元件的黏結。
圖4說明根據一些實施例之填充材料的置放。
圖5說明根據一些實施例之填充材料的平坦化。
圖6說明根據一些實施例之第一互連層的形成。
圖7說明根據一些實施例之第四半導體元件的黏結。
圖8說明根據一些實施例之第四半導體元件與填充穿孔的黏結。
圖9說明根據一些實施例之第二互連層的形成。
圖10A至圖10D說明根據一些實施例之第一晶圓金屬化層的黏結位置。
圖11A至圖11H說明根據一些實施例之中間晶圓金屬化層的黏結位置。
圖12A至圖12H說明根據一些實施例之頂部晶圓金屬化層的黏結位置。
圖13A至圖13H說明根據一些實施例之第一晶圓閘極接點的黏結位置。
圖14A至圖14H說明根據一些實施例之第一晶圓閘極疊層的黏結位置。
圖15A至圖15H說明根據一些實施例之第一晶圓金屬化層的晶圓間黏結位置。
圖16A至圖16H說明根據一些實施例之中間晶圓金屬化層的晶圓間黏結位置。
圖17A至圖17G說明根據一些實施例之頂部晶圓金屬化層的晶圓間黏結位置。
圖18A至圖18H說明根據一些實施例之第一晶圓閘極接點的晶圓間黏結位置。
圖19A至圖19H說明根據一些實施例之第一晶圓閘極疊層的晶圓間黏結位置。
圖20A至圖20B說明根據一些實施例的熔融(fusion)黏結製程。
以下揭露內容提供用於實施本發明之不同特徵的多個不同實施例或實例。以下描述組件及配置的特定實例以簡化本揭露內容。當然,這些組件及配置僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或上的形成可包含其中第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含其中額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複圖式元件符號及/或字母。此重複是出於簡化及清楚之目的,且自身並不規定所論述之各種實施例及/或組態之間的關係。
此外,為易於描述,可在本文中使用空間相對術語,諸如「在...下方」、「在...下」、「下部」、「在...上方」、「上部」等等,以描述如圖式中所說明之一個元件或特徵與另一(一些)元件或特徵的
關係。除圖式中所描繪的定向以外,空間相對術語意欲涵蓋元件在使用或操作中之不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
現將根據半導體元件與其他半導體元件之黏結以形成呈系統晶片組態之系統晶片來描述實施例。然而,實施例可用於多種元件及製造方法中。
現參考圖1A,此處說明第一半導體元件100,所述第一半導體元件100可為具有第一晶圓基底101及至少部分地位於第一晶圓基底101內之主動元件的非成品晶圓。雖然可使用其他基底,諸如絕緣層上半導體(semiconductor-on-insulator;SOI)、應變(strained)絕緣層上半導體以及絕緣層上矽鍺(silicon germanium on insulator),但在一實施例中,第一晶圓基底101可為矽基底。雖然在其他實施例中,第一晶圓基底101可為n型半導體,但所述第一晶圓基底可為p型半導體。
第一晶圓基底101可包括為製造製程提供實體及結構支撐的主體區域102。另外,第一晶圓基底101亦包括將利用來形成主動元件及被動元件的主動區域104。然而,亦可利用任何適合的區域。
第一溝渠的形成可作為第一晶圓隔離區103之最終形成的初始步驟(在圖1A之視圖中不單獨地說明)。可使用罩幕層連同適合之蝕刻製程一起形成第一溝渠。在罩幕層形成且圖案化後,第一溝渠形成於第一晶圓基底101中。雖然可使用任何適合之製程,但可經由諸如反應性離子蝕刻(reactive ion etching;RIE)的
適合之製程移除暴露之第一晶圓基底101以便在第一晶圓基底101中形成第一溝渠。在一實施例中,第一溝渠可形成為自第一晶圓基底101之表面具有小於約5,000埃的第一深度,諸如約2,500埃。
除形成第一溝渠之外,罩幕製程及蝕刻製程另外自第一晶圓基底101仍未移除之那些部分形成鰭片(未與第一晶圓基底101分開示出)。如下文所論述,這些鰭片可用於形成多閘極鰭式場效電晶體(FinFET)之通道區。
在第一溝渠及鰭片形成後,可用介電材料填充第一溝渠中之一些或全部,且介電材料可陷入(recessed)第一溝渠內以形成第一隔離區(雖然凹陷在圖1A之橫截面圖中並不可見)。介電材料可為氧化物材料、高密度電漿(high-density plasma;HDP)氧化物或類似者。在對第一溝渠選擇性地清潔及填襯後,可使用化學氣相沈積(chemical vapor deposition;CVD)方法(例如,高縱橫比製程)、高密度電漿化學氣相沈積方法或如本領域中已知之其他適合的形成方法來形成介電材料。
第一溝渠可藉由以下來填充:用介電材料過量填充第一溝渠及第一晶圓基底101,且接著經由諸如化學機械拋光(chemical mechanical polishing;CMP)、蝕刻、這些之組合或類似者的適合之製程來移除第一溝渠及鰭片外部的多餘材料。在一實施例中,移除製程亦移除位於鰭片上方之任何介電材料,使介電材料之移除暴露鰭片之表面以進行進一步處理步驟。
在用介電材料填充第一溝渠後,介電材料隨後可遠離鰭片之表面凹入以形成第一晶圓隔離區103。可造成凹陷以暴露鰭片
鄰近於鰭片之頂部表面的側壁之至少一部分。雖然可使用其他蝕刻劑(諸如氫氣)及其他方法(諸如反應性離子蝕刻、利用諸如NH3/NF3之蝕刻劑的乾式蝕刻、化學氧化物移除或乾式化學清潔),但可使用濕式蝕刻藉由將鰭片之頂部表面浸漬至諸如HF之蝕刻劑中來使介電材料凹陷。可使介電材料凹陷至距鰭片之表面約50埃與約500埃之間的距離,諸如約400埃。另外,凹陷亦可移除位於鰭片上方之任何殘餘的介電材料,以確保暴露鰭片以供進一步處理。
在第一隔離區已形成後,虛設閘極介電質、虛設閘極介電質上方之虛設閘電極(由於進一步處理不在圖1A中說明這兩者)以及第一間隔件(為了清楚起見亦未說明)可形成於鰭片中之每一者上方。在一實施例中,虛設閘極介電質可由熱氧化、化學氣相沈積、濺鍍或本領域中已知且用於形成閘極介電質的任何其他方法形成,且可由諸如二氧化矽(silicon dioxide)或氮氧化矽(silicon oxynitride)之材料形成。
虛設閘電極可包括導電材料,且可選自包括多晶矽(polysilicon)、W、Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、這些之組合或類似者的群組,且可使用諸如化學氣相沈積、濺鍍沈積或本領域中已知且用於沈積導電材料之其他技術的製程來形成。虛設閘電極之頂部表面可具有非平坦頂部表面,且可在使虛設閘電極圖案化或閘極蝕刻之前平坦化。
在形成後,可使虛設閘極介電質及虛設閘電極圖案化形成鰭片上方之一系列堆疊。堆疊定義位於虛設閘極介電質下方之
鰭片之每一側上的多個通道區。可使用本領域中已知之例如沈積及光微影技術藉由沈積虛設閘電極上之閘極罩幕且使虛設閘電極上之閘極罩幕圖案化(不在圖1A中單獨地說明)來形成堆疊。可使用乾式蝕刻製程來蝕刻虛設閘電極及虛設閘極介電質形成圖案化堆疊。
在已使堆疊圖案化後,可形成第一間隔件。第一間隔件可形成於堆疊之相對側上。通常藉由將間隔物層毯式(blanket)沈積於先前形成之結構上來形成第一間隔件。間隔物層可包括SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物、SiOCN(KN1)、SiOCN(RP1)、SiOCN(RP2)、SiOC(HA2)、SiOC(HA3)、這些之組合或類似者。可使用諸如電漿增強式原子層沈積(plasma enhanced atomic layer deposition;PEALD)、熱原子層沈積(thermal atomic layer deposition)、電漿增強式化學氣相沈積(plasma enhanced chemical vapor deposition;PECVD)的沈積製程來沈積第一間隔件,且形成可繼之以固化製程。然而,可利用任何適合之沈積及製程條件。隨後可諸如藉由一或多種蝕刻使第一間隔件圖案化以自結構之水平表面移除間隔物層,以形成第一間隔件。
在第一間隔件已形成後,移除鰭片不受虛設閘極介電質、虛設閘電極以及第一間隔件保護之部分,且再生長第一晶圓源極/汲極區105。可使用堆疊及第一間隔件作為硬罩幕藉由反應性離子蝕刻或藉由任何其他適合之移除製程來執行對來自不受虛設閘極介電質、虛設閘電極以及第一間隔件保護之那些區域的鰭片之移除。
在鰭片之這些部分已移除後,置放硬罩幕(不單獨地說
明)且使硬罩幕圖案化以覆蓋虛設閘電極以防止生長,且可再生長與鰭片中之每一者接觸的第一晶圓源極/汲極區105。在一實施例中,可再生長第一晶圓源極/汲極區105,且在一些實施例中,可再生長第一晶圓源極/汲極區105以形成應力器(stressor),所述應力器將向鰭片位於堆疊下方之通道區施加應力。在鰭片包括矽且鰭式場效電晶體是p型元件之一實施例中,可經由利用具有與通道區不同之晶格常數之材料(諸如矽)或別的材料(諸如矽鍺)的選擇性磊晶製程來再生長第一晶圓源極/汲極區105。磊晶生長製程可使用前驅物,諸如矽烷(silane)、二氯矽烷(dichlorosilane)、鍺烷(germane)以及類似者,且可持續約5分鐘與約120分鐘之間,諸如約30分鐘。
在第一晶圓源極/汲極區105形成後,可藉由植入適當摻雜劑以補充鰭片中之摻雜劑來將摻雜劑植入至第一晶圓源極/汲極區105中。舉例而言,可植入p型摻雜劑,諸如硼(boron)、鎵(gallium)、銦(indium)或類似者,以形成PMOS元件。或者,可植入n型摻雜劑,諸如磷(phosphorous)、砷(arsenic)、銻(antimony)或類似者,以形成NMOS元件。可使用堆疊及第一間隔件作為罩幕來植入這些摻雜劑。應注意,於本領域具有通常知識者將認識到,許多其他製程、步驟或類似者可用於植入摻雜劑。舉例而言,於本領域具有通常知識者將認識到,可使用間隔件及襯墊之各種組合來執行多個植入,以形成具有適用於特定目的之特定形狀或特徵的源極/汲極區。這些製程中之任一者可用於植入摻雜劑,且以上描述並不意謂限制以上所呈現步驟之當前實施例。
在第一晶圓源極/汲極區105已形成後,第一晶圓層間介
電(inter-layer dielectric;ILD)層107形成於堆疊及第一晶圓源極/汲極區105上方。雖然可使用任何適合的介電質,但第一晶圓層間介電層107可包括材料,諸如硼磷矽酸鹽玻璃(boron phosphorous silicate glass;BPSG)。雖然可替代地使用諸如低壓化學氣相沈積之其他製程,但可使用諸如電漿增強式化學氣相沈積之製程來形成第一晶圓層間介電層107。第一晶圓層間介電層107可形成為約100埃與約3,000埃之間的厚度。在形成後,可使用例如化學機械拋光製程來使第一晶圓層間介電層107平坦化或使用例如罩幕製程及蝕刻製程來使所述第一晶圓層間介電層圖案化,以便暴露虛設閘電極之材料。
在第一晶圓層間介電層107形成後,執行對虛設閘電極及虛設閘極介電質之材料的移除及替換。在一實施例中,可使用例如濕式或乾式蝕刻製程來移除虛設閘電極及虛設閘極介電質,所述濕式或乾式蝕刻製程利用對虛設閘電極及虛設閘極介電質之材料具有選擇性之蝕刻劑。然而,可利用任何適合之移除製程。
在第一晶圓層間介電層107形成後,替代地沈積用於閘極疊層(在圖1A中共同地標記為第一晶圓閘極疊層109)之多個層,包含第一介電材料、第一導電層、第一金屬材料、功函數(work function)層以及第一障壁層。在一實施例中,第一介電材料是經由諸如原子層沈積、化學氣相沈積或類似者之製程沈積的高介電常數材料,諸如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2O5、這些之組合或類似者。雖然可利用任何適合之材料及厚度,但第一介電材料可沈積為約5埃與約200埃之間的厚度。
第一導電層可為金屬矽化物材料,諸如氮化矽鈦(titanium silicon nitride;TSN)。雖然可利用諸如沈積及後續矽化的任何適合之沈積方法達到約5埃與約30埃之間的厚度,但在一實施例中,可使用諸如化學氣相沈積之沈積製程來形成第一導電層。然而,可利用任何適合的厚度。
可鄰近於第一介電材料形成第一金屬材料作為障壁層,且第一金屬材料可由諸如以下的金屬材料形成:TaN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、這些之組合或類似者。雖然可使用任何適合的沈積製程或厚度,但可使用諸如原子層沈積、化學氣相沈積、濺鍍或類似者之沈積製程來將第一金屬材料沈積至約5埃與約200埃之間的厚度。
功函數層形成於第一金屬材料上方,且基於所需元件類型來選擇用於功函數層之材料。可包含之例示性p型功函數金屬包含Al、TiAlC、TiN、TaN、Ru、Mo、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他適合之p型功函數材料或其組合。可包含之例示性n型功函數金屬包含Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他適合之n型功函數材料或其組合。功函數值與功函數層之材料組成物相關聯,且因此選擇功函數層之材料以調諧其功函數值,使得在待形成於相應區域中之元件中達到所需臨限電壓Vt。可藉由化學氣相沈積、電漿增強式化學氣相沈積及/或其他適合之製程將一或多個功函數層沈積至約5埃與約50埃之間的厚度。
可鄰近於功函數層形成第一障壁層,且在一特定實施例中,第一障壁層可類似於第一金屬材料。舉例而言,第一障壁層可由諸如以下之金屬材料形成:TiN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TaN、Ru、Mo、WN、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、這些之組合或類似者。另外,雖然可使用任何適合的沈積製程或厚度,但可使用諸如原子層沈積、化學氣相沈積、濺鍍或類似者之沈積製程將第一障壁層沈積至約5埃與約200埃之間的厚度。
金屬層可沈積於功函數層上,且可為適合用作晶種層以幫助後續填充製程之材料以及可用於幫助阻擋或減少氟原子傳輸至功函數層中的材料。在一特定實施例中,雖然可利用任何適合的沈積製程,但金屬層可為在不存在氟原子之情況下使用例如原子層沈積製程形成的晶體鎢(tungsten;W)。金屬層可形成至約20埃與約50埃之間的厚度,諸如約30埃與約40埃之間。
在金屬層已形成後,沈積填充材料以填充開口之剩餘部分。在一實施例中,填充材料可為諸如以下之材料:Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、這些之組合或類似者,達到約1000埃與約2000埃之間的厚度,諸如約1500埃。然而,可利用任何適合的材料。
圖1A另外說明的是,在已沈積填充材料以填充及過量填充開口後,可使第一介電材料、第一導電層、第一金屬材料、功函數層、第一障壁層、金屬層以及填充材料之材料平坦化以形成第一晶圓閘極疊層109。雖然可利用諸如研磨或蝕刻的任何適合之製
程,但在一實施例中,可使用例如化學機械拋光製程使材料與第一晶圓層間介電層107一起平坦化。
在一實施例中,第一晶圓閘極疊層109可形成為具有約1奈米與約180奈米之間的第一寬度W1,諸如約7奈米。另外,第一晶圓閘極疊層109亦可形成為具有約20奈米與約100奈米之間的第一高度H1,諸如約30奈米。然而,可利用任何適合的尺寸。
圖1A另外說明穿過第一晶圓介電層113形成與第一晶圓閘極疊層109(及第一晶圓閘極疊層109內之個別元件中的一或多者)進行電性接觸之第一晶圓閘極接點111。在一實施例中,第一晶圓介電層113可由例如介電常數在約2.9與3.8之間的低介電常數介電材料、介電常數值為小於約2.5之超低介電常數(ultra low-k;ULK)介電材料、介電常數值在約2.5與約2.9之間的極低介電常數(extra low-k;ELK)介電材料、低介電常數介電材料之某一組合或類似者形成。可使用諸如旋塗、化學氣相沈積、原子層沉積ALD、這些之組合或類似者的製程來形成第一晶圓介電層113然而,可利用任何適合的材料或製造方法。
在第一晶圓介電層113形成於第一晶圓閘極疊層109上方後,可使第一晶圓介電層113圖案化以暴露第一晶圓閘極疊層109之一或多個層的至少一部分。在一實施例中,可使用例如光微影罩幕及蝕刻製程使第一晶圓介電層113圖案化,由此感光性材料沈積、曝光於圖案化能量源(例如,光)且經顯影以形成罩幕。在罩幕準備好後,利用諸如反應性離子蝕刻之非等向性蝕刻以將罩幕的圖案轉移至下伏的第一晶圓介電層113且暴露第一晶圓閘
極疊層109之一或多個層的部分。然而,可利用任何適合的方法以使第一晶圓介電層113圖案化。
在已形成穿過第一晶圓介電層113之開口後,可用導電材料填充開口以形成第一晶圓閘極接點111。雖然諸如鋁(aluminum)、銅(copper)、這些之合金、這些之組合或類似者的任何適合之材料可使用諸如濺鍍、化學氣相沈積、電鍍、無電極鍍覆或類似者之沈積製程沈積至開口中以填充及/或過量填充於第一晶圓介電層113之圖案化形成的開口,但在一實施例中,第一晶圓閘極接點111可為導電材料,諸如Ti、W、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo或WN。在填充或過填充後,可使用諸如化學機械拋光(CMP)的平坦化製程來移除由第一晶圓介電層113之圖案化形成之開口外部的任何沈積材料。然而,可利用任何適合之材料及形成製程。
在一實施例中,第一晶圓閘極接點111可形成為具有約1奈米與約180奈米之間的第二寬度W2,諸如約5奈米。另外,第一晶圓閘極接點111可形成為具有約1奈米與約1000奈米之間的第二高度H2,諸如約5奈米。然而,可利用任何適合的尺寸。
在第一晶圓閘極接點111已形成後,可形成第二晶圓介電層115及第二晶圓介電層115內之第一晶圓金屬線117以共同地形成第一晶圓互連件結構119(亦稱為M1金屬層)。在一實施例中,第二晶圓介電層115可由例如介電常數在約2.9與3.8之間的低介電常數介電材料、介電常數值為小於約2.5之超低介電常數介電材料、介電常數值在約2.5與約2.9之間的極低介電常數介電材料、低介電常數介電材料之某一組合或類似者形成。可使用諸如旋
塗、化學氣相沈積、原子層沉積、這些之組合或類似者之製程來形成第二晶圓介電層115。然而,可利用任何適合的材料或製造方法。
在第二晶圓介電層115已形成於第一晶圓介電層113及第一晶圓閘極接點111上方後,可使第二晶圓介電層115圖案化以形成所需圖案以用於第一晶圓金屬線117。在一實施例中,可使用例如光微影罩幕及蝕刻製程使第二晶圓介電層115圖案化,由此感光性材料經沈積、曝光於圖案化能量源(例如,光)且經顯影以形成罩幕。在罩幕準備好後,利用諸如反應性離子蝕刻之非等向性蝕刻以將罩幕之圖案轉移至下伏的第二晶圓介電層115。然而,可利用任何適合的方法以使第二晶圓介電層115圖案化。
在穿過第二晶圓介電層115形成開口後,可用導電材料填充開口以形成第一晶圓金屬線117。在一實施例中,第一晶圓金屬線117可為藉由以下步驟沈積的諸如銅之導電材料:首先沈積晶種層,且接著使用電鍍或無電極鍍覆製程以填充及/或過量填充穿過第二晶圓介電層115的開口。在已填充及/或過量填充開口後,可使用諸如化學機械拋光的平坦化製程來移除由第二晶圓介電層115之圖案形成之開口外部的任何沈積材料。然而,可利用任何適合之材料及形成製程。
在一實施例中,第一晶圓金屬線117可形成為具有約1奈米與約1000奈米之間的第三寬度W3,諸如約7奈米。另外,第一晶圓互連件結構119可形成為具有約1奈米與約1000奈米之間的第三高度H3,諸如約5奈米。然而,可利用任何適合的尺寸。
另外,雖然將第一晶圓閘極接點111及第一晶圓金屬線117描述為一系列單獨個別步驟(例如,一系列單金屬鑲嵌製程),
但這意欲為說明性且並不意欲為限制性的。確切而言,在其他實施例中,在諸如雙金屬鑲嵌製程的一系列單獨步驟中可穿過第一晶圓介電層113及第二晶圓介電層115兩者形成第一晶圓閘極接點111及第一晶圓金屬線117。可利用任何適合數目個步驟。
圖1A另外說明在第二晶圓介電層115上方形成第一晶圓黏結層121。第一晶圓黏結層121可用於混合式黏結或熔融黏結(也稱為氧化物至氧化物的黏結)。根據一些實施例,第一晶圓黏結層121由諸如氧化矽(silicon oxide)、氮化矽(silicon nitride)或類似者的含矽介電材料形成。可使用諸如原子層沈積、化學氣相沈積、高密度電漿化學氣相沈積(high-density plasma chemical vapor deposition;HDPCVD)、電漿增強式化學氣相沈積或類似者的任何適合之方法來沈積第一晶圓黏結層121,達到約1奈米與約1000奈米之間的第一厚度T1,諸如約5奈米。然而,可利用任何適合的材料、製程以及厚度。
圖1B說明在第一晶圓黏結層121內形成黏結開口且在黏結開口內形成第一導電晶圓黏結材料123。在一實施例中,可藉由首先在第一晶圓黏結層121之頂部表面上方塗覆光阻(不在圖1B中單獨地說明)且使所述光阻圖案化來形成黏結開口。隨後光阻用於蝕刻第一晶圓黏結層121以便形成開口。可藉由乾式蝕刻(例如,反應性離子蝕刻或中性束蝕刻(neutral beam etching;NBE))、濕式蝕刻或類似者來蝕刻第一晶圓黏結層121。根據本揭露內容之一些實施例,蝕刻在第一晶圓互連件結構119內之第一晶圓金屬線117上停止,以使第一晶圓金屬線117暴露於第一晶圓黏結層121中之開口。
在暴露第一晶圓金屬線117後,第一導電晶圓黏結材料123可與第一晶圓互連件結構119內之第一晶圓金屬線117實體及電接觸地形成。在一實施例中,第一導電晶圓黏結材料123可包括障壁層、晶種層、填充金屬或其組合(不單獨地說明)。舉例而言,障壁層可毯式沈積於第一晶圓金屬線117上方。障壁層可包括鈦(titanium)、氮化鈦(titanium nitride)、鉭(tantalum)、氮化鉭(tantalum nitride)或類似者。晶種層可為諸如銅之導電材料,且可視所需材料而定使用諸如濺鍍、蒸鍍或電漿增強式化學氣相沈積或類似者的製程來毯式沈積於障壁層上方。填充金屬可為諸如銅或銅合金的導體,且可沈積於晶種層上方以經由諸如電鍍或無電極鍍覆的鍍覆製程來填充或過量填充開口。在沈積填充金屬後,可經由諸如化學機械拋光的平坦化製程自開口外部移除填充金屬、晶種層以及障壁層之多餘材料。然而,雖然已描述了單金屬鑲嵌製程,但亦可利用任何適合的方法,諸如雙金屬鑲嵌製程。
在一實施例中,第一導電晶圓黏結材料123可形成為具有約1奈米與約180奈米之間的第一距離D1,諸如約7奈米。另外,第一導電晶圓黏結材料123之間可形成為具有約2奈米與約2000奈米之間的第一間距P1,諸如約36奈米。然而,可利用任何適合的尺寸。
圖2說明將經由第一導電晶圓黏結材料123黏結至第一晶圓互連件結構119的第二半導體元件200。在一實施例中,第二半導體元件200可為已經測試的已知成品良好晶片疊層(known finished good chip stack),或可為單獨外部連接件(諸如鋁接觸襯墊、外部銅導柱或焊料球)尚未在其上形成的已知非成品良好晶片
疊層。在其他具體實施例中,第二半導體元件200可為系統晶片元件、III-V元件、感測器元件或被動元件。可利用任何適合的組態或功能性。
在一實施例中,第二半導體元件200可包括第一晶粒基底201、第一晶粒隔離區203、第一晶粒鰭片(不在第一晶粒基底201外部單獨地說明)、第一晶粒源極/汲極區205、第一晶粒閘極疊層207、第一晶粒層間介電層209、第一晶粒閘極接點介電層211以及第一晶粒閘極接點213。在一實施例中,第一晶粒基底201、第一晶粒隔離區203、第一晶粒鰭片、第一晶粒源極/汲極區205、第一晶粒閘極疊層207、第一晶粒層間介電層209、第一晶粒閘極接點介電層211以及第一晶粒閘極接點213可類似於如上文關於圖1A所描述的第一晶圓基底101、第一晶圓隔離區103、第一鰭片、第一晶圓源極/汲極區105、第一晶圓閘極疊層109、第一晶圓層間介電層107、第一晶圓介電層113以及第一晶圓閘極接點111。然而,在其他實施例中,第一晶粒基底201、第一晶粒隔離區203、第一晶粒鰭片、第一晶粒源極/汲極區205、第一晶粒閘極疊層207、第一晶粒層間介電層209、第一晶粒閘極接點介電層211以及第一晶粒閘極接點213可為不同的。
第二半導體元件200亦可包括第一晶粒介電層215,且可形成第一晶粒介電層215內之第一晶粒金屬線217以共同地形成第一晶粒互連件結構231(亦稱為M1金屬層)。在一實施例中,可類似於如上文關於圖1A所描述的第一晶圓介電層113及第一晶圓金屬線117來形成第一晶粒介電層215及第一晶粒金屬線217。舉例而言,第一晶粒介電層215可為低介電常數介電材料,
所述低介電常數介電材料經圖案化且用導電材料填充以形成第一晶粒金屬線217。然而,可利用任何適合的材料及製程。
在一實施例中,第一晶粒金屬線217可形成為具有約1奈米與約1000奈米之間的第四寬度W4,諸如約5奈米。另外,第一晶粒互連件結構231可形成為具有約1奈米與約1000奈米之間的第四高度H4,諸如約5奈米。然而,可利用任何適合的尺寸。
視情況,可形成基底穿孔202以提供自第一晶粒基底201之第一側至例如第一晶粒互連件結構231的電連接。在一實施例中,可藉由首先在第一晶粒基底201中(且在一些實施例中,穿過第一晶粒層間介電層209及第一晶粒閘極接點介電層211)形成深度比第二半導體元件200之最終高度更深的開口來形成基底穿孔202。隨後可用障壁層及晶種層填襯開口,此時將諸如銅的導電材料鍍覆至晶種層上以填充及/或過填充開口。隨後使用例如平坦化製程來移除開口外部的多餘材料,且使第一晶粒基底201之背側薄化以便暴露導電材料且形成基底穿孔202。
圖2另外說明在第一晶粒互連件結構231上方形成中間晶粒互連件結構219(亦描述為Mx晶粒金屬化層)以便提供額外佈線選擇方案。在一實施例中,中間晶粒互連件結構219可包括第三晶粒介電層221,所述第三晶粒介電層具有形成於第三晶粒介電層221內的第二晶粒金屬線223。在一實施例中,可類似於如上文關於圖1A所描述的第一晶圓介電層113及第一晶圓金屬線117來形成第三晶粒介電層221及第二晶粒金屬線223。舉例而言,可利用單金屬鑲嵌製程或雙金屬鑲嵌製程以形成且填充穿過第三晶粒介電層221的開口。
另外,可重複形成第三晶粒介電層221及第二晶粒金屬線223的製程以形成第三晶粒介電層221之另一層及第二晶粒金屬線223中之另一者,以使得在中間晶粒互連件結構219內存在兩個層。此外,諸如約1個層與約10個層之間的任何適合數目個層可形成為中間晶粒互連件結構219的部分,且所有此類數目個層全部意欲包含於實施例之範疇內。
在一實施例中,第二晶粒金屬線223可形成為具有約1奈米與約1000奈米之間的第五寬度W5,諸如約6奈米。另外,第三晶粒介電層221可形成至約1奈米與約1000奈米之間的第五高度H5,諸如約5奈米。另外,中間晶粒互連件結構219可具有第五高度H5乘以層數目的總高度。然而,可利用任何適合的尺寸。
最後,在中間晶粒互連件結構219形成後,頂部晶粒互連件結構225可形成於中間晶粒互連件結構219上方,以便提供用於第二半導體元件200的適合之頂部層。在一實施例中,頂部晶粒互連件結構225可包括第四晶粒介電層227,所述第四晶粒介電層具有形成於第四晶粒介電層227內的第三晶粒金屬線229。在一實施例中,可類似於如上文關於圖1A所描述的第一晶圓介電層113及第一晶圓金屬線117來形成第四晶粒介電層227及第三晶粒金屬線229。
在一實施例中,第三晶粒金屬線229可形成為具有約1奈米與約1000奈米之間的第六寬度W6,諸如約7奈米。另外,頂部晶粒互連件結構225可形成為具有約1奈米與約1000奈米之間的第六高度H6,諸如約5奈米。然而,可利用任何適合的尺寸。
在頂部晶粒互連件結構225已形成後,第二半導體元件
200可自其晶圓單體化(singulated)且預備用於經由第一晶圓黏結層121黏結至第一晶圓互連件結構119。在一實施例中,可藉由使用鋸條(未展示)以穿過第一晶粒基底201切片來執行單體化,進而使一個區段與另一區段分離。然而,如於本領域具有通常知識者將認識到,利用鋸條以用於單體化製程僅為一個說明性實施例且不意欲為限制性的。可利用用於單體化的替代性方法,諸如利用一或多次蝕刻以分離第二半導體元件200。這些方法及任何其他適合方法可用以使第二半導體元件200單體化。
圖3說明經由第一晶圓黏結層121的第二半導體元件200與第一晶圓互連件結構119的異質、晶粒晶圓間黏結。在一實施例中,可利用第一半導體元件100及第二半導體元件200之組合以使用相同或不同製造技術來形成晶片堆疊。舉例而言,這種堆疊可利用第二半導體元件200以將PMOS堆疊黏結至NMOS晶圓上。然而,可利用任何適合的組合,諸如使用不同處理節點。
在一實施例中,可使用混合式黏結將第二半導體元件200黏結至第一晶圓互連件結構119,所述混合式黏結可藉由激活頂部晶粒互連件結構225及第一晶圓黏結層121來引發。可使用例如乾式處理、濕式處理、電漿處理、暴露於氫氣、暴露於氮氣、暴露於氧氣、這些之組合或類似者來執行此類激活。在使用濕式處理的實施例中,例如,可使用RCA清潔(Radio Corporation of America cleaning)步驟。激活製程藉由例如在後續混合式黏結製程中允許使用較低壓力及溫度而有助於頂部晶粒互連件結構225與第一晶圓黏結層121之混合式黏結。
在激活製程後,可使用化學沖洗劑來清潔頂部晶粒互連
件結構225及第一晶圓黏結層121。在清潔後,第二半導體元件200翻轉且與第一半導體元件100對準。在對準後,使第一半導體元件100及第二半導體元件200結合在一起,以使得頂部晶粒互連件結構225處於與第一晶圓黏結層121實體接觸中。
在實體接觸後,第一半導體元件100及第二半導體元件200受熱處理及接觸壓力以幫助黏結製程。舉例而言,第一半導體元件100及第二半導體元件200可受到約200千帕或小於200千帕之壓力以及約200℃與約400℃之間的溫度以使第一晶圓黏結層121及頂部晶粒互連件結構225融合。第一半導體元件100及第二半導體元件200隨後可處於或高於第三晶粒金屬線229材料及第一導電晶圓黏結材料123之共晶點的溫度,例如約150℃與約650℃之間,以使第三晶粒金屬線229與第一導電晶圓黏結材料123融合。以此方式,第一半導體元件100與第二半導體元件200之融合形成具有多個黏結類型(例如,金屬至金屬黏結以及介電質至介電質黏結)的混合式黏結元件。
然而,雖然混合式黏結製程是可利用的一種此類黏結製程,但此意欲為說明性的且並不意欲限制實施例。確切而言,亦可利用任何適合類型之黏結,諸如氧化物至氧化物黏結或金屬至金屬黏結。可利用任何適合類型之黏結製程。
圖3另外說明第三半導體元件300至第一晶圓互連件結構119之黏結。在一實施例中,第三半導體元件300類似於第二半導體元件200,且可具有與第一半導體元件100類似或不同的功能性。舉例而言,第三半導體元件300可具有類似結構(例如,半導體基底、主動元件、上覆於金屬化層等)。然而,可利用任何適
合的結構。
圖4說明第二半導體元件200與第三半導體元件300之間的第一填充材料401之形成。在一實施例中,第一填充材料401可包括使用任何適合之製程沈積的非聚合物類二氧化矽、氮化矽或類似者,諸如另一氧化物或氮化物。舉例而言,第一填充材料401可由化學氣相沈積、電漿增強式化學氣相沈積或原子層沈積製程、燈絲化學氣相沉積(Filament Chemical Vapor Deposition;FCVD)或旋塗玻璃製程形成。在其他實施例中,第一填充材料401可包括模製化合物,諸如環氧樹脂、樹脂、可模製聚合物、聚醯亞胺(polyimide)或類似者。模製化合物可在液體狀態時塗覆,且接著可諸如在環氧樹脂或樹脂中經由化學反應來固化。在一些實施例中,模製化合物可為作為凝膠或延展性固體塗覆的紫外(ultraviolet;UV)固化聚合物或熱固化聚合物。
在第一填充材料401處於適當位置後,可使第一填充材料401平坦化以提供平坦表面以供進一步處理。在一實施例中,可使用諸如化學機械拋光的平坦化製程使第一填充材料平坦化,由此將研磨劑及蝕刻劑置放成與第一填充材料401接觸,而壓板(platen)研磨第一填充材料401之材料直到第一填充材料401與第二半導體元件200及第三半導體元件300平坦為止。
圖5說明填充穿孔501之形成。在一實施例中,可將光阻(不單獨地說明)塗覆於第一填充材料401、第二半導體元件200以及第三半導體元件300之頂部表面上,且圖案化。隨後將光阻作為罩幕以蝕刻第一填充材料401以形成開口(不單獨地說明)。可藉由乾式蝕刻(例如,反應性離子蝕刻或中性束蝕刻)、濕式蝕
刻或類似者來蝕刻第一填充材料401。可藉由使用諸如化學溶劑清潔、電漿灰化、乾式剝離及/或類似者的適合之光阻剝離技術來移除光阻。
隨後可用導電材料填充開口。導電材料可包括障壁層、晶種層、鍍覆金屬或其組合,且可使用例如濺鍍、化學氣相沉積、電鍍、無電極鍍覆、這些之組合或類似者來沈積。隨後可使導電材料平坦化以形成填充穿孔501。舉例而言,可執行諸如化學機械研磨的平坦化製程以使導電材料平坦化,以使得填充材料401、第二半導體元件200、第三半導體元件300以及填充穿孔501之頂部表面共平面。
在一實施例中,填充穿孔501可形成為具有約1奈米與約1000奈米之間的第七寬度W7,諸如約100奈米。另外,填充穿孔501可具有約500奈米與約5000奈米之間的第七高度H7,諸如約1000奈米。然而,可利用任何適合的尺寸。
圖6說明在使填充材料401平坦化後,包括中間互連互連件結構601的第一互連層600可形成於第二半導體元件200、第三半導體元件300以及填充材料401上方,以將每一元件與上覆的第一外部連接件603互連。在一實施例中,第一互連層600可類似於如上文關於圖2所描述的中間晶粒互連件結構219。舉例而言,第一互連層600可包括堆疊於彼此頂部上之一或多個金屬化層,其中每一金屬化層包括介電層及使用金屬鑲嵌或雙金屬鑲嵌製造製程形成的金屬線。然而,可利用任何適合的製造製程。
圖6另外說明頂部互連互連件結構605之形成。在一實施例中,頂部互連互連件結構605可類似於以上關於圖2所描述
的頂部晶粒互連件結構225。舉例而言,頂部晶粒互連件結構225可包括頂部晶粒介電層及使用金屬鑲嵌或雙金屬鑲嵌沈積製程所形成的頂部晶粒金屬線。然而,可利用任何適合的製造製程。
在頂部互連互連件結構605已形成後,雖然可利用任何適合的連接件,但可利用第一外部連接件603以提供用於與頂部互連互連件結構605電性連接的外部連接點,且所述第一外部連接件可為例如作為球柵陣列封裝(ball grid array;BGA)之部分的接觸凸塊。在其中第一外部連接件603為接觸凸塊的實施例中,第一外部連接件603可包括諸如錫(tin)的材料,或其他適合的材料,諸如銀(silver)、無鉛錫(lead-free tin)或銅。在其中第一外部連接件603為錫焊料凸塊的實施例中,第一外部連接件603可藉由經由諸如蒸鍍、電鍍、印刷、焊料轉移、植球等的此類常使用之方法首先形成一層錫,達到例如約250微米的厚度。在一層錫已形成於結構上後,可執行回焊以便將材料塑形成所需凸塊形狀。
圖7說明另一實施例,其中在形成第一互連層600之前第四半導體元件700經堆疊且黏結至第二半導體元件200。在一實施例中,第四半導體元件700可類似於第二半導體元件200,且可設計成結合第二半導體元件200共同起作用。舉例而言,第四半導體元件700可包括半導體基底、主動元件、金屬化層(包含頂部晶粒互連件結構225)。然而,在其他實施例中,第四半導體元件700可不同於第二半導體元件200。
在一實施例中,使用例如如上文關於圖3所描述的混合式黏結製程將第四半導體元件700黏結至第二半導體元件200。舉
例而言,激活第四半導體元件700(例如頂部晶粒互連件結構225)及第二半導體元件200兩者之表面且接著彼此並實體接觸地置放。隨後施加熱能及壓力以加強黏結。
圖7另外說明第二填充材料703鄰近於第四半導體元件700之形成。在一實施例中,第二填充材料703可類似於如上文關於圖4所描述的填充材料401。舉例而言,填充材料703可為經由諸如化學氣相沈積、電漿增強式化學氣相沈積或原子層沉積之沈積製程所形成的介電材料,諸如氧化矽,且接著與第四半導體元件700一起經平坦化。然而,可利用任何適合的材料或製程。
在使第二填充材料703平坦化後,可視情況穿過第二填充材料703形成第二填充穿孔705以使之與第一填充穿孔501及第三半導體元件300接觸。在一實施例中,雖然可利用任何適合的製造方法,但可以類似於第一填充穿孔501(以上關於圖5所描述)之方式來形成第二填充穿孔705。另外,在第二填充穿孔705形成後,頂部互連互連件結構605可與第二填充穿孔705及第四半導體元件700兩者電性連接地形成,且可置放或形成第一外部連接件603。在一實施例中,第二填充穿孔705可類似於如上文關於圖5所描述的填充穿孔501。
圖8說明另一實施例,其中第四半導體元件700並未黏結至第二半導體元件200(其在此實施例中不存在)但相反經由例如填充穿孔501電性連接至第一晶圓互連件結構119。在此實施例中,使用例如混合式黏結將第四半導體元件700直接黏結至填充穿孔501以及第一填充材料401兩者。舉例而言,可將第一填充材料401黏結至第四半導體元件700內之介電材料(例如,頂部
晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231內的介電材料),同時將填充穿孔501黏結至第四半導體元件700內之金屬線(例如,第一晶粒金屬線217、第二晶粒金屬線223或第三晶粒金屬線229)。在已將第四半導體元件700黏結至第一填充穿孔501後,可沈積第二填充材料703,可形成第二填充穿孔705,第一互連層600及頂部互連互連件結構605可與第二填充穿孔705及第四半導體元件700兩者電性連接地形成,且可置放或形成第一外部連接件603。
圖9說明又一實施例,其中在形成第一互連層600後黏結第四半導體元件700。在此實施例中,如以上關於圖6所描述來形成第一互連層600(含或不含第二半導體元件200),但代替在第一互連層600上置放第一外部連接件603,使用例如混合式黏結將第四半導體元件700黏結至第一互連層600。舉例而言,可將第一互連層600黏結至第四半導體元件700內之介電材料(例如,頂部晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231內的介電材料),同時將第一互連層600中之金屬線黏結至第四半導體元件700內之金屬線(例如,第一晶粒金屬線217、第二晶粒金屬線223或第三晶粒金屬線229)。在將第四半導體元件700黏結至第一互連層600後,可沈積第二填充材料703,且可形成第二填充穿孔705。
另外,在第二填充材料703已形成後,第二互連層900可形成於第四半導體元件700上方。在一實施例中,第二互連層900可類似於第一互連層600,諸如藉由具有第二中間互連互連件結構003(類似於中間互連互連件結構601)及第二頂部互連互連件結
構905(類似於頂部互連互連件結構605)。另外,在第二互連層900形成後,第一外部連接件603與第二互連層900連接地置放。
圖10A說明另一實施例,其中將第一晶圓互連件結構119黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓互連件結構119黏結至頂部晶粒互連件結構225,將第一晶圓互連件結構119黏結至第一晶粒互連件結構231。在此實施例中,頂部晶粒互連件結構225及中間晶粒互連件結構219並未形成,且使用例如混合式黏結將第一晶粒互連件結構231直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活第一晶粒互連件結構231及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖10A中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖10A中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖10B說明另一實施例,其中經由第一晶圓黏結層121及第一導電晶圓黏結材料123將第一晶圓互連件結構119黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓互連件結構119黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231,將第一晶圓互連件結構119黏結
至第一晶粒閘極接點213。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219以及第一晶粒互連件結構231並未形成,且使用例如混合式黏結將第一晶粒閘極接點213及第一晶粒閘極接點介電層211直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒閘極接點介電層211及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖10B中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖10B中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖10C說明另一實施例,其中經由第一晶圓黏結層121及第一導電晶圓黏結材料123將第一晶圓互連件結構119黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓互連件結構119黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231或第一晶粒閘極接點213,將第一晶圓互連件結構119黏結至第一晶粒閘極疊層207。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231以及第一晶粒閘極接點213並未形成,且使用例如混合式黏結將第一晶粒閘極疊層207及第一晶粒層間介電層
209直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活第一晶粒層間介電層209及第一晶圓黏結層121兩者,且接著彼此實體接觸地經置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖10C中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖10C中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖10D說明另一實施例,其中經由第一晶圓黏結層121及第一導電晶圓黏結材料123將第一晶圓互連件結構119黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓互連件結構119黏結至頂部晶粒互連件結構225、第一晶粒互連件結構231或第一晶粒閘極接點213,將第一晶圓互連件結構119黏結至中間晶粒互連件結構219。在此實施例中,頂部晶粒互連件結構225及第一晶粒互連件結構231並未形成,且使用例如混合式黏結將中間晶粒互連件結構219直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活中間晶粒互連件結構219及第一晶圓黏結層121,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖10D中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導
體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖10D中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖11A說明另一實施例,其中將第二半導體元件200之頂部晶粒互連件結構225黏結至第一半導體元件100。在此實施例中,然而,代替將頂部晶粒互連件結構225黏結至第一晶圓互連件結構119上方之第一晶圓黏結層121(如上文所描述),中間晶圓互連件結構1101(亦稱為Mx層)形成於第一晶圓互連件結構119上方。在一實施例中,中間晶圓互連件結構1101可包括第三晶圓介電層1103及第二晶圓金屬線1105,所述第三晶圓介電層1103及所述第二晶圓金屬線1105可由與第三晶粒介電層221及第二晶粒金屬線223類似的材料且使用與第三晶粒介電層221及第二晶粒金屬線223(以上關於圖2所描述)類似之製程形成。舉例而言,可沈積第三晶圓介電層1103且使之圖案化以形成開口,且在金屬鑲嵌或雙金屬鑲嵌製程中開口可用導電材料填充。另外,可重複這些製程以便在中間晶圓互連件結構1101內形成所需數目個層。
在一實施例中,中間晶圓互連件結構1101可形成為具有約1奈米與約1000奈米之間的第八高度H8,諸如約10奈米。另外,第二晶圓金屬線1105可形成為具有約1奈米與約1000奈米之間的第八寬度W8,諸如約7奈米。然而,可利用任何適合的尺
寸。
在中間晶圓互連件結構1101已形成後,第一晶圓黏結層121可如上文關於圖1A所描述的形成於中間晶圓互連件結構1101上方。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沉積之方法沈積的介電質,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
圖11B說明如上文關於圖1B所描述的第一導電晶圓黏結材料123在第一晶圓黏結層121內之形成。舉例而言,可利用例如光微影罩幕及蝕刻製程來使第一晶圓黏結層121圖案化以形成暴露第二晶圓金屬線1105之至少一部分的開口,且在隨後的金屬鑲嵌或雙金屬鑲嵌製程中用第一導電晶圓黏結材料123填充開口。然而,可利用任何適合的製造方法。
圖11C說明在第一晶圓黏結層121形成於中間晶圓互連件結構1101上方後,可使用例如混合式黏結將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100。在圖11C中所說明之實施例中,第二半導體元件200及第三半導體元件300兩者具有頂部晶粒互連件結構225,且如上文關於圖3所描述來將頂部晶粒互連件結構225黏結至第一晶圓黏結層121。舉例而言,激活頂部晶粒互連件結構225及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。然而,可利用任何適合之黏結製程。
圖11D說明在黏結第二半導體元件200及第三半導體元件300後,可沈積第一填充材料401,且視情況可如以上描述來形成第一填充穿孔501。另外,可形成第一互連層600,且可按次序
置放第一外部連接件603以提供外部連接件。然而,可利用任何其他適合的製程。
另外,雖然在圖11D中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖11D中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖11E說明另一實施例,其中經由第一晶圓黏結層121將中間晶圓互連件結構1101黏結至第二半導體元件200。在此實施例中,然而,代替將中間晶圓互連件結構1101黏結至頂部晶粒互連件結構225,將中間晶圓互連件結構1101黏結至第一晶粒互連件結構231。在此實施例中,頂部晶粒互連件結構225及中間晶粒互連件結構219並未形成,且使用例如混合式黏結將第一晶粒互連件結構231直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活第一晶粒互連件結構231及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖11E中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成
第一外部連接件603。類似地,圖11E中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖11F說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓互連件結構119黏結至第二半導體元件200。在此實施例中,然而,代替將中間晶圓互連件結構1101黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231,將中間晶圓互連件結構1101黏結至第一晶粒閘極接點213。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219以及第一晶粒互連件結構231並未形成,且使用例如混合式黏結將第一晶粒閘極接點213及第一晶粒閘極接點介電層211直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒閘極接點213及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖11F中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖11F中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖11G說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓互連件結構119黏結至第二半導體元件200。在此實施例中,然而,代替將中間晶圓互連件結構1101黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231或第一晶粒閘極接點213,將中間晶圓互連件結構1101黏結至第一晶粒閘極疊層207。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231以及第一晶粒閘極接點213並未形成,且使用例如混合式黏結將第一晶粒閘極疊層207及第一晶粒層間介電層209直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒層間介電層209及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖11G中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖11G中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖11H說明另一實施例,其中經由第一晶圓黏結層121將中間晶圓互連件結構1101黏結至第二半導體元件200。在此實施例中,然而,代替將中間晶圓互連件結構1101黏結至頂部晶粒互連件結構225,將中間晶圓互連件結構1101黏結至中間晶粒互
連件結構219。在此實施例中,頂部晶粒互連件結構225並未形成,且使用例如混合式黏結將中間晶粒互連件結構219直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活中間晶粒互連件結構219及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖11H中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖11H中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖12A說明另一實施例,其中將第二半導體元件200之頂部晶粒互連件結構225黏結至第一半導體元件100。在此實施例中,然而,代替將頂部晶粒互連件結構225黏結至第一晶圓互連件結構119上方之第一晶圓黏結層121(如上文所描述),頂部晶圓互連件結構1201形成於中間晶圓互連件結構1101上方。在一實施例中,頂部晶圓互連件結構1201可包括第四晶圓介電層1203及第三晶圓金屬線1205,所述第四晶圓介電層1203及所述第三晶圓金屬線1205可由類似材料且使用與第四晶粒介電層227及第三晶粒金屬線229(以上關於圖2所描述)類似之製程形成。舉例而言,可沈積第四晶圓介電層1203且使之圖案化以形成開口,且可用導電材料在金屬鑲嵌或雙金屬鑲嵌製程中填充開口。另外,可重
複這些製程以便在頂部晶圓互連件結構1201內形成所需數目個層。
在一實施例中,頂部晶粒互連件結構225可形成位具有約1奈米與約1000奈米之間的第九高度H9(詳見圖12C),諸如約5奈米。另外,第三晶圓金屬線1205可形成為具有約1奈米與約1000奈米之間的厚度,諸如約5奈米,且可具有約1奈米與約1000奈米之間的第九寬度W9。諸如約7奈米。然而,可利用任何適合的尺寸。
在頂部晶圓互連件結構1201已形成後,第一晶圓黏結層121可如上文關於圖1A所描述的形成於頂部晶圓互連件結構1201上方。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沉積之方法沈積的介電質,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
圖12B說明如上文關於圖1B所描述的第一導電晶圓黏結材料123在第一晶圓黏結層121內形成。舉例而言,可利用例如光微影罩幕及蝕刻製程來使第一晶圓黏結層121圖案化以形成暴露第三晶圓金屬線1205之至少一部分的開口,且開口隨後用第一導電晶圓黏結材料123填充。然而,可利用任何適合的製造方法。
圖12C說明在第一晶圓黏結層121形成於頂部晶圓互連件結構1201上方後,可使用例如混合式黏結將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100。在圖12C中所說明之實施例中,第二半導體元件200及第三半導體元件300兩者具有頂部晶粒互連件結構225,且如上文關於圖3所描述來將
頂部晶粒互連件結構225黏結至第一晶圓黏結層121。舉例而言,激活頂部晶粒互連件結構225及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。然而,可利用任何適合之黏結製程。
圖12D說明在將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100後,可沈積第一填充材料401,且視情況可如以上描述來形成第一填充穿孔501。另外,可形成第一互連層600,且可按次序置放第一外部連接件603以提供外部連接件。然而,可利用任何其他適合的製程。
另外,雖然在圖12D中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖12D中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖12E說明另一實施例,其中經由第一晶圓黏結層121將頂部晶圓互連件結構1201黏結至第二半導體元件200。在此實施例中,然而,代替將頂部晶圓互連件結構1201黏結至頂部晶粒互連件結構225,將頂部晶圓互連件結構1201黏結至第一晶粒互連件結構231。在此實施例中,頂部晶粒互連件結構225及中間晶粒互連件結構219並未形成,且使用例如混合式黏結將第一晶粒互連件結構231直接黏結至第一晶圓黏結層121。舉例而言,如上
文所描述來激活第一晶粒互連件結構231及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖12E中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖12E中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖12F說明另一實施例,其中經由第一晶圓黏結層121將頂部晶圓互連件結構1201黏結至第二半導體元件200。在此實施例中,然而,代替將頂部晶圓互連件結構1201黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231,將頂部晶圓互連件結構1201黏結至第一晶粒閘極接點213。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219以及第一晶粒互連件結構231並未形成,且使用例如混合式黏結將第一晶粒閘極接點213及第一晶粒閘極接點介電層211直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒閘極接點213及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖12F中未明確地說明,但在將第二半導
體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖12F中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖12G說明另一實施例,其中將頂部晶圓互連件結構1201黏結至第二半導體元件200。在此實施例中,然而,代替將頂部晶圓互連件結構1201黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231或第一晶粒閘極接點213,將頂部晶圓互連件結構1201黏結至第一晶粒閘極疊層207。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231以及第一晶粒閘極接點213並未形成,且使用例如混合式黏結將第一晶粒閘極疊層207及第一晶粒層間介電層209直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒層間介電層209及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖12G中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖12G中之實施例亦可用於如上
文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖12H說明另一實施例,其中經由第一晶圓黏結層121將頂部晶圓互連件結構1201黏結至第二半導體元件200。在此實施例中,然而,代替將頂部晶圓互連件結構1201黏結至頂部晶粒互連件結構225,將頂部晶圓互連件結構1201黏結至中間晶粒互連件結構219。在此實施例中,頂部晶粒互連件結構225並未形成,且使用例如混合式黏結將中間晶粒互連件結構219直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活中間晶粒互連件結構219及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖12H中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖12H中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖13A說明另一實施例,其中將第二半導體元件200之頂部晶粒互連件結構225黏結至第一半導體元件100。在此實施例中,然而,代替將頂部晶粒互連件結構225黏結至第一晶圓互連件結構119上方之第一晶圓黏結層121(如上文所描述),第一晶
圓黏結層121形成於第一晶圓閘極接點111上方,而並不形成頂部晶圓互連件結構1201、中間晶圓互連件結構1101以及第一晶圓互連件結構119。在此實施例中,第一晶圓黏結層121可如以上關於圖1A所描述的形成於第一晶圓閘極接點111上方。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沉積之方法沈積的介電質,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
圖13B說明如上文關於圖1B所描述的第一導電晶圓黏結材料123在第一晶圓黏結層121內之形成。舉例而言,可利用例如光微影罩幕及蝕刻製程來使第一晶圓黏結層121圖案化以形成暴露第一晶圓閘極接點111之至少一部分的開口,且隨後用第一導電晶圓黏結材料123填充開口。然而,可利用任何適合的製造方法。
在此實施例中,然而,可形成第一導電晶圓黏結材料123以確保與下伏的第一晶圓閘極接點111接觸。如此,在一實施例中,第一導電晶圓黏結材料123可形成為具有約1奈米與約1000奈米之間的第一距離D1,諸如約7奈米。另外,第一導電晶圓黏結材料123之間可形成為具有約2奈米與約2000奈米之間的第二間距P2,諸如約36奈米。然而,可利用任何適合的尺寸。
圖13C說明在第一晶圓黏結層121形成於第一晶圓閘極接點111上方後,可使用例如混合式黏結將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100。在圖13C中所說明之實施例中,第二半導體元件200及第三半導體元件300兩者具有頂部晶粒互連件結構225,且如上文關於圖3所描述來將頂部晶粒互連件結構225黏結至第一晶圓黏結層121。舉例而言,激
活頂部晶粒互連件結構225及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。然而,可利用任何適合之黏結製程。
圖13D說明在將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100後,可沈積第一填充材料401,且視情況可如以上描述來形成第一填充穿孔501。另外,可形成第一互連層600,且可按次序置放第一外部連接件603以提供外部連接件。然而,可利用任何其他適合的製程。
另外,雖然在圖13D中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖13D中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖13E說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極接點111黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極接點111黏結至頂部晶粒互連件結構225,將第一晶圓閘極接點111黏結至第一晶粒互連件結構231。在此實施例中,頂部晶粒互連件結構225及中間晶粒互連件結構219並未形成,且使用例如混合式黏結將第一晶粒互連件結構231直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活第一晶粒互連件結構231及第一晶圓黏結層121兩者,且
接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖13E中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖13E中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖13F說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極接點111黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極接點111黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231,將第一晶圓閘極接點111黏結至第一晶粒閘極接點213。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219以及第一晶粒互連件結構231並未形成,且使用例如混合式黏結將第一晶粒閘極接點213及第一晶粒閘極接點介電層211直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒閘極接點213及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖13F中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,
可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖13F中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖13G說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極接點111黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極接點111黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231或第一晶粒閘極接點213,將第一晶圓閘極接點111黏結至第一晶粒閘極疊層207。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231以及第一晶粒閘極接點213並未形成,且使用例如混合式黏結將第一晶粒閘極疊層207及第一晶粒層間介電層209直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒層間介電層209及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖13G中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖13G中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用
任何適合之元件組合。
圖13H說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極接點111黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極接點111黏結至頂部晶粒互連件結構225,將第一晶圓閘極接點111黏結至中間晶粒互連件結構219。在此實施例中,頂部晶粒互連件結構225並未形成,且使用例如混合式黏結將中間晶粒互連件結構219直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活中間晶粒互連件結構219及第一晶圓黏結層121兩者,且接著彼此實體接觸地經置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖13H中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖13H中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖14A說明另一實施例,其中將第二半導體元件200之頂部晶粒互連件結構225黏結至第一半導體元件100。在此實施例中,然而,代替將頂部晶粒互連件結構225黏結至第一晶圓互連件結構119上方之第一晶圓黏結層121(如上文所描述),第一晶圓黏結層121形成於第一晶圓閘極疊層109上方,而並不形成頂部晶圓互連件結構1201、中間晶圓互連件結構1101、第一晶圓互
連件結構119以及第一晶圓閘極接點111。在此實施例中,第一晶圓黏結層121可如上文關於圖1A所描述的形成於第一晶圓閘極疊層109上方。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沉積之方法沈積的介電質,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
圖14B說明如上文關於圖1B所描述的第一導電晶圓黏結材料123在第一晶圓黏結層121內之形成。舉例而言,可利用例如光微影罩幕及蝕刻製程來使第一晶圓黏結層121圖案化以形成暴露第一晶圓閘極疊層109之至少一部分的開口,且開口隨後用第一導電晶圓黏結材料123填充。然而,可利用任何適合的製造方法。
在此實施例中,然而,可形成第一導電晶圓黏結材料123以確保與下伏的第一晶圓閘極疊層109接觸。如此,在一實施例中,第一導電晶圓黏結材料123可形成為具有約1奈米與約1000奈米之間的第二距離D2,諸如約7奈米。另外,第一導電晶圓黏結材料123之間可形成為具有約2奈米與約2000奈米之間的第三間距P3,諸如約36奈米。然而,可利用任何適合的尺寸。
圖14C說明在第一晶圓黏結層121形成於第一晶圓閘極疊層109上方後,可使用例如混合式黏結將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100。在圖14C中所說明之實施例中,第二半導體元件200及第三半導體元件300兩者具有頂部晶粒互連件結構225,且如上文關於圖3所描述來將頂部晶粒互連件結構225黏結至第一晶圓黏結層121。舉例而言,激活頂部晶粒互連件結構225及第一晶圓黏結層121兩者,且接
著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。然而,可利用任何適合之黏結製程。
圖14D說明在黏結第二半導體元件200及第三半導體元件300後,可沈積第一填充材料401,且視情況可如以上描述來形成第一填充穿孔501。另外,可形成第一互連層600,且可按次序置放第一外部連接件603以提供外部連接件。然而,可利用任何其他適合的製程。
另外,雖然在圖14D中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖14D中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖14E說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極接點111黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極疊層109黏結至頂部晶粒互連件結構225,將第一晶圓閘極疊層109黏結至第一晶粒互連件結構231。在此實施例中,頂部晶粒互連件結構225及中間晶粒互連件結構219並未形成,且使用例如混合式黏結將第一晶粒互連件結構231直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活第一晶粒互連件結構231及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖14E中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖14E中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合之元件組合。
圖14F說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極接點111黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極接點111黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219或第一晶粒互連件結構231,將第一晶圓閘極疊層109黏結至第一晶粒閘極接點213。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219以及第一晶粒互連件結構231並未形成,且使用例如混合式黏結將第一晶粒閘極接點213及第一晶粒閘極接點介電層211直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒閘極接點介電層211及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖14F中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成
第一外部連接件603。類似地,圖14F中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。
圖14G說明另一實施例,其中經由第一晶圓黏結層121將第一晶圓閘極疊層109黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極疊層109黏結至頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231或第一晶粒閘極接點213,將第一晶圓閘極疊層109黏結至第一晶粒閘極疊層207。在此實施例中,頂部晶粒互連件結構225、中間晶粒互連件結構219、第一晶粒互連件結構231以及第一晶粒閘極接點213並未形成,且使用例如混合式黏結將第一晶粒閘極疊層207及第一晶粒層間介電層209直接黏結至第一晶圓黏結層121及第一導電晶圓黏結材料123。舉例而言,如上文所描述來激活第一晶粒層間介電層209及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖14G中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖14G中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖14H說明另一實施例,其中經由第一晶圓黏結層121
將第一晶圓閘極疊層109黏結至第二半導體元件200。在此實施例中,然而,代替將第一晶圓閘極疊層109黏結至頂部晶粒互連件結構225,將第一晶圓閘極疊層109黏結至中間晶粒互連件結構219。在此實施例中,頂部晶粒互連件結構225並未形成,且使用例如混合式黏結將中間晶粒互連件結構219直接黏結至第一晶圓黏結層121。舉例而言,如上文所描述來激活中間晶粒互連件結構219及第一晶圓黏結層121兩者,且接著彼此實體接觸地置放。隨後施加熱能及壓力以加強黏結。
另外,雖然在圖14H中未明確地說明,但在將第二半導體元件200黏結至第一半導體元件100後,可同樣黏結第三半導體元件300,可沈積第一填充材料401,可形成第一填充穿孔501,可形成第一互連層600,且可如以上關於圖3至圖6所描述來形成第一外部連接件603。類似地,圖14H中之實施例亦可用於如上文關於圖7至圖9所描述的實施例中之任一者中,其中利用第四半導體元件700、第二填充材料703及/或第二互連層900。可利用任何適合的組合。
圖15A說明另一實施例,其中第一半導體元件100是晶圓且均勻地經黏結至第二半導體元件200及第三半導體元件300,同時在單體化前第二半導體元件200及第三半導體元件300是第二晶圓1501的部分。在此實施例中,如以上關於圖2及圖3所描述來形成第二半導體元件200及第三半導體元件300。舉例而言,第二半導體元件200及第三半導體元件300中之每一者可與第一晶粒閘極疊層207、第一晶粒閘極接點213以及第一晶粒互連件結構231一起形成。然而,在第二晶圓1501黏結至第一半導體元件
100之第一晶圓之前,第二晶圓1501尚未單體化。
另外,在此實施例中,第二基底穿孔1507可形成於第二晶圓1501中。在一實施例中,第二基底穿孔1507可以與第一基底穿孔202(以上關於圖2所描述)類似之方式且與第一基底穿孔202使用類似之材料形成。舉例而言,形成延伸至第一晶粒基底201中的開口,且接著用障壁層及導電材料填充以填充及/或過量填充開口。隨後使用例如諸如化學機械拋光的平坦化製程來移除多餘材料。
第二晶圓黏結層1503可形成於第二晶圓1501上之第一晶粒互連件結構231上。在一實施例中,第二晶圓黏結層1503可由與如上文關於圖1A所描述的第一晶圓黏結層121類似之材料且以類似之方式形成。舉例而言,第二晶圓黏結層1503可為使用諸如化學氣相沉積之沈積製程沈積的黏結材料,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
在第二晶圓黏結層1503已形成後,第二導電晶圓黏結材料1505可形成於第二晶圓黏結層1503內。在一實施例中,第二導電晶圓黏結材料1505可由與第一導電晶圓黏結材料123(以上關於圖1A所描述)類似之材料且以類似之方式形成。舉例而言,第二導電晶圓黏結材料1505可藉由以下來形成:首先使第二晶圓黏結層1503圖案化以形成開口,且接著在利用諸如化學機械拋光之平坦化製程移除開口外部之多餘材料前,用諸如銅的材料填充及/或過量填充開口。然而,可利用任何適合的材料及製造方法。
圖15B說明在第二導電晶圓黏結材料1505形成後,可如上文關於圖3所描述的分別使用例如混合式黏結將第二導電晶圓
黏結材料1505及第二晶圓黏結層1503黏結至第一導電晶圓黏結材料123及第一晶圓黏結層121。舉例而言,在彼此實體接觸地置放前,第二晶圓黏結層1503及第一晶圓黏結層121之表面可經激活且對準在一起。隨後,可施加熱能及壓力以加強黏結且確保將第二導電晶圓黏結材料1505黏結至第一導電晶圓黏結材料123。然而,可利用任何適合的黏結製程。
圖15C說明第二晶圓1501之第一晶粒基底201之薄化以便暴露第二基底穿孔1507。在一實施例中,可使用諸如化學機械平坦化之平坦化製程使第二晶圓1501薄化。然而,亦可利用任何其他適合之平坦化製程,諸如研磨或甚至一系列一或多種蝕刻。
圖15D說明在第二基底穿孔1507暴露後,第一互連層600可形成於第二晶圓1501之背側上方且與第二基底穿孔1507電性連接。在一實施例中,可如以上關於圖6所描述的形成第一互連層600。舉例而言,可沈積介電層且使之圖案化,且可用導電材料以金屬鑲嵌製程或雙金屬鑲嵌製程填充所得的開口。然而,可使用任何適合的材料及製程來形成第一互連層600。
圖15D另外說明第一外部連接件603與第一互連層600結合之形成及/或置放。在一實施例中,可如以上關於圖4所描述的形成第一外部連接件603。然而,可利用任何適合的材料及製造方法。
圖15E說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一晶圓互連件結構119黏結至第一晶粒互連件結構231(如上文關於圖15A至圖15D所描述),將第一晶圓互連件結
構119黏結至頂部晶粒互連件結構225。舉例而言,如圖15E中所說明,將第一晶圓互連件結構119(在第一半導體元件100上)黏結至頂部晶粒互連件結構225(在第二晶圓1501上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓互連件結構119上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於頂部晶粒互連件結構225上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶圓互連件結構119及頂部晶粒互連件結構225黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖15E中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖15F說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖15A至圖15D所描述),將第一半導體元件100黏
結至中間晶粒互連件結構219。舉例而言,如圖15F中所說明,將中間晶粒互連件結構219(在第二晶圓1501上)黏結至第一晶圓互連件結構119(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓互連件結構119上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於中間晶粒互連件結構219上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將中間晶粒互連件結構219及第一晶圓互連件結構119黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖15F中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以便暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖15G說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖15A至圖15D所描述),將第一半導體元件100黏
結至第一晶粒閘極接點213。舉例而言,如圖15G中所說明,將第一晶粒閘極接點213(在第二晶圓1501上)黏結至第一晶圓互連件結構119(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓互連件結構119上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極接點213上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極接點213及第一晶圓互連件結構119黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸經置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖15G中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖15H說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖15A至圖15D所描述),將第一半導體元件100黏
結至第一晶粒閘極疊層207。舉例而言,如圖15H中所說明,將第一晶粒閘極疊層207(在第二晶圓1501上)黏結至第一晶圓互連件結構119(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓互連件結構119上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極疊層207上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極疊層207及第一晶圓互連件結構119黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖15H中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖16A說明另一實施例,其中第一半導體元件100是晶圓且均勻地黏結至第二半導體元件200及第三半導體元件300,同時在單體化前第二半導體元件200及第三半導體元件300是第二晶圓1501的部分。在此實施例中,第二晶圓黏結層1503可形成
於第二晶圓1501上之第一晶粒互連件結構231上。在一實施例中,第二晶圓黏結層1503可由與如上文關於圖1A所描述的第一晶圓黏結層121類似之材料且以類似之方式形成。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沈積之沈積製程沈積的黏結材料,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
在第二晶圓黏結層1503已形成後,第二導電晶圓黏結材料1505可形成於第二晶圓黏結層1503內。在一實施例中,第二導電晶圓黏結材料1505可由與第一導電晶圓黏結材料123(以上關於圖1A所描述)類似之材料且以類似之方式形成。舉例而言,第二導電晶圓黏結材料1505可藉由以下步驟來形成:首先使第二晶圓黏結層1503圖案化形成開口,且接著在利用諸如化學機械拋光之平坦化製程移除開口外部之多餘材料前,用諸如銅的材料填充及/或過量填充開口。然而,可利用任何適合的材料及製造方法。
圖16B說明在第二導電晶圓黏結材料1505形成後,可如上文關於圖3所描述的分別使用例如混合式黏結將第二導電晶圓黏結材料1505及第二晶圓黏結層1503黏結至第一導電晶圓黏結材料123及第一晶圓黏結層121。舉例而言,在彼此實體接觸地經置放前,第二晶圓黏結層1503及第一晶圓黏結層121之表面可經激活且對準在一起。隨後,可施加熱能及壓力以加強黏結且確保將第二導電晶圓黏結材料1505黏結至第一導電晶圓黏結材料123。然而,可利用任何適合的黏結製程。
圖16C說明第二晶圓1501之第二基底之薄化以暴露第二基底穿孔1507。在一實施例中,可使用諸如化學機械平坦化之
平坦化製程使第二晶圓1501薄化。然而,亦可利用任何其他適合之平坦化製程,諸如研磨或甚至一系列一或多種蝕刻。
圖16D說明在第二基底穿孔1507暴露後,第一互連層600可形成於第二晶圓1501之背側上方且與第二基底穿孔1507電性連接。在一實施例中,可如以上關於圖6所描述的形成第一互連層600。然而,可使用任何適合的材料及製程來形成第一互連層600。
圖16D另外說明第一外部連接件603與第一互連層600結合之形成及/或置放。在一實施例中,可如以上關於圖6所描述的形成第一外部連接件603。然而,可利用任何適合的材料及製造方法。
圖16E說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將中間晶圓互連件結構1101黏結至第一晶粒互連件結構231(如上文關於圖16A至圖16D所描述),將中間晶圓互連件結構1101黏結至頂部晶粒互連件結構225。舉例而言,如圖16F中所說明,將中間晶圓互連件結構1101(在第一半導體元件100上)黏結至頂部晶粒互連件結構225(在第二晶圓1501上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於中間晶圓互連件結構1101上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於頂部晶粒互連件結構225上。
在第一晶圓黏結層121及第二晶圓黏結層1503已形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏
結層1503將中間晶圓互連件結構1101及頂部晶粒互連件結構225黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖16F中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖16F說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖16A至圖16D所描述),將第一半導體元件100黏結至中間晶粒互連件結構219。舉例而言,如圖16F中所說明,將中間晶粒互連件結構219(在第二晶圓1501上)黏結至中間晶圓互連件結構1101(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於中間晶圓互連件結構1101上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於中間晶粒互連件結構219上。
在第一晶圓黏結層121及第二晶圓黏結層1503已形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏
結層1503將中間晶粒互連件結構219及中間晶圓互連件結構1101黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖16F中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖16G說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖16A至圖16D所描述),將第一半導體元件100黏結至第一晶粒閘極接點213。舉例而言,如圖16G中所說明,將第一晶粒閘極接點213(在第二晶圓1501上)黏結至中間晶圓互連件結構1101(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於中間晶圓互連件結構1101上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極接點213上。
在第一晶圓黏結層121及第二晶圓黏結層1503已形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏
結層1503將第一晶粒閘極接點213及中間晶圓互連件結構1101黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖16G中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖16H說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖16A至圖16D所描述),將第一半導體元件100黏結至第一晶粒閘極疊層207。舉例而言,如圖16H中所說明,將第一晶粒閘極疊層207(在第二晶圓1501上)黏結至中間晶圓互連件結構1101(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於中間晶圓互連件結構1101上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極疊層207上。
在第一晶圓黏結層121及第二晶圓黏結層1503已形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏
結層1503將第一晶粒閘極疊層207及中間晶圓互連件結構1101黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖16G中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖17A說明另一實施例,其中第一半導體元件100是晶圓且均勻地經黏結至第二半導體元件200及第三半導體元件300,同時在單體化前第二半導體元件200及第三半導體元件300是第二晶圓1501的部分。在此實施例中,第二晶圓黏結層1503可形成於第二晶圓1501上之第一晶粒互連件結構231上。在一實施例中,第二晶圓黏結層1503可由與如上文關於圖1A所描述的第一晶圓黏結層121類似之材料且以類似之方式形成。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沈積之沈積製程沈積的黏結材料,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
在第二晶圓黏結層1503形成後,第二導電晶圓黏結材料1505可形成於第二晶圓黏結層1503內。在一實施例中,第二導電晶圓黏結材料1505可由與第一導電晶圓黏結材料123(以上關於
圖1A所描述)類似之材料且以類似之方式形成。舉例而言,第二導電晶圓黏結材料1505可藉由以下步驟來形成:首先使第二晶圓黏結層1503圖案化以形成開口,且接著在利用諸如化學機械拋光之平坦化製程移除開口外部之多餘材料前,用諸如銅的材料填充及/或過填充開口。然而,可利用任何適合的材料及製造方法。
圖17B說明在第二導電晶圓黏結材料1505形成後,可如上文關於圖3所描述的分別使用例如混合式黏結將第二導電晶圓黏結材料1505及第二晶圓黏結層1503黏結至第一導電晶圓黏結材料123及第一晶圓黏結層121。舉例而言,在彼此實體接觸地置放前,第二晶圓黏結層1503及第一晶圓黏結層121之表面可經激活且對準在一起。隨後,可施加熱能及壓力以加強黏結且確保將第二導電晶圓黏結材料1505黏結至第一導電晶圓黏結材料123。然而,可利用任何適合的黏結製程。
圖17C說明第二晶圓1501之第二基底之薄化以暴露第二基底穿孔1507。在一實施例中,可使用諸如化學機械平坦化之平坦化製程使第二晶圓1501薄化。然而,亦可利用任何其他適合之平坦化製程,諸如研磨或甚至一系列一或多種蝕刻。
圖17D說明在第二基底穿孔1507暴露後,第一互連層600可形成於第二晶圓1501之背側上方且與第二基底穿孔1507電性連接。在一實施例中,可如以上關於圖6所描述的形成第一互連層600。然而,可使用任何適合的材料及製程來形成第一互連層600。
圖17D另外說明第一外部連接件603與第一互連層600結合之形成及/或置放。在一實施例中,可如以上關於圖6所描述
的形成第一外部連接件603。然而,可利用任何適合的材料及製造方法。
圖17E說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖17A至圖17D所描述),將第一半導體元件100黏結至中間晶粒互連件結構219。舉例而言,如圖17E中所說明,將中間晶粒互連件結構219(在第二晶圓1501上)黏結至頂部晶圓互連件結構1201(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於頂部晶圓互連件結構1201上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於中間晶粒互連件結構219上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將中間晶粒互連件結構219及頂部晶圓互連件結構1201黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖17E中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一
互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖17F說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖17A至圖17D所描述),將第一半導體元件100黏結至第一晶粒閘極接點213。舉例而言,如圖17F中所說明,將第一晶粒閘極接點213(在第二晶圓1501上)黏結至頂部晶圓互連件結構1201(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於頂部晶圓互連件結構1201上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極接點213上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極接點213及頂部晶圓互連件結構1201黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖17F中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一
互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖17G說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖17A至圖17D所描述),將第一半導體元件100黏結至第一晶粒閘極疊層207。舉例而言,如圖17G中所說明,將第一晶粒閘極疊層207(在第二晶圓1501上)黏結至頂部晶圓互連件結構1201(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於頂部晶圓互連件結構1201上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極疊層207上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極疊層207及頂部晶圓互連件結構1201黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖17G中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一
互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖18A說明另一實施例,其中第一半導體元件100是晶圓且均勻地經黏結至第二半導體元件200及第三半導體元件300,同時在單體化前第二半導體元件200及第三半導體元件300是第二晶圓1501的部分。在此實施例中,第二晶圓黏結層1503可形成於第二晶圓1501上之第一晶粒互連件結構231上。在一實施例中,第二晶圓黏結層1503可由與如上文關於圖1A所描述的第一晶圓黏結層121類似之材料且以類似之方式形成。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沈積之沈積製程沈積的黏結材料,諸如氧化矽。然而,可利用任何適合的材料及製造方法。
在第二晶圓黏結層1503形成後,第二導電晶圓黏結材料1505可形成於第二晶圓黏結層1503內。在一實施例中,第二導電晶圓黏結材料1505可由與第一導電晶圓黏結材料123(以上關於圖1A所描述)類似之材料且以類似之方式形成。舉例而言,第二導電晶圓黏結材料1505可藉由以下步驟來形成:首先使第二晶圓黏結層1503圖案化以形成開口,且接著在利用諸如化學機械拋光之平坦化製程移除開口外部之多餘材料前,用諸如銅的材料填充及/或過量填充開口。然而,可利用任何適合的材料及製造方法。
圖18B說明在第二導電晶圓黏結材料1505形成後,可如上文關於圖3所描述的分別使用例如混合式黏結將第二導電晶圓黏結材料1505及第二晶圓黏結層1503黏結至第一導電晶圓黏結材料123及第一晶圓黏結層121。舉例而言,在彼此實體接觸地置
放前,第二晶圓黏結層1503及第一晶圓黏結層121之表面可激活且對準在一起。隨後,可施加熱能及壓力以加強黏結且確保將第二導電晶圓黏結材料1505黏結至第一導電晶圓黏結材料123。然而,可利用任何適合的黏結製程。
圖18C說明第二晶圓1501之第二基底之薄化以便暴露第二基底穿孔1507。在一實施例中,可使用諸如化學機械平坦化之平坦化製程使第二晶圓1501薄化。然而,亦可利用任何其他適合之平坦化製程,諸如研磨或甚至一系列一或多種蝕刻。
圖18D說明在第二基底穿孔1507已暴露後,第一互連層600可形成於第二晶圓1501之背側上方且與第二基底穿孔1507電性連接。在一實施例中,可如以上關於圖6所描述的形成第一互連層600。然而,可使用任何適合的材料及製程來形成第一互連層600。
圖18D另外說明第一外部連接件603與第一互連層600結合之形成及/或置放。在一實施例中,可如以上關於圖6所描述的形成第一外部連接件603。然而,可利用任何適合的材料及製造方法。
圖18E說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一晶圓閘極接點111黏結至第一晶粒互連件結構231(如上文關於圖18A至圖18D所描述),將第一晶圓閘極接點111黏結至頂部晶粒互連件結構225。舉例而言,如圖18E中所說明,將第一晶圓閘極接點111(在第一半導體元件100上)黏結至頂部晶粒互連件結構225(在第二晶圓1501上)。舉例而言,第一晶圓
黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極接點111上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於頂部晶粒互連件結構225上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶圓閘極接點111及頂部晶粒互連件結構225黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖18E中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖18F說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖18A至圖18D所描述),將第一半導體元件100黏結至中間晶粒互連件結構219。舉例而言,如圖18F中所說明,將中間晶粒互連件結構219(在第二晶圓1501上)黏結至第一晶圓閘極接點111(在第一半導體元件100上)。舉例而言,第一晶圓
黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極接點111上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於中間晶粒互連件結構219上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將中間晶粒互連件結構219及第一晶圓閘極接點111黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可經激活,與彼此對準,且實體接觸地經置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖18F中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖18G說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖18A至圖18D所描述),將第一半導體元件100黏結至第一晶粒閘極接點213。舉例而言,如圖18G中所說明,將第一晶粒閘極接點213(在第二晶圓1501上)黏結至第一晶圓閘極接點111(在第一半導體元件100上)。舉例而言,第一晶圓黏結
層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極接點111上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極接點213上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極接點213及第一晶圓閘極接點111黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖18G中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖18H說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖18A至圖18D所描述),將第一半導體元件100黏結至第一晶粒閘極疊層207。舉例而言,如圖18H中所說明,將第一晶粒閘極疊層207(在第二晶圓1501上)黏結至第一晶圓閘極接點111(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一
晶圓閘極接點111上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極疊層207上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極疊層207及第一晶圓閘極接點111黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖18H中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖19A說明另一實施例,其中第一半導體元件100是晶圓且均勻地經黏結至第二半導體元件200及第三半導體元件300,同時在單體化前第二半導體元件200及第三半導體元件300是第二晶圓1501的部分。在此實施例中,第二晶圓黏結層1503可形成於第二晶圓1501上之第一晶粒互連件結構231上。在一實施例中,第二晶圓黏結層1503可由與如上文關於圖1A所描述的第一晶圓黏結層121類似之材料且以類似之方式形成。舉例而言,第一晶圓黏結層121可為使用諸如化學氣相沈積之沈積製程沈積的黏結材料,諸如氧化矽。然而,可利用任何適合的材料及製造方
法。
在第二晶圓黏結層1503形成後,第二導電晶圓黏結材料1505可形成於第二晶圓黏結層1503內。在一實施例中,第二導電晶圓黏結材料1505可由與第一導電晶圓黏結材料123(以上關於圖1A所描述)類似之材料且以類似之方式形成。舉例而言,第二導電晶圓黏結材料1505可藉由以下步驟來形成:首先使第二晶圓黏結層1503圖案化以形成開口,且接著在利用諸如化學機械拋光之平坦化製程移除開口外部之多餘材料前,用諸如銅的材料填充及/或過量填充開口。然而,可利用任何適合的材料及製造方法。
圖19B說明在第二導電晶圓黏結材料1505形成後,可如上文關於圖3所描述的分別使用例如混合式黏結將第二導電晶圓黏結材料1505及第二晶圓黏結層1503黏結至第一導電晶圓黏結材料123及第一晶圓黏結層121。舉例而言,在彼此實體接觸地置放前,第二晶圓黏結層1503及第一晶圓黏結層121之表面可經激活且對準在一起。隨後,可施加熱能及壓力以便加強黏結且確保將第二導電晶圓黏結材料1505黏結至第一導電晶圓黏結材料123。然而,可利用任何適合的黏結製程。
圖19C說明第二晶圓1501之第二基底之薄化以便暴露第二基底穿孔1507。在一實施例中,可使用諸如化學機械平坦化之平坦化製程使第二晶圓1501薄化。然而,亦可利用任何其他適合之平坦化製程,諸如研磨或甚至一系列一或多種蝕刻。
圖19D說明在第二基底穿孔1507暴露後,第一互連層600可形成於第二晶圓1501之背側上方且與第二基底穿孔1507電性連接。在一實施例中,可如以上關於圖6所描述的形成第一互
連層600。然而,可使用任何適合的材料及製程來形成第一互連層600。
圖19D另外說明第一外部連接件603與第一互連層600結合之形成及/或置放。在一實施例中,可如以上關於圖6所描述的形成第一外部連接件603。然而,可利用任何適合的材料及製造方法。
圖19E說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一晶圓閘極疊層109黏結至第一晶粒互連件結構231(如上文關於圖19A至圖19D所描述),將第一晶圓閘極疊層109黏結至頂部晶粒互連件結構225。舉例而言,如圖19E中所說明,將第一晶圓閘極疊層109(在第一半導體元件100上)黏結至頂部晶粒互連件結構225(在第二晶圓1501上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極疊層109上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於頂部晶粒互連件結構225上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶圓閘極疊層109及頂部晶粒互連件結構225黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適
合之黏結製程。
另外,雖然在圖19E中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖19F說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖19A至圖19D所描述),將第一半導體元件100黏結至中間晶粒互連件結構219。舉例而言,如圖19F中所說明,將中間晶粒互連件結構219(在第二晶圓1501上)黏結至第一晶圓閘極疊層109(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極疊層109上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於中間晶粒互連件結構219上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將中間晶粒互連件結構219及第一晶圓閘極疊層109黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適
合之黏結製程。
另外,雖然在圖19F中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖19G說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖19A至圖19D所描述),將第一半導體元件100黏結至第一晶粒閘極接點213。舉例而言,如圖19G中所說明,將第一晶粒閘極接點213(在第二晶圓1501上)黏結至第一晶圓閘極疊層109(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極疊層109上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極接點213上。
在第一晶圓黏結層121及第二晶圓黏結層1503形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極接點213及第一晶圓閘極疊層109黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖19G中未明確地說明,但在第一半導體元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
圖19H說明另一實施例,其中均勻、晶圓間的黏結形成於第一半導體元件100與第二晶圓1501之間。在此實施例中,然而,代替將第一半導體元件100黏結至第一晶粒互連件結構231(如上文關於圖19A至圖19D所描述),將第一半導體元件100黏結至第一晶粒閘極疊層207。舉例而言,如圖19H中所說明,將第一晶粒閘極疊層207(在第二晶圓1501上)黏結至第一晶圓閘極疊層109(在第一半導體元件100上)。舉例而言,第一晶圓黏結層121(及其相關聯的第一導電晶圓黏結材料123)可形成於第一晶圓閘極疊層109上,且第二晶圓黏結層1503(及其相關聯的第二導電晶圓黏結材料1505)可形成於第一晶粒閘極疊層207上。
在第一晶圓黏結層121及第二晶圓黏結層1503已形成後,使用例如混合式黏結利用第一晶圓黏結層121及第二晶圓黏結層1503將第一晶粒閘極疊層207及第一晶圓閘極疊層109黏結在一起。在一實施例中,第一晶圓黏結層121及第二晶圓黏結層1503兩者可激活,並彼此對準且實體接觸地置放。隨後可如上文所描述的施加熱能及壓力,以加強黏結且確保同樣黏結第一導電晶圓黏結材料123及第二導電晶圓黏結材料1505。然而,可利用任何適合之黏結製程。
另外,雖然在圖19H中未明確地說明,但在第一半導體
元件100及第二晶圓1501已黏結在一起後,可執行額外處理。舉例而言,可使第二晶圓1501薄化以暴露第二基底穿孔1507,第一互連層600可與第二基底穿孔1507連接地形成,且第一外部連接件603可與第一互連層600連接地形成。
藉由如以上實施例中所描述來黏結晶圓及晶粒,可在元件層級、金屬閘極層級或金屬化層處達成晶片之進一步整合。如此,不需要等待已完成及測試的良好晶片以便整合元件。此類選擇方案允許較短佈線路徑,其引起較快電信號及較高整合靈活性,尤其在用於晶圓上晶片(chip on wafer;CoW)技術的實施例中。
圖20說明另一實施例,其中使用融合黏結製程將第二半導體元件200及第三半導體元件300黏結至第一半導體元件100。在此實施例中,第一半導體元件100可形成為具有第一晶圓互連件結構119,但不具有中間晶圓互連件結構1101或頂部晶圓互連件結構1201。然而,在其他實施例中,第二半導體元件200及第三半導體元件300可黏結至中間晶圓互連件結構1101或頂部晶圓互連件結構1201。
另外,第二半導體元件200及第三半導體元件300可形成為具有第一晶粒互連件結構231。另外,然而,為了利用融合黏結製程來黏結,第三黏結層2001可形成於第一晶粒互連件結構231上方。在一實施例中,第三黏結層2001可由與第一晶圓黏結層121(以上關於圖1所描述)類似之材料且使用類似之製程形成。舉例來說,第三黏結層2001可使用化學氣相沈積製程由氧化物形成。然而,可利用任何適合的材料及沈積方法。
在第三黏結層2001形成後,可使用例如融合黏結製程代
替混合式黏結製程將第三黏結層2001黏結至第一晶圓互連件結構119。舉例而言,可如上文關於圖3所描述來激活第三黏結層2001及第二晶圓介電層115兩者。在激活後,將第三黏結層2001及第二晶圓介電層115彼此實體接觸地置放以引發黏結製程,其中第三黏結層2001覆蓋第一晶圓互連件結構119內的第一晶圓金屬線117中之一或多者。
在引發融合黏結製程後,可將熱能施加至第三黏結層2001及第一晶圓互連件結構119以便幫助加強黏結。在一實施例中,可將第三黏結層2001及第一晶圓互連件結構119加熱至約300℃與約400℃之間的溫度,諸如約350℃。然而,可利用任何適合的溫度。
圖20B說明在融合黏結第二半導體元件200及第三半導體元件300後,可沈積填充材料401且使之平坦化,可形成第一填充穿孔501,可形成第一互連層600,且可形成或置放第一外部連接件603。在此實施例中,利用第一互連層600及第一填充穿孔501以將第二半導體元件200及第三半導體元件300連接至第一外部連接件603以及第一半導體元件100兩者。
在一實施例中,製造半導體元件之方法包含:利用第一金屬鑲嵌製程或第一雙金屬鑲嵌製程在第一半導體基底上方形成第一互連件結構;塗覆與第一互連件結構實體接觸之第一黏結層;利用第二金屬鑲嵌製程或第二雙金屬鑲嵌製程在第二半導體基底上方形成第二互連件結構;以及將第二互連件結構黏結至第一黏結層。在一實施例中,第一互連件結構與第一閘極接點實體接觸。在一實施例中,第一互連件結構鄰近於與第一閘極接點實體接觸的
第三互連件結構。在一實施例中,第一互連件結構藉由不同於第一互連件結構的至少兩個互連件結構與第一半導體基底分隔。在一實施例中,第二互連件結構與第二閘極接點實體接觸。在一實施例中,第二互連件結構鄰近於與第二閘極接點實體接觸的第三互連件結構。在一實施例中,第二互連件結構由不同於第二互連件結構的至少兩個互連件結構與第二半導體基底分隔。在一實施例中,第一互連件結構利用第一金屬鑲嵌製程抑或第一雙金屬鑲嵌製程在第一半導體基底上方形成。
在另一實施例中,製造半導體元件之方法包含:沈積與閘電極或閘電極接點中之一者實體接觸的第一黏結介電層;穿過第一黏結介電層嵌入第一導電黏結材料,且第一導電黏結材料與閘電極或閘電極接點中之一者實體接觸;以及將第一半導體晶粒之導電材料直接黏結至第一導電黏結材料。在一實施例中,沈積第一黏結介電層是沈積與閘電極實體接觸之第一黏結介電層。在一實施例中,沈積第一黏結介電層是沈積與閘電極接點實體接觸之第一黏結介電層。在一實施例中,方法更包含在黏結導電材料後,鄰近於第一半導體晶粒沈積填充材料。在一實施例中,方法更包含形成自填充材料之第一側延伸至填充材料之第二側的填充穿孔。在一實施例中,方法更包含:在第一半導體晶粒及填充材料上方沈積第一互連介電層;以及使用金屬鑲嵌製程或雙金屬鑲嵌製程中之一者將第一互連導電材料嵌入至第一互連介電層中。在一實施例中,方法更包含:在第一半導體晶粒與第一黏結介電層的一相對側上將第二半導體晶粒黏結至第一半導體晶粒;鄰近於第二半導體晶粒沈積第二填充材料;以及形成自第二填充材料之第一側延伸
至第二填充材料之第二側的第二填充穿孔。
在又一實施例中,製造半導體元件之方法包含:在第一半導體晶圓上方沈積第一黏結介電層,且第一黏結介電層與第一閘極疊層、第一閘電極接點、與第一閘電極接點實體接觸之第一互連件結構或鄰近於第一互連件結構之第二互連件結構中的一者實體接觸;將第一黏結導體嵌入至第一黏結介電層中;在不同於第一半導體晶圓之第二半導體晶圓上方沈積第二黏結介電層,且第二黏結介電層與第二閘極疊層、第二閘電極接點、與第二閘電極接點實體接觸之第三互連件結構或鄰近於第二互連件結構之第四互連件結構中的一者實體接觸地沈積第二黏結介電層;將第二黏結導體嵌入至第二黏結介電層中;激活第一黏結介電層及第二黏結介電層;以及使第一黏結介電層及第二黏結介電層接觸。在一實施例中,沈積第一黏結介電層是與第一閘極疊層實體接觸地沈積。在一實施例中,沈積第一黏結介電層是與第一閘電極接點實體接觸地沈積。在一實施例中,沈積第一黏結介電層是與第一互連件結構實體接觸地沈積。在一實施例中,沈積第一黏結介電層是與第二互連件結構實體接觸地沈積。在一實施例中,方法更包含使第二半導體晶圓薄化以暴露基底穿孔。
前文概述若干實施例之特徵以使得本領域的技術人員可更佳地理解本揭露內容之態樣。本領域的技術人員應理解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入之實施例的相同目的及/或達成相同優點的其他製程及結構之基礎。本領域的技術人員亦應認識到,這些等效構造並不脫離本揭露內容之精神及範疇,且本領域的技術人員可在不脫離本揭露內容的精神
及範疇之情況下在本文中作出各種改變、替代及更改。
100:第一半導體元件
119:第一晶圓閘極疊層
200:第二半導體元件
225:頂部晶粒互連件結構
300:第三半導體元件
401:第一填充材料
501:填充穿孔
600:第一互連層
601:中間互連互連件結構
603:第一外部連接件
605:頂部互連互連件結構
700:第四半導體元件
703:第二填充材料
705:第二填充穿孔
Claims (10)
- 一種製造半導體元件的方法,所述方法包括:在第一半導體基底上方形成第一互連件結構;塗覆與所述第一互連件結構實體接觸之第一黏結層,所述第一黏結層包括第一黏結介電層以及穿過所述第一黏結介電層的第一導電黏結材料,且所述導電黏結材料與閘電極或閘電極接點中之一者實體接觸;提供具有第二互連件結構的半導體晶粒,所述第二互連件結構包括第二黏結介電層以及穿過所述第二黏結介電層的第二導電黏結材料;以及將所述第二互連件結構的所述第二黏結介電層及所述第二導電黏結材料分別黏結至所述第一黏結層的所述第一黏結介電層及所述第一導電黏結材料。
- 如申請專利範圍第1項所述的製造半導體元件的方法,其中所述第一互連件結構鄰近於與閘電極接點實體接觸的第三互連件結構。
- 如申請專利範圍第1項所述的製造半導體元件的方法,其中所述第一互連件結構藉由不同於所述第一互連件結構的至少兩個互連件結構與所述第一半導體基底分隔。
- 一種製造半導體元件的方法,所述方法包括:沈積與閘電極或閘電極接點中之一者實體接觸的第一黏結介電層;穿過所述第一黏結介電層來嵌入第一導電黏結材料,且所述第一導電黏結材料與所述閘電極或所述閘電極接點中之所述一者 實體接觸;以及將第一半導體晶粒的導電材料直接黏結至所述第一導電黏結材料。
- 如申請專利範圍第4項所述的製造半導體元件的方法,其中所述沈積所述第一黏結介電層是沈積與所述閘電極實體接觸之所述第一黏結介電層。
- 如申請專利範圍第4項所述的製造半導體元件的方法,更包括在所述黏結所述導電材料後,鄰近於所述第一半導體晶粒沈積填充材料。
- 一種製造半導體元件的方法,所述方法包括:在第一半導體晶圓上方沈積第一黏結介電層,且所述第一黏結介電層與第一閘極疊層、第一閘電極接點、與所述第一閘電極接點實體接觸之第一互連件結構或鄰近於所述第一互連件結構之第二互連件結構中的一者實體接觸;將第一黏結導體嵌入至所述第一黏結介電層中;在不同於所述第一半導體晶圓之第二半導體晶圓上方沈積第二黏結介電層,且所述第二黏結介電層與第二閘極疊層、第二閘電極接點、與所述第二閘電極接點實體接觸之第三互連件結構或鄰近於所述第二互連件結構之第四互連件結構中的一者實體接觸;將第二黏結導體嵌入至所述第二黏結介電層中;單體化所述第二半導體晶圓以得到多個半導體晶粒,所述半導體晶粒中的每一者具有所述第二黏結介電層;激活所述第一黏結介電層及所述半導體晶粒的所述第二黏結介電層;以及 使所述第一黏結介電層及所述半導體晶粒的所述第二黏結介電層接觸。
- 如申請專利範圍第7項所述的製造半導體元件的方法,其中所述沈積所述第一黏結介電層是與所述第一閘極疊層實體接觸地沈積。
- 如申請專利範圍第7項所述的製造半導體元件的方法,其中所述沈積所述第一黏結介電層是與所述第一互連件結構實體接觸地沈積。
- 如申請專利範圍第7項所述的製造半導體元件的方法,其中所述沈積所述第一黏結介電層是與所述第二互連件結構實體接觸地沈積。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762565557P | 2017-09-29 | 2017-09-29 | |
US62/565,557 | 2017-09-29 | ||
US16/025,331 US10727217B2 (en) | 2017-09-29 | 2018-07-02 | Method of manufacturing semiconductor device that uses bonding layer to join semiconductor substrates together |
US16/025,331 | 2018-07-02 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201916198A TW201916198A (zh) | 2019-04-16 |
TWI699839B true TWI699839B (zh) | 2020-07-21 |
Family
ID=65896756
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107129579A TWI699839B (zh) | 2017-09-29 | 2018-08-24 | 製造半導體元件的方法 |
Country Status (4)
Country | Link |
---|---|
US (3) | US10727217B2 (zh) |
KR (2) | KR20190038463A (zh) |
CN (1) | CN109616425B (zh) |
TW (1) | TWI699839B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI844830B (zh) * | 2021-07-23 | 2024-06-11 | 台灣積體電路製造股份有限公司 | 半導體裝置結構及其形成方法 |
Families Citing this family (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11183431B2 (en) * | 2019-09-05 | 2021-11-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices and semiconductor devices |
US11205630B2 (en) * | 2019-09-27 | 2021-12-21 | Intel Corporation | Vias in composite IC chip structures |
US11094672B2 (en) | 2019-09-27 | 2021-08-17 | Intel Corporation | Composite IC chips including a chiplet embedded within metallization layers of a host IC chip |
US11239208B2 (en) * | 2020-05-12 | 2022-02-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Packaged semiconductor devices including backside power rails and methods of forming the same |
KR20220075030A (ko) | 2020-11-26 | 2022-06-07 | 삼성전자주식회사 | 반도체 패키지 |
CN112928077A (zh) * | 2021-01-20 | 2021-06-08 | 上海先方半导体有限公司 | 一种多芯片异质集成封装单元及其制造方法、堆叠结构 |
US11984376B2 (en) * | 2021-04-22 | 2024-05-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stacked semiconductor device including a cooling structure |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070207592A1 (en) * | 2006-03-03 | 2007-09-06 | Lu James J | Wafer bonding of damascene-patterned metal/adhesive redistribution layers |
US20100038802A1 (en) * | 2008-02-01 | 2010-02-18 | Promos Technologies | Stacked semiconductor device and method |
Family Cites Families (39)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7528494B2 (en) * | 2005-11-03 | 2009-05-05 | International Business Machines Corporation | Accessible chip stack and process of manufacturing thereof |
US8759964B2 (en) | 2007-07-17 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer level package structure and fabrication methods |
JP5985136B2 (ja) | 2009-03-19 | 2016-09-06 | ソニー株式会社 | 半導体装置とその製造方法、及び電子機器 |
TWI420662B (zh) * | 2009-12-25 | 2013-12-21 | Sony Corp | 半導體元件及其製造方法,及電子裝置 |
US8859390B2 (en) * | 2010-02-05 | 2014-10-14 | International Business Machines Corporation | Structure and method for making crack stop for 3D integrated circuits |
CN102859691B (zh) | 2010-04-07 | 2015-06-10 | 株式会社岛津制作所 | 放射线检测器及其制造方法 |
US9048233B2 (en) | 2010-05-26 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package systems having interposers |
JP2012033894A (ja) * | 2010-06-30 | 2012-02-16 | Canon Inc | 固体撮像装置 |
JP5451547B2 (ja) | 2010-07-09 | 2014-03-26 | キヤノン株式会社 | 固体撮像装置 |
US8361842B2 (en) | 2010-07-30 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Embedded wafer-level bonding approaches |
JP5577965B2 (ja) * | 2010-09-02 | 2014-08-27 | ソニー株式会社 | 半導体装置、および、その製造方法、電子機器 |
US8884431B2 (en) | 2011-09-09 | 2014-11-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaging methods and structures for semiconductor devices |
US9064879B2 (en) | 2010-10-14 | 2015-06-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaging methods and structures using a die attach film |
JP6019599B2 (ja) | 2011-03-31 | 2016-11-02 | ソニー株式会社 | 半導体装置、および、その製造方法 |
US8829676B2 (en) | 2011-06-28 | 2014-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure for wafer level package |
US9000584B2 (en) | 2011-12-28 | 2015-04-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaged semiconductor device with a molding compound and a method of forming the same |
US8680647B2 (en) | 2011-12-29 | 2014-03-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packages with passive devices and methods of forming the same |
US8969200B2 (en) * | 2012-04-12 | 2015-03-03 | The Research Foundation Of State University Of New York | Apparatus and method for integration of through substrate vias |
US8957358B2 (en) | 2012-04-27 | 2015-02-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS image sensor chips with stacked scheme and methods for forming the same |
US8703542B2 (en) | 2012-05-18 | 2014-04-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wafer-level packaging mechanisms |
US9991190B2 (en) | 2012-05-18 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaging with interposer frame |
US8809996B2 (en) | 2012-06-29 | 2014-08-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package with passive devices and method of forming the same |
US8878325B2 (en) * | 2012-07-31 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Elevated photodiode with a stacked scheme |
US8785299B2 (en) | 2012-11-30 | 2014-07-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Package with a fan-out structure and method of forming the same |
US8803306B1 (en) | 2013-01-18 | 2014-08-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fan-out package structure and methods for forming the same |
US8778738B1 (en) | 2013-02-19 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaged semiconductor devices and packaging devices and methods |
US9263511B2 (en) | 2013-02-11 | 2016-02-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Package with metal-insulator-metal capacitor and method of manufacturing the same |
US8946784B2 (en) * | 2013-02-18 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for image sensor packaging |
US9048222B2 (en) | 2013-03-06 | 2015-06-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of fabricating interconnect structure for package-on-package devices |
US8877554B2 (en) | 2013-03-15 | 2014-11-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices |
US9368460B2 (en) | 2013-03-15 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Fan-out interconnect structure and method for forming same |
US8860229B1 (en) | 2013-07-16 | 2014-10-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid bonding with through substrate via (TSV) |
US9214398B2 (en) * | 2013-09-09 | 2015-12-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Backside contacts for integrated circuit devices |
US9666520B2 (en) | 2014-04-30 | 2017-05-30 | Taiwan Semiconductor Manufactuing Company, Ltd. | 3D stacked-chip package |
US10319701B2 (en) * | 2015-01-07 | 2019-06-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Bonded 3D integrated circuit (3DIC) structure |
US9633917B2 (en) * | 2015-08-20 | 2017-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three dimensional integrated circuit structure and method of manufacturing the same |
US9899355B2 (en) * | 2015-09-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three-dimensional integrated circuit structure |
US9524959B1 (en) * | 2015-11-04 | 2016-12-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | System on integrated chips and methods of forming same |
US10020336B2 (en) * | 2015-12-28 | 2018-07-10 | Semiconductor Energy Laboratory Co., Ltd. | Imaging device and electronic device using three dimentional (3D) integration |
-
2018
- 2018-07-02 US US16/025,331 patent/US10727217B2/en active Active
- 2018-08-24 TW TW107129579A patent/TWI699839B/zh active
- 2018-09-27 CN CN201811133991.5A patent/CN109616425B/zh active Active
- 2018-10-01 KR KR1020180117176A patent/KR20190038463A/ko active Application Filing
-
2020
- 2020-06-29 US US16/915,064 patent/US11088131B2/en active Active
-
2021
- 2021-03-29 KR KR1020210040497A patent/KR20210038516A/ko active Application Filing
- 2021-08-09 US US17/397,176 patent/US20210366893A1/en active Pending
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070207592A1 (en) * | 2006-03-03 | 2007-09-06 | Lu James J | Wafer bonding of damascene-patterned metal/adhesive redistribution layers |
US20100038802A1 (en) * | 2008-02-01 | 2010-02-18 | Promos Technologies | Stacked semiconductor device and method |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI844830B (zh) * | 2021-07-23 | 2024-06-11 | 台灣積體電路製造股份有限公司 | 半導體裝置結構及其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
US11088131B2 (en) | 2021-08-10 |
US10727217B2 (en) | 2020-07-28 |
CN109616425B (zh) | 2021-05-11 |
KR20210038516A (ko) | 2021-04-07 |
US20190103390A1 (en) | 2019-04-04 |
TW201916198A (zh) | 2019-04-16 |
US20210366893A1 (en) | 2021-11-25 |
KR20190038463A (ko) | 2019-04-08 |
CN109616425A (zh) | 2019-04-12 |
US20200328200A1 (en) | 2020-10-15 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI699839B (zh) | 製造半導體元件的方法 | |
KR101803611B1 (ko) | 3차원 집적회로 구조 및 그 제조 방법 | |
TWI750020B (zh) | 半導體裝置及形成半導體裝置的方法 | |
TWI764411B (zh) | 封裝半導體元件及其形成方法 | |
US20220246598A1 (en) | Semiconductor Devices and Methods of Manufacture | |
US11749729B2 (en) | Semiconductor device, integrated circuit component and manufacturing methods thereof | |
TW202145484A (zh) | 半導體裝置 | |
CN113675195B (zh) | 半导体器件及其形成方法 | |
US20230187307A1 (en) | Heat-Dissipating Structures for Semiconductor Devices and Methods of Manufacture | |
US20240266285A1 (en) | Heat dissipation for semiconductor devices and methods of manufacture | |
US20230268355A1 (en) | Integrated circuit device and method for fabricating the same | |
US11456209B2 (en) | Spacers for semiconductor devices including a backside power rails | |
US20240274485A1 (en) | Heat dissipation in semiconductor devices | |
US20240074315A1 (en) | Semiconductor structure and manufacturing method thereof | |
TW202433694A (zh) | 半導體裝置及其製造方法 | |
CN118116973A (zh) | 半导体器件及其制造方法 |