TWI692796B - Mounting table and plasma processing device - Google Patents

Mounting table and plasma processing device Download PDF

Info

Publication number
TWI692796B
TWI692796B TW104143399A TW104143399A TWI692796B TW I692796 B TWI692796 B TW I692796B TW 104143399 A TW104143399 A TW 104143399A TW 104143399 A TW104143399 A TW 104143399A TW I692796 B TWI692796 B TW I692796B
Authority
TW
Taiwan
Prior art keywords
mounting table
insulating member
peripheral surface
plasma
lower electrode
Prior art date
Application number
TW104143399A
Other languages
Chinese (zh)
Other versions
TW201637065A (en
Inventor
南雅人
佐佐木芳彦
邊見篤
齊藤均
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201637065A publication Critical patent/TW201637065A/en
Application granted granted Critical
Publication of TWI692796B publication Critical patent/TWI692796B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

在具備有進行電漿處理時所使用之聚焦環(6) 的載置台(2)中,抑制起因於垂直方向之電場之聚焦環(6)的削去,而降低微粒。 With focus ring (6) for plasma treatment In the mounting table (2), the cutting of the focus ring (6) due to the electric field in the vertical direction is suppressed, and particles are reduced.

將載置有玻璃基板(G)之載置台本體(2)設 成為從側周面呈平坦柱狀的構造,並在聚焦環(6)之下方側,以包圍載置台本體(2)且接觸於載置台本體(2)之側周面的方式,設置側部絕緣構件(31)。因此,由於在聚焦環(6)的正下方,係不存在有載置台本體(2),因此,在聚焦環(6)不會產生垂直方向的電場,而可抑制聚焦環(6)之削去。又,使側部絕緣構件(31)壓接於下部電極(20)的側周面,並使補助絕緣構件(32)壓接於絕緣間隔物構件(28),並且將側部絕緣構件(31)與補助絕緣構件(32)的間隙設成為曲徑構造,藉此可抑制異常放電。 Set the body (2) of the mounting table on which the glass substrate (G) is placed It has a flat cylindrical structure from the side peripheral surface, and the side portion is provided below the focus ring (6) so as to surround the stage body (2) and contact the side peripheral surface of the stage body (2) Insulation member (31). Therefore, since there is no stage body (2) directly under the focus ring (6), no vertical electric field is generated in the focus ring (6), and the cutting of the focus ring (6) can be suppressed go with. Furthermore, the side insulating member (31) is crimped to the side peripheral surface of the lower electrode (20), the auxiliary insulating member (32) is crimped to the insulating spacer member (28), and the side insulating member (31) ) The gap with the auxiliary insulating member (32) is set to a labyrinth structure, whereby abnormal discharge can be suppressed.

Description

載置台及電漿處理裝置 Mounting table and plasma processing device

本發明,係關於在電漿處理基板時所使用之載置台及電漿處理裝置。 The present invention relates to a mounting table and a plasma processing device used when plasma processing a substrate.

在將半導體電路形成於玻璃基板或半導體晶圓的製造工程中,係存在有使用電漿來進行蝕刻處理或成膜處理的製程。該製程,係藉由下述方式來進行:在真空容器內的載置台載置基板,對供給至該載置台之上方之空間的處理氣體賦予高頻能量,而產生例如電容耦合電漿或感應耦合電漿。載置台為電容耦合電漿時,構成平行平板之一方的電極即下部電極,載置台為感應耦合電漿時,構成施加有用以引入離子之偏壓的下部電極。在進行像這樣之製程的電漿處理裝置中,係為了調整電漿的狀態,而以包圍載置台的方式設置有環狀構件。 In the manufacturing process of forming a semiconductor circuit on a glass substrate or a semiconductor wafer, there is a process of using plasma to perform an etching process or a film forming process. This process is carried out by placing a substrate on a mounting table in a vacuum container, applying high-frequency energy to the processing gas supplied to the space above the mounting table, and generating, for example, capacitively coupled plasma or induction Coupling plasma. When the mounting table is a capacitively coupled plasma, the lower electrode constitutes one of the electrodes of the parallel plate. When the mounting table is an inductively coupled plasma, the lower electrode is applied with a bias voltage for introducing ions. In the plasma processing apparatus performing such a process, in order to adjust the state of the plasma, a ring-shaped member is provided so as to surround the mounting table.

作為環狀構件的材質,係因應於製程的種類或裝置構成等,予以選擇導電性、絕緣性之任一。例如為了將欲擴散至例如至載置台外的電漿封存於基板上,而使用由被稱為聚焦環等之絕緣材所構成的環構件。關於環構 件的安裝構造,係如專利文獻1所記載,在載置台的外周部設置對應於環構件之厚度的階差,以包圍載置台的方式,形成譬如說凸緣部分,並將環構件固定於該凸緣部分的表面。 As the material of the ring-shaped member, any one of conductivity and insulation is selected according to the type of manufacturing process or device configuration, etc. For example, a ring member made of an insulating material called a focus ring or the like is used to seal the plasma to be spread out of the mounting table, for example, on the substrate. About Ring Structure The mounting structure of the component is as described in Patent Document 1. A step corresponding to the thickness of the ring member is provided on the outer peripheral portion of the mounting table, and a flange portion is formed to surround the mounting table, and the ring member is fixed to The surface of the flange portion.

然而,由於電極位於環構件的下面側,因此,無法避免環構件中產生垂直方向的強力電場,因此,電漿中的離子會衝撞環構件,導致環構件的表面被削去,而造成微粒污染的原因之一。為了使垂直方向的電場變弱,雖探討關於環構件的材質,但關於實質抑制電場之發生而使微粒的降低實現,係處於困難的狀況。 However, because the electrode is located on the lower side of the ring member, it is unavoidable to generate a strong electric field in the vertical direction in the ring member. Therefore, the ions in the plasma will collide with the ring member, causing the surface of the ring member to be cut off, resulting in particle contamination One of the reasons. In order to weaken the electric field in the vertical direction, although the material of the ring member is considered, it is difficult to substantially reduce the occurrence of the electric field and reduce the particles.

在專利文獻2中,雖係揭示未具備有凸緣部分的載置台,但有電漿從環構件與電極的外周面之間侵入而在電極的外周面引起異常放電之虞,或又環材的寬度變大而導致真空容器大型化。 Patent Document 2 discloses a mounting table that does not include a flange portion, but plasma may invade between the ring member and the outer peripheral surface of the electrode to cause abnormal discharge on the outer peripheral surface of the electrode, or a ring material The width becomes larger and the vacuum container becomes larger.

〔先前技術文獻〕 [Previous Technical Literature] 〔專利文獻〕 [Patent Literature]

[專利文獻1]日本特開2007-273685號公報 [Patent Document 1] Japanese Patent Application Publication No. 2007-273685

[專利文獻2]日本特開2013-157640號公報 [Patent Document 2] Japanese Unexamined Patent Publication No. 2013-157640

本發明,係有鑑於像這樣之情事而進行研究者,其目的,係提供如下述之技術:可在載置台中,抑制 起因於垂直方向之電場之環構件的削去,而使微粒降低,該載置台,係具備有進行電漿處理時所使用之載置有基板的載置台本體及由包圍該載置台本體的絕緣材所構成的環構件。 The present invention is carried out in consideration of such circumstances, and its purpose is to provide a technology as follows: it can be suppressed in the mounting table The particles are reduced due to the removal of the ring member due to the electric field in the vertical direction. The stage is equipped with a stage body on which a substrate is used for plasma processing and an insulation surrounding the stage body Ring member made of wood.

本發明之載置台,係為了在用以對基板進行電漿處理之真空容器內載置前述基板而設置,該載置台,其特徵係,具備有:金屬製之載置台本體,載置有基板,從上面至下面的側周面呈平坦柱狀;環構件,其上面面臨前述電漿處理空間,並由設置為包圍前述載置台本體的絕緣材所構成;及側部絕緣構件,在前述環構件之下方側,以包圍前述載置台本體的方式予以設置,並壓接於前述載置台本體的側周面而設置。 The mounting table of the present invention is provided to mount the substrate in a vacuum container for plasma processing of the substrate. The mounting table is characterized by comprising: a metal mounting table body on which the substrate is mounted , The side surface from the top to the bottom has a flat column shape; the ring member, whose upper surface faces the plasma processing space, and is composed of an insulating material provided to surround the mounting table body; and the side insulating member, in the ring The lower side of the member is provided so as to surround the main body of the mounting table, and is pressure-contacted to the side peripheral surface of the main body of the mounting table.

本發明之電漿處理裝置,其特徵係,具備有:上述之載置台,設置於真空容器內;氣體供給部,對前述真空容器內供給用以電漿化的處理氣體;及電漿產生部,用以在真空容器內產生電場,使前述處理氣體電漿化。 The plasma processing apparatus of the present invention is characterized by comprising: the above-mentioned mounting table, which is installed in a vacuum container; a gas supply unit, which supplies processing gas for plasmaization into the vacuum container; and a plasma generation unit , Used to generate an electric field in the vacuum container, so that the process gas plasma.

本發明,係設成為如下述之構成:將載置有基板之載置台本體設成為從上面至下面之側周面呈平坦柱狀的構造,並在環構件之下方側,以包圍前述載置台本體且壓接於載置台本體之側周面的方式,設置側部絕緣構件。因此,由於在環構件的正下方,係不存在有載置台本體,因此,在環構件不會產生垂直方向的電場,而可抑制環構件之削去。而且,由於在環構件的下方側,係側部絕緣構件被壓接於載置台本體的側周面,因此,亦可抑制電漿進入至載置台本體的側周面而引起異常放電這種不良的情形。 The present invention is configured as follows: the mounting table body on which the substrate is mounted has a flat columnar structure from the upper side to the lower side, and the lower side of the ring member surrounds the mounting table The body is provided with side insulating members in a manner of being crimped to the side peripheral surface of the mounting table body. Therefore, since there is no stage body directly under the ring member, a vertical electric field is not generated in the ring member, and the ring member can be prevented from being cut off. In addition, since the side insulating member is crimped to the side peripheral surface of the mounting body at the lower side of the ring member, it is also possible to suppress the defect that plasma enters the side peripheral surface of the mounting body and causes abnormal discharge. Situation.

2‧‧‧載置台本體 2‧‧‧Mounting table body

3‧‧‧絕緣體 3‧‧‧Insulator

6‧‧‧聚焦環 6‧‧‧focus ring

10‧‧‧處理容器 10‧‧‧Handling container

20、100‧‧‧下部電極 20、100‧‧‧Lower electrode

21‧‧‧熔射膜 21‧‧‧Melting film

25‧‧‧傳熱氣體擴散板 25‧‧‧ Heat transfer gas diffusion plate

28‧‧‧絕緣間隔物構件 28‧‧‧Insulation spacer member

31‧‧‧側部絕緣構件 31‧‧‧Side insulation member

32‧‧‧補助絕緣構件 32‧‧‧Subsidiary insulation components

35、36‧‧‧螺絲 35、36‧‧‧screw

G‧‧‧玻璃基板 G‧‧‧Glass substrate

[圖1]本發明之實施形態之電漿處理裝置的剖面圖。 [Fig. 1] A cross-sectional view of a plasma processing apparatus according to an embodiment of the present invention.

[圖2]放大載置台之一部分的剖面圖。 [Fig. 2] An enlarged cross-sectional view of a part of the mounting table.

[圖3]表示絕緣體及下部電極的平面圖。 [Fig. 3] A plan view showing an insulator and a lower electrode.

[圖4]表示側部絕緣構件的剖面圖及平面圖。 [Fig. 4] A cross-sectional view and a plan view showing a side insulating member.

[圖5]表示藉由以往之載置台所形成之電場方向的說明圖。 [Fig. 5] An explanatory diagram showing the direction of an electric field formed by a conventional mounting table.

[圖6]表示藉由本發明之實施形態的載置台所形成之電場方向的說明圖。 [Fig. 6] An explanatory diagram showing the direction of an electric field formed by a mounting table according to an embodiment of the present invention.

[圖7]說明載置台內之放電之流向的說明圖。 [Fig. 7] An explanatory diagram illustrating the flow of discharge in the mounting table.

[圖8]表示本發明之實施形態之其他例之載置台的剖 面圖。 [Fig. 8] A cross section of a mounting table showing another example of the embodiment of the present invention Face map.

說明使用了本發明之實施形態之基板之載置台的電漿處理裝置。如圖1所示,電漿處理裝置,係具備有接地之例如鋁或不鏽鋼製的處理容器10。在處理容器10的側面,係設置有用以收授經電漿處理的基板即例如矩形之玻璃基板G的搬入搬出口11,在搬入搬出口11,係設置有開關搬入搬出口的閘閥13。 The plasma processing apparatus using the substrate mounting table of the embodiment of the present invention will be described. As shown in FIG. 1, the plasma processing apparatus includes a processing container 10 made of aluminum or stainless steel that is grounded. On the side of the processing container 10, a loading/unloading outlet 11 for receiving a plasma-processed substrate, for example, a rectangular glass substrate G is provided, and a gate valve 13 for opening and closing the loading/unloading outlet is provided at the loading/unloading outlet 11.

在處理容器10之底面的中央部,係具備有載置玻璃基板G之平面形狀呈矩形且從上面至下面的側周面呈平坦之角柱狀的載置台本體2。載置台本體2,係在該例中構成為層積有:下部電極20,相當於平行板電極之下部側的電極;及傳熱氣體擴散板25,由設置於下部電極20之下方側的金屬例如鋁所構成。另外,傳熱氣體擴散板25,係亦可稱為下層側的下部電極。 At the central portion of the bottom surface of the processing container 10, there is provided a stage main body 2 having a rectangular planar shape on which the glass substrate G is placed and a flat prismatic side surface from the upper surface to the lower surface. The stage body 2 is configured in this example to be laminated with: a lower electrode 20, which is equivalent to the electrode on the lower side of the parallel plate electrode; and a heat transfer gas diffusion plate 25, made of a metal provided below the lower electrode 20 For example made of aluminum. In addition, the heat transfer gas diffusion plate 25 may also be referred to as a lower electrode on the lower layer side.

下部電極20,係由金屬塊所構成,該金屬塊,係由方型的例如鋁所構成。如圖2所示,在下部電極20的上面,係設置有以靜電吸附力來保持玻璃基板G的靜電夾具200,該靜電吸附力,係在絕緣性的熔射膜21中埋設連接於直流電源202之夾具用之箱狀的電極201而構成。因此,下部電極20,係亦可說是靜電夾具單元。另外,在圖3以後的圖面中,係省略電極201及直流電源202的記載。 The lower electrode 20 is composed of a metal block, and the metal block is composed of, for example, aluminum. As shown in FIG. 2, on the upper surface of the lower electrode 20, an electrostatic jig 200 that holds the glass substrate G with an electrostatic attraction force is provided. The electrostatic attraction force is buried in the insulating spray film 21 and connected to a DC power source. The jig 202 is composed of a box-shaped electrode 201. Therefore, the lower electrode 20 can also be said to be an electrostatic jig unit. In the subsequent drawings of FIG. 3, the description of the electrode 201 and the DC power supply 202 is omitted.

在下部電極20,係經由匹配器94,連接有用以在處理容器10內形成電漿生成用之電場的高頻電源93。該高頻電源,係構成為可輸出例如比較高之頻率例如13.56MHz的高頻。又,在下部電極20中,係經由匹配器96,電性連接有RF偏壓用之高頻電源95。該高頻電源95,係構成為可輸出適於控制引入至玻璃基板G之離子之能量的頻率,例如1~6MHz的高頻。 The lower electrode 20 is connected via a matching device 94 to a high-frequency power source 93 for forming an electric field for plasma generation in the processing container 10. The high-frequency power supply is configured to output a relatively high frequency, for example, a high frequency of 13.56 MHz. In addition, a high-frequency power supply 95 for RF bias is electrically connected to the lower electrode 20 via a matching device 96. The high-frequency power supply 95 is configured to output a frequency suitable for controlling the energy of ions introduced into the glass substrate G, for example, a high frequency of 1 to 6 MHz.

在下部電極20的內部,係例如設置有延伸於周方向之環狀的冷卻器流路22。在該冷卻器流路22,係藉由急冷器單元(未圖示)來循環供給預定溫度的熱傳導媒體例如Gulden(註冊商標),並可藉由熱傳導媒體的溫度,控制靜電夾具上之玻璃基板G的處理溫度。又,在下部電極20的上面,係構成為設置於下部電極20內部之氣體供給路徑24的上端呈開口,並可將傳熱氣體例如He氣體供給至下部電極20的上面與玻璃基板G的背面之間。傳熱氣體,係用以使下部電極20的熱傳熱至玻璃基板G的氣體。 Inside the lower electrode 20, for example, an annular cooler flow path 22 extending in the circumferential direction is provided. In the cooler flow path 22, a heat transfer medium such as Gulden (registered trademark) of a predetermined temperature is circulated and supplied by a quencher unit (not shown), and the temperature of the heat transfer medium can be used to control the glass substrate on the electrostatic fixture G treatment temperature. In addition, on the upper surface of the lower electrode 20, the upper end of the gas supply path 24 provided inside the lower electrode 20 is opened, and heat transfer gas such as He gas can be supplied to the upper surface of the lower electrode 20 and the back surface of the glass substrate G between. The heat transfer gas is a gas for transferring the heat of the lower electrode 20 to the glass substrate G.

傳熱氣體擴散板25,係具備有連通於下部電極20之氣體供給路徑24之下端的流路18,在該流路18,係連接有傳熱氣體的配管。在傳熱氣體擴散板25之上面的周緣部與下部電極20之間及傳熱氣體擴散板25之下面的周緣部與後述的絕緣間隔物構件28之間,係分別介設有密封構件即O形環90、91。另外,在圖3以後的圖面中,係省略O形環90、91的記載。 The heat transfer gas diffusion plate 25 is provided with a flow path 18 communicating with the lower end of the gas supply path 24 of the lower electrode 20, and the flow path 18 is connected with piping of the heat transfer gas. Between the peripheral edge portion of the upper surface of the heat transfer gas diffusion plate 25 and the lower electrode 20 and between the peripheral edge portion of the lower surface of the heat transfer gas diffusion plate 25 and the insulating spacer member 28 described later, a sealing member, O, is interposed.形环90,91. In addition, in the drawings after FIG. 3, the description of the O-rings 90 and 91 is omitted.

又,在下部電極20中,係以在垂直方向貫穿下部電極20及傳熱氣體擴散板25並從下部電極20之表面突出/沒入的方式,設置有用以在與外部的搬送臂之間收授玻璃基板G之未圖示的升降銷。 In addition, the lower electrode 20 is provided so as to penetrate between the lower electrode 20 and the heat transfer gas diffusion plate 25 in the vertical direction and protrude/submerge from the surface of the lower electrode 20. The lifting pins (not shown) of the glass substrate G are given.

在傳熱氣體擴散板25的下面側,係設置有下部電極20及由支撐傳熱氣體擴散板25之複數個圓柱狀的絕緣體所構成的支撐柱26。在支撐柱26的中心,係設置有貫穿孔,下部電極20,係從處理容器10的下面側貫穿底面,並藉由插入至貫穿孔的螺絲27予以固定。 On the lower surface side of the heat transfer gas diffusion plate 25, a lower electrode 20 and a support column 26 composed of a plurality of cylindrical insulators supporting the heat transfer gas diffusion plate 25 are provided. A through hole is provided in the center of the support column 26, and the lower electrode 20 penetrates the bottom surface from the lower surface side of the processing container 10 and is fixed by a screw 27 inserted into the through hole.

又,在處理容器10的底面,係設置有沿著全周支撐下部電極20之周緣部分的支撐構件即絕緣間隔物構件28。在絕緣間隔物構件28與處理容器10的底面之間,係設置有形成為用以氣密地密封之密封構件的O形環92。因此,在載置台本體2的下方與處理容器10與底面之間,形成有氣密之大氣氛圍的空間。 In addition, on the bottom surface of the processing container 10, an insulating spacer member 28 that is a supporting member that supports the peripheral portion of the lower electrode 20 along the entire circumference is provided. Between the insulating spacer member 28 and the bottom surface of the processing container 10, an O-ring 92 formed as a sealing member for hermetically sealing is provided. Therefore, a space with an airtight atmosphere is formed between the lower side of the mounting table body 2 and the processing container 10 and the bottom surface.

在載置台本體2的周圍,係在處理容器10內形成了電場時,從比下部電極20的上面稍低的部位沿著傳熱氣體擴散板25之下面的高度位置,設置有用以抑制載置台本體2之側周面中之異常放電的絕緣體3。圖2,係絕緣體3之放大的剖面圖;圖3(a),係表示側部絕緣構件31的平面圖;(b),係表示補助絕緣構件32的平面圖。如圖2、3所示,絕緣體3,係形成為沿著全周包圍載置台本體2的側周之四角形的環狀,並由側部絕緣構件31與補助絕緣構件32予以構成,該側部絕緣構件 31,係構成與絕緣體3之內側附近即下部電極20相接之側的部分,該補助絕緣構件32,係構成側部絕緣構件31之外側的部分。 When the electric field is formed in the processing container 10 around the mounting table body 2, the height of the lower surface of the lower electrode 20 along the lower surface of the heat transfer gas diffusion plate 25 is provided to prevent the mounting table Insulator 3 of abnormal discharge in the side peripheral surface of the body 2. FIG. 2 is an enlarged cross-sectional view of the insulator 3; FIG. 3(a) is a plan view showing the side insulating member 31; and (b) is a plan view showing the auxiliary insulating member 32. As shown in FIGS. 2 and 3, the insulator 3 is formed into a quadrangular ring shape that surrounds the side circumference of the mounting table body 2 along the entire circumference, and is composed of a side insulating member 31 and a supplementary insulating member 32. Insulation member 31. The auxiliary insulating member 32 constitutes a portion near the inner side of the insulator 3, that is, the side contacting the lower electrode 20, and the auxiliary insulating member 32 constitutes the outer side of the side insulating member 31.

側部絕緣構件31,係與下部電極20及傳熱氣體擴散板25相接的面形成為平坦面。又,與和側部絕緣構件31中之下部電極20及傳熱氣體擴散板25相接之面的相反側之補助絕緣構件32相接的面,係從上部側依序而連續地形成有垂直的第1面38、從該第1面38之下緣朝向內側(載置台本體2側)之水平的第2面39、從該第2面39之內緣朝下方垂直地延伸的第3面40。 The side insulating member 31 is formed as a flat surface in contact with the lower electrode 20 and the heat transfer gas diffusion plate 25. In addition, the surface in contact with the auxiliary insulating member 32 on the side opposite to the surface in contact with the lower electrode 20 and the heat transfer gas diffusion plate 25 of the side insulating member 31 is formed continuously vertically from the upper side in order The first surface 38, the horizontal second surface 39 from the lower edge of the first surface 38 toward the inside (the stage body 2 side), and the third surface vertically extending downward from the inner edge of the second surface 39 40.

側部絕緣構件31,係如圖3(a)所示,由各角部位於與載置台本體2之角部對應的部位之例如4個L字型構件4組合而構成。各L字型構件4,係如圖4所示,兩端面並非呈平坦面,從上面觀看時形成為階差形狀,相互鄰接之L字型構件4的端面彼此,係設定成相互卡合的形狀。而且,在例如常溫(25℃)中,以可在長度方向形成間隙的方式,組合4個L字型構件4而構成環狀的側部絕緣構件31。 The side insulating member 31 is composed of, for example, four L-shaped members 4 whose corners are located at positions corresponding to the corners of the mounting table body 2 as shown in FIG. 3( a ). As shown in FIG. 4, each L-shaped member 4 is not flat at both ends, and is formed in a stepped shape when viewed from above. The end faces of the L-shaped members 4 adjacent to each other are set to be engaged with each other. shape. Furthermore, at normal temperature (25° C.), four L-shaped members 4 are combined to form an annular side insulating member 31 so that a gap can be formed in the longitudinal direction.

側部絕緣構件31,係設置有螺絲孔33、34,該螺絲孔33、34,係從前述之外周面中之垂直的第1面38貫穿至與下部電極20相接的內周面。螺絲孔33,係在藉由螺絲35加以螺固後時,形成為L字型構件4被固定於所有方向,螺絲孔34,係在藉由螺絲35加以螺固後時,以使L字型構件4可在長度方向移動的方式,形成為 長孔。關於螺絲孔33及螺絲孔34的配列,係例如在最靠近L字型構件4之角部的位置設置有螺絲孔33,並從該螺絲孔33朝向L字型構件4的端面隔著間隔地設置有複數個或1個螺絲孔34。而且,側部絕緣構件31,係藉由被插入至各螺絲孔33、34之例如金屬製的螺絲35,壓接而固定於下部電極20的側周面。因此,即便L字型構件4因溫度變化而在長度方向伸縮時,亦可抑制螺絲35所致之固定部分或各L字型構件4的應變。在各螺絲孔33、34中,係以覆蓋螺絲35之頭部的方式,經由密封材即O形環301,密合地設置有由例如與側部絕緣構件31相同之材料所構成的蓋部300。又,作為使蓋部300密合(嵌合)於各螺絲孔的構造,係不限於使用O形環301,亦可為例如將蓋部300旋入至螺絲孔33的構造。另外,螺絲35,係亦可由陶瓷等的絕緣材所構成,在該情況下,係亦可不設置蓋部300。 The side insulating member 31 is provided with screw holes 33 and 34 that penetrate from the first vertical surface 38 of the outer peripheral surface to the inner peripheral surface that is in contact with the lower electrode 20. The screw hole 33 is formed when the screw 35 is screwed, the L-shaped member 4 is fixed in all directions, and the screw hole 34 is screwed by the screw 35 to make the L-shaped The member 4 is movable in the longitudinal direction, and is formed as Long hole. Regarding the arrangement of the screw holes 33 and the screw holes 34, for example, the screw holes 33 are provided at the positions closest to the corners of the L-shaped member 4 and spaced from the screw holes 33 toward the end surface of the L-shaped member 4 A plurality or one screw hole 34 is provided. The side insulating member 31 is fixed to the side peripheral surface of the lower electrode 20 by crimping, for example, a metal screw 35 inserted into each screw hole 33, 34. Therefore, even when the L-shaped member 4 expands and contracts in the longitudinal direction due to temperature changes, the fixed portion of the screw 35 or the strain of each L-shaped member 4 can be suppressed. In each screw hole 33, 34, a cover part made of, for example, the same material as the side insulating member 31 is closely provided via an O-ring 301 which is a sealing material so as to cover the head of the screw 35 300. In addition, as a structure for closely fitting (fitting) the cover portion 300 to each screw hole, the O-ring 301 is not limited to the structure, and the cover portion 300 may be screwed into the screw hole 33, for example. In addition, the screw 35 may be made of an insulating material such as ceramics. In this case, the cover 300 may not be provided.

當說明補助絕緣構件32時,補助絕緣構件32中之側部絕緣構件31側的內側面,係形成為與側部絕緣構件31中之補助絕緣構件32側之外側面對應的形狀。亦即,與側部絕緣構件31之垂直的第1面38相接之垂直的面、與水平的第2面39相接之水平的面、與第3面相接之垂直的面,係從上部連續地形成階差構造。以將側部絕緣構件31嵌入於補助絕緣構件32之內側面側的方式,構成剖面呈矩形之環狀的絕緣體3,並且側部絕緣構件31與補助絕緣構件32所相接的面,係形成為中途彎曲的曲 徑構造。 When the auxiliary insulating member 32 is described, the inner side surface of the auxiliary insulating member 32 on the side insulating member 31 side is formed into a shape corresponding to the outer side surface of the side insulating member 31 on the side of the auxiliary insulating member 32 side. That is, the vertical surface that is in contact with the vertical first surface 38 of the side insulating member 31, the horizontal surface that is in contact with the horizontal second surface 39, and the vertical surface that is in contact with the third surface are from The upper part continuously forms a stepped structure. The insulator 3 having a rectangular cross section is formed in such a manner that the side insulating member 31 is embedded on the inner side of the auxiliary insulating member 32, and the surface where the side insulating member 31 and the auxiliary insulating member 32 are in contact is formed Curvy for halfway Path structure.

關係補助絕緣構件32,亦與側部絕緣構件31相同地,如圖3(b)所示,例如兩端部,係從上面觀察,由階差形狀即4個L字型構件5組合而構成,在例如常溫(25℃)中,以可在長度方向形成間隙的方式,組合4個L字型構件5而構成環狀的補助絕緣構件32。如圖2所示,補助絕緣構件32,係設置有從與側部絕緣構件31之水平的第2面39相接之水平的面貫穿至下方的螺絲孔37。作為螺絲孔37,係如側部絕緣構件31中的螺絲孔33、34般,包含有:螺絲孔,藉由加以螺固的方式,補助絕緣構件32被固定於所有方向;及螺絲孔,係在加以螺固後時,以使L字型構件5可在長度方向移動的方式,形成為長孔。為了方便起見,將該些螺絲孔總稱為37。該些螺絲孔之横方向(長度方向)的配列關係,係與側部絕緣構件31中的螺絲孔33、34相同。而且,補助絕緣構件32,係藉由被插入至各螺絲孔37之例如金屬製的螺絲36,壓接於絕緣間隔物構件28。另外,螺絲36,係亦可由陶瓷等的絕緣材所構成。 As shown in FIG. 3(b), as shown in FIG. 3(b), the relationship auxiliary insulating member 32 is composed of four L-shaped members 5 in a stepped shape, as shown in FIG. 3(b). For example, at normal temperature (25° C.), four L-shaped members 5 are combined to form a ring-shaped auxiliary insulating member 32 so that a gap can be formed in the longitudinal direction. As shown in FIG. 2, the auxiliary insulating member 32 is provided with a screw hole 37 penetrating downward from a horizontal surface that contacts the horizontal second surface 39 of the side insulating member 31. The screw holes 37, like the screw holes 33 and 34 in the side insulating member 31, include: screw holes, and the auxiliary insulating member 32 is fixed in all directions by screwing; and the screw holes are After screwing, the L-shaped member 5 is formed as a long hole so as to be movable in the longitudinal direction. For convenience, these screw holes are collectively referred to as 37. The arrangement of the screw holes in the lateral direction (longitudinal direction) is the same as the screw holes 33 and 34 in the side insulating member 31. Furthermore, the auxiliary insulating member 32 is crimped to the insulating spacer member 28 by, for example, a metal screw 36 inserted into each screw hole 37. In addition, the screw 36 may be composed of an insulating material such as ceramics.

為了避免使說明複雜化,而說明了側部絕緣構件31及補助絕緣構件32皆被4分割,但在具體之一例的構造中,係亦由例如直線型的構件等組合而構成,分割數,係多於4個。而且,在例如側部絕緣構件31的分割體與補助絕緣構件32的分割體中,將相互鄰接之分割體的接合位置設定於預定位置。而且,藉由使側部絕緣構件 31之螺絲孔33、34與補助絕緣構件32之螺絲孔37的位置在長度方向上挪移的方式,如前述,在被加以螺固後的狀態下,予以組裝側部絕緣構件31與補助絕緣構件32。另外,例如事先設定補助絕緣構件32的位置,即便將在載置台本體2壓接有側部絕緣構件31的組裝體插入至被補助絕緣構件32所包圍的區域中,亦可組裝載置台。 In order to avoid complicating the description, the side insulating member 31 and the auxiliary insulating member 32 are both divided into four, but in a specific example of the structure, it is also composed of a combination of linear members, for example, the number of divisions, There are more than 4 departments. In addition, for example, in the divided body of the side insulating member 31 and the divided body of the auxiliary insulating member 32, the joint position of the divided bodies adjacent to each other is set to a predetermined position. Moreover, by making the side insulating member The screw holes 33, 34 of the 31 and the screw holes 37 of the auxiliary insulating member 32 are moved in the longitudinal direction, as described above, the side insulating member 31 and the auxiliary insulating member are assembled in the state of being screwed 32. In addition, for example, the position of the auxiliary insulating member 32 is set in advance, and even if the assembly in which the side insulating member 31 is crimped to the mounting table body 2 is inserted into the area surrounded by the auxiliary insulating member 32, the mounting table can be assembled.

在絕緣體3的上面亦即側部絕緣構件31及補助絕緣構件32的上面,係橫跨該些上面,設置有由將下部電極20包圍成環狀之例如氧化鋁燒結體等之絕緣材所構成的環構件即聚焦環6。又,從絕緣體3之外側面沿著絕緣間隔物構件28的外側面,且沿著載置台本體2的全周,被由與例如聚焦環6相同材質所構成的外周絕緣構件60予以覆蓋。 The upper surface of the insulator 3, that is, the upper surfaces of the side insulating member 31 and the auxiliary insulating member 32, spans these upper surfaces, and is provided with an insulating material such as an alumina sintered body that surrounds the lower electrode 20 in a ring shape The ring member is the focus ring 6. Moreover, the outer peripheral insulating member 60 made of the same material as the focus ring 6 is covered from the outer surface of the insulator 3 along the outer surface of the insulating spacer member 28 and along the entire circumference of the stage body 2, for example.

在處理容器10的上面,係設置有噴頭7。在噴頭7,係以與載置台本體2之載置面相對向的方式,設置有形成了多數個氣體供給孔71的噴淋板70。在噴淋板70的上方,係經由氣體分散室72,連接有處理氣體供給管74的下游端。在處理氣體供給管74,係從上游側依該順序設置有處理氣體供給源75、流量調整部76及閥77。該噴頭7,係接地,與下部電極20一起兼用為構成形成為電漿產生部之一對平行板電極的上部電極。因此,下部電極20、噴頭7、匹配器94及高頻電源,係相當於電漿產生部。 On the upper surface of the processing container 10, a shower head 7 is provided. The shower head 7 is provided with a shower plate 70 formed with a plurality of gas supply holes 71 so as to face the mounting surface of the mounting table body 2. Above the shower plate 70, a downstream end of the processing gas supply pipe 74 is connected via a gas dispersion chamber 72. The processing gas supply pipe 74 is provided with a processing gas supply source 75, a flow rate adjusting section 76, and a valve 77 in this order from the upstream side. The shower head 7 is grounded, and is used together with the lower electrode 20 as an upper electrode configured as a pair of parallel plate electrodes formed as a plasma generating portion. Therefore, the lower electrode 20, the shower head 7, the matching device 94, and the high-frequency power supply correspond to the plasma generating section.

又,在處理容器10的底面中,係在其緣部,沿著全 周等間隔地形成有開口的複數個排氣口15,在各排氣口15,係經由排氣管16,設置有真空排氣部17。 In addition, the bottom surface of the processing container 10 is tied to its edge A plurality of exhaust ports 15 that are open at regular intervals are formed, and each exhaust port 15 is provided with a vacuum exhaust unit 17 via an exhaust pipe 16.

接著,以例如蝕刻處理為例,說明電漿處理裝置的作用。當電漿處理裝置運轉時,被處理基板即玻璃基板G,係藉由外部之搬送臂與升降銷的協同作用,被載置於下部電極20。其次,在將閘閥13關閉後,對下部電極20與玻璃基板G之間供給傳熱氣體,並且開始靜電夾具之吸附而保持玻璃基板G。 Next, the operation of the plasma processing apparatus will be described using, for example, an etching process. When the plasma processing apparatus is in operation, the glass substrate G to be processed is placed on the lower electrode 20 by the cooperative action of the external transfer arm and the lift pins. Next, after the gate valve 13 is closed, the heat transfer gas is supplied between the lower electrode 20 and the glass substrate G, and the attraction of the electrostatic jig is started to hold the glass substrate G.

其次,將包含有例如CF4或Cl2等之蝕刻氣體的處理氣體從噴頭7供給至處理容器10內,並且從排氣口15進行真空排氣,將處理容器10內的壓力調整為預定壓力。其後,從高頻電源93經由匹配器94,對下部電極20本體施加電漿生成用之高頻電力,使下部電極20與噴頭7之間產生高頻的電場。供給至處理容器10內的處理氣體,係藉由在下部電極20與噴頭7之間產生的高頻電場來予以激發,而生成處理氣體的電漿。又,包含於電漿化的離子從高頻電源95被吸引至下部電極20,從而對玻璃基板G的被處理膜進行蝕刻處理。 Next, a processing gas containing an etching gas such as CF 4 or Cl 2 is supplied from the shower head 7 into the processing container 10, and vacuum exhaust is performed from the exhaust port 15 to adjust the pressure in the processing container 10 to a predetermined pressure . Thereafter, high-frequency power for plasma generation is applied from the high-frequency power supply 93 to the body of the lower electrode 20 via the matching device 94, and a high-frequency electric field is generated between the lower electrode 20 and the shower head 7. The processing gas supplied into the processing container 10 is excited by a high-frequency electric field generated between the lower electrode 20 and the shower head 7 to generate a plasma of the processing gas. Further, the ions contained in the plasma are attracted from the high-frequency power supply 95 to the lower electrode 20, and the film to be processed of the glass substrate G is etched.

由於在載置台本體2的周圍,係設置有由絕緣材所構成的聚焦環6,因此,載置台本體2之周圍的電漿會被聚焦於載置台本體2側,藉此,可抑制玻璃基板G之周緣部中電漿密度的下降。圖5,雖係表示在載置台本體2形成有凸緣81的以往構造,但在該情況下,係在聚焦環6的下方存在有金屬體即凸緣81。因此,聚焦環6 的表面會曝露於垂直方向強的電場,而須擔心被電漿中的活性種削去。因此,圖中82,係用以固定聚焦環6及下部電極80的螺絲,83,係覆蓋固定聚焦環6之螺絲82之頭部的蓋部。 Since the focusing ring 6 made of an insulating material is provided around the mounting table body 2, the plasma around the mounting table body 2 is focused on the mounting table body 2 side, thereby suppressing the glass substrate The plasma density in the periphery of G decreases. FIG. 5 shows a conventional structure in which a flange 81 is formed on the stage body 2, but in this case, a flange 81 that is a metal body exists below the focus ring 6. Therefore, focus ring 6 The surface will be exposed to a strong electric field in the vertical direction, and you must worry about being cut off by the active species in the plasma. Therefore, in the figure, 82 is a screw for fixing the focus ring 6 and the lower electrode 80, and 83 is a cover covering the head of the screw 82 for fixing the focus ring 6.

相對於此,在上述的實施形態中,係如圖1、2所示,設成為如下述之構成:將包含有下部電極20之載置台本體2的側周面從上面至下面設成為平坦的面,並不設置凸緣。因此,如圖6所示,在聚焦環6的表面,係難以形成有垂直方向強的電場,可抑制電漿中之活性種對聚焦環6之表面的衝突,並抑制聚焦環6之削去,從而可抑制微粒的產生。而且,由於可抑制相對於聚焦環6之垂直方向的電場,因此,可減低電力的損失。 On the other hand, in the above-mentioned embodiment, as shown in FIGS. 1 and 2, it is configured as follows: the side peripheral surface of the stage body 2 including the lower electrode 20 is flattened from the top to the bottom Surface, no flange is provided. Therefore, as shown in FIG. 6, it is difficult to form a strong electric field in the vertical direction on the surface of the focus ring 6, which can suppress the collision of the active species in the plasma on the surface of the focus ring 6 and suppress the removal of the focus ring 6 , Which can suppress the generation of particles. Furthermore, since the electric field in the vertical direction with respect to the focus ring 6 can be suppressed, the power loss can be reduced.

進一步說明側部絕緣構件31及補助絕緣構件32的優點。下部電極20即靜電夾具單元的外周面,雖係被熔射膜21所覆蓋,但層積於下部電極20下之傳熱氣體擴散板25的外周面,係沒有被熔射膜21所被覆,金屬表面會露出或經耐酸鋁加工。因此,電漿欲朝向傳熱氣體擴散板25的外周面。如圖7所示的粗線,係表示電漿朝向傳熱氣體擴散板25之外周面的路徑。 The advantages of the side insulating member 31 and the auxiliary insulating member 32 will be further described. The outer surface of the lower electrode 20, that is, the electrostatic jig unit, is covered by the spray film 21, but the outer surface of the heat transfer gas diffusion plate 25 stacked under the lower electrode 20 is not covered by the spray film 21. The metal surface will be exposed or processed with alumite. Therefore, the plasma is intended to face the outer peripheral surface of the heat transfer gas diffusion plate 25. The thick line shown in FIG. 7 indicates the path of the plasma toward the outer peripheral surface of the heat transfer gas diffusion plate 25.

由於路徑越短則電漿越易侵入,因此,電漿最易侵入的路徑,係側部絕緣構件31與下部電極20之間的間隙即路徑P1。然而,由於側部絕緣構件31,係被壓接於下部電極20的側周面,因此,難以從電漿空間通過路徑P1看到傳熱氣體擴散板25的外周面。因此,電漿, 雖係欲從聚焦環6與下部電極20或外周絕緣構件60之間,經由側部絕緣構件31與補助絕緣構件32之間,通過朝向傳熱氣體擴散板25的路徑P2,但由於側部絕緣構件31與補助絕緣構件32之間,係形成為曲徑構造,因此,在該路徑P2,亦無法從電漿空間看到傳熱氣體擴散板25。 The shorter the path, the easier the plasma enters. Therefore, the path where the plasma is most likely to enter is the path P1 which is the gap between the side insulating member 31 and the lower electrode 20. However, since the side insulating member 31 is crimped to the side peripheral surface of the lower electrode 20, it is difficult to see the outer peripheral surface of the heat transfer gas diffusion plate 25 from the plasma space through the path P1. Therefore, plasma, Although it is intended to pass the path P2 toward the heat transfer gas diffusion plate 25 from between the focus ring 6 and the lower electrode 20 or the outer peripheral insulating member 60, through the side insulating member 31 and the auxiliary insulating member 32, the side insulating Since the member 31 and the auxiliary insulating member 32 are formed in a labyrinth structure, the heat transfer gas diffusion plate 25 cannot be seen from the plasma space even in this path P2.

又,關於從聚焦環6與外周絕緣構件60之間,沿著外周絕緣構件60與補助絕緣構件32之間及絕緣間隔物構件28的表面朝向傳熱氣體擴散板25的路徑P3,亦由於補助絕緣構件32是藉由螺絲36被壓接於絕緣間隔物構件28,故電漿變得難以侵入。因此,可抑制在未形成有熔射膜21之傳熱氣體擴散板25的外周面引起異常放電。 Also, the path P3 from the focus ring 6 and the outer peripheral insulating member 60 to the heat transfer gas diffusion plate 25 along the surface between the outer peripheral insulating member 60 and the auxiliary insulating member 32 and the surface of the insulating spacer member 28 is also due to the auxiliary The insulating member 32 is crimped to the insulating spacer member 28 by the screw 36, so that the plasma becomes difficult to invade. Therefore, it is possible to suppress the occurrence of abnormal discharge on the outer peripheral surface of the heat transfer gas diffusion plate 25 where the spray film 21 is not formed.

上述的實施形態,係設成為如下述之構成:將載置有玻璃基板G之載置台本體2設成為從上面至下面之側周面呈平坦柱狀的構造,並在聚焦環6之下方側,以包圍載置台本體2且壓接於載置台本體2之側周面的方式,設置側部絕緣構件31。因此,由於在聚焦環6的正下方,係不存在有載置台本體2,因此,在聚焦環6不會產生垂直方向的電場,而可抑制聚焦環6之削去。又,使側部絕緣構件31壓接於下部電極20的側周面,並使補助絕緣構件32壓接於絕緣間隔物構件28,並且將側部絕緣構件31與補助絕緣構件32的間隙設成為曲徑構造。因此,可抑制下部電極20之下方之傳熱氣體擴散板25中的 異常放電。 The above-described embodiment is configured as follows: the stage body 2 on which the glass substrate G is placed is configured to have a flat columnar structure from the upper surface to the lower surface, and is located below the focus ring 6 A side insulating member 31 is provided so as to surround the mounting table body 2 and be pressure-contacted to the side peripheral surface of the mounting table body 2. Therefore, since the stage body 2 does not exist directly under the focus ring 6, the vertical electric field is not generated at the focus ring 6, and the cutting of the focus ring 6 can be suppressed. Furthermore, the side insulating member 31 is crimped to the side peripheral surface of the lower electrode 20, the auxiliary insulating member 32 is crimped to the insulating spacer member 28, and the gap between the side insulating member 31 and the auxiliary insulating member 32 is set to Labyrinth structure. Therefore, the heat transfer gas diffusion plate 25 below the lower electrode 20 can be suppressed Abnormal discharge.

又,亦可構成為在聚焦環6的下面側與絕緣體3或外周絕緣構件60的上面側,設置相互卡合的凹部與凸部,使聚焦環6卡合於絕緣體3或外周絕緣構件60並進行固定。 In addition, a concave portion and a convex portion that are engaged with each other may be provided on the lower surface side of the focus ring 6 and the upper surface side of the insulator 3 or the outer peripheral insulating member 60 so that the focus ring 6 is engaged with the insulator 3 or the outer peripheral insulating member 60 and To be fixed.

又,作為本發明之實施形態之其他例的載置台,如前述的實施形態所示,並不限於在下部電極20即靜電夾具單元設置調溫流路即冷卻器流路。例如如圖8所示,亦可為如下述之構成:在上層側的下部電極100即靜電夾具單元,係不設置冷卻器流路,而在下層側的下部電極101設置冷卻器流路102。在該情況下,上層側的下部電極100,係由例如由SUS(不鏽鋼)所構成,下層側的下部電極101,係藉由例如鋁所構成。在像這樣之構成的情況下,亦可獲得與前述之實施形態相同的效果,並可抑制下層側之下部電極101中的異常放電。 In addition, as another example of the embodiment of the present invention, the mounting table is not limited to providing a cooler flow path, which is a temperature control flow path, in the electrostatic clamp unit, which is the lower electrode 20, as shown in the aforementioned embodiment. For example, as shown in FIG. 8, the configuration may be such that the lower electrode 100 on the upper layer side, that is, the electrostatic jig unit, is not provided with a cooler channel, and the lower electrode 101 on the lower layer side is provided with a cooler channel 102. In this case, the lower electrode 100 on the upper layer side is made of, for example, SUS (stainless steel), and the lower electrode 101 on the lower layer side is made of, for example, aluminum. In the case of such a configuration, the same effect as the aforementioned embodiment can be obtained, and abnormal discharge in the lower electrode 101 on the lower layer side can be suppressed.

又,亦可在下部電極20的外周面設置開口部呈圓形且後方側膨大的凹部,並且在側部絕緣構件31設置與凹部對應之形狀的凸部,而使其相互嵌合並壓接。在該情況下,以使開口部面臨凹部之後方部的方式,在周方向設置具有彈性力的彈簧,並以在凹部擴裝彈簧的方式插入凸部,藉此,側部絕緣構件31便形成為被壓接於下部電極20的狀態。 In addition, a concave portion having an opening that is circular and enlarged on the rear side may be provided on the outer peripheral surface of the lower electrode 20, and a convex portion having a shape corresponding to the concave portion may be provided on the side insulating member 31 to be fitted and pressed against each other. In this case, a spring having an elastic force is provided in the circumferential direction so that the opening faces the rear part of the recess, and the convex portion is inserted to expand the spring in the recess, whereby the side insulating member 31 is formed It is in a state of being crimped to the lower electrode 20.

又,載置台本體2,係不限於角柱,亦可為圓柱。而且,載置台本體2的側周面呈平坦時,係並非意味 著僅完全之平坦面的意思,即便具有實質上獲得本發明之效果之程度的略微凹凸,或即便稍微傾斜,亦包含於「平坦面」的意思。 Moreover, the mounting table body 2 is not limited to a corner post, and may be a column. Moreover, when the side peripheral surface of the mounting table body 2 is flat, it does not mean The meaning of only a completely flat surface is included in the meaning of "flat surface" even if it has a slight unevenness to the extent that the effect of the present invention is substantially obtained, or even if it is slightly inclined.

再者,本發明之電漿處理裝置,係不限於平行平板型,亦可應用於ICP(Inductive Coupled Plasma)電漿處理裝置,該ICP電漿處理裝置,係對處理氣體,賦予藉由例如對設置於真空容器之頂部的天線供給高頻電力的方式所感應的電場及磁場,從而生成電漿。亦即,電漿,係不限於電容耦合型,亦可為感應耦合型。又,作為電漿處理,係不限於蝕刻,亦可為在基板進行成膜的電漿成膜處理。而且,不限於載置台本體2為電極,在例如感應電漿處理裝置中,即便為未連接於電源的金屬柱,亦可獲得本發明之效果。 Furthermore, the plasma processing apparatus of the present invention is not limited to the parallel flat plate type, and can also be applied to an ICP (Inductive Coupled Plasma) plasma processing apparatus. The ICP plasma processing apparatus is provided with a process gas, for example, by The electric field and the magnetic field induced by the antenna provided on the top of the vacuum vessel in the manner of supplying high-frequency power generate plasma. That is, the plasma is not limited to the capacitive coupling type, but may also be an inductive coupling type. In addition, the plasma treatment is not limited to etching, and may be a plasma film-forming treatment for forming a film on a substrate. Furthermore, it is not limited that the mounting table body 2 is an electrode, and for example, in an induction plasma processing apparatus, even if it is a metal column not connected to a power source, the effect of the present invention can be obtained.

又,側部絕緣構件31及補助絕緣構件32,雖係設成為分別組合L字型構件4、5的構成,但亦可組合直線形的構成。在該情況下,亦如前述,亦可構成為將可對螺絲全方向地進行移動的螺絲孔與可在長邊方向移動的螺絲孔加以組合,而吸收側部絕緣構件31及補助絕緣構件32各自的熱膨脹。 In addition, although the side insulating member 31 and the auxiliary insulating member 32 are configured to combine the L-shaped members 4 and 5, respectively, a linear configuration may be combined. In this case, as described above, it may be configured to combine the screw hole that can move the screw in all directions and the screw hole that can move in the longitudinal direction, and absorb the side insulating member 31 and the auxiliary insulating member 32 The respective thermal expansion.

而且,不限於對玻璃基板G進行電漿處理的電漿處理裝置,亦可為對圓板狀之例如直徑300mm晶圓進行電漿處理的電漿處理裝置。 Moreover, it is not limited to a plasma processing apparatus that performs plasma processing on the glass substrate G, but may also be a plasma processing apparatus that performs plasma processing on a wafer-shaped wafer having a diameter of 300 mm, for example.

2‧‧‧載置台本體 2‧‧‧Mounting table body

3‧‧‧絕緣體 3‧‧‧Insulator

6‧‧‧聚焦環 6‧‧‧focus ring

10‧‧‧處理容器 10‧‧‧Handling container

20‧‧‧下部電極 20‧‧‧Lower electrode

21‧‧‧熔射膜 21‧‧‧Melting film

25‧‧‧傳熱氣體擴散板 25‧‧‧ Heat transfer gas diffusion plate

28‧‧‧絕緣間隔物構件 28‧‧‧Insulation spacer member

31‧‧‧側部絕緣構件 31‧‧‧Side insulation member

32‧‧‧補助絕緣構件 32‧‧‧Subsidiary insulation components

34‧‧‧螺絲孔 34‧‧‧Screw hole

35‧‧‧螺絲 35‧‧‧screw

36‧‧‧螺絲 36‧‧‧screw

37‧‧‧螺絲孔 37‧‧‧Screw hole

38‧‧‧第1面 38‧‧‧ Face 1

39‧‧‧第2面 39‧‧‧The second side

40‧‧‧第3面 40‧‧‧3rd

60‧‧‧外周絕緣構件 60‧‧‧Peripheral insulating member

90‧‧‧O形環 90‧‧‧O-ring

91‧‧‧O形環 91‧‧‧O-ring

92‧‧‧O形環 92‧‧‧O-ring

200‧‧‧靜電夾具 200‧‧‧Static fixture

201‧‧‧電極 201‧‧‧electrode

202‧‧‧直流電源 202‧‧‧DC power supply

300‧‧‧蓋部 300‧‧‧Cap

301‧‧‧O形環 301‧‧‧O-ring

Claims (10)

一種載置台,係為了在用以對基板進行電漿處理之真空容器內載置前述基板而設置,該載置台,其特徵係,具備有:金屬製之載置台本體,載置有基板,且為柱狀;環構件,其上面面臨進行前述電漿處理的電漿處理空間,並由設置為包圍前述載置台本體的絕緣材所構成;及側部絕緣構件,在前述環構件之下方側,以包圍前述載置台本體的方式予以設置,並壓接於前述載置台本體的側周面而設置。 A mounting table is provided for mounting the substrate in a vacuum container for plasma processing of the substrate. The mounting table is characterized by comprising: a metal mounting table body on which the substrate is mounted, and It is columnar; the ring member, whose upper surface faces the plasma processing space where the plasma treatment is performed, and is composed of an insulating material provided to surround the mounting table body; and a side insulating member, below the ring member, It is installed so as to surround the main body of the mounting table, and is pressure-bonded to the side peripheral surface of the main body of the mounting table. 如申請專利範圍第1項之載置台,其中,前述載置台本體,係從上面至下面的側周面呈平坦。 A mounting table as claimed in item 1 of the patent application, wherein the main body of the mounting table is flat from the upper surface to the lower surface. 如申請專利範圍第1或2項之載置台,其中,前述側部絕緣構件,係藉由螺紋構件,從外周面側朝向前述載置台本體的側周面緊固。 According to the mounting table of claim 1 or 2, the side insulating member is fastened from the outer peripheral surface side to the side peripheral surface of the mounting table body by a screw member. 如申請專利範圍第3項之載置台,其中,前述螺紋構件,係金屬製,前述螺紋構件的頭部,係配置於前述側部絕緣構件的螺絲孔,前述螺絲孔,係被絕緣材的蓋部予以覆蓋。 A mounting table as claimed in item 3 of the patent scope, wherein the screw member is made of metal, the head of the screw member is a screw hole arranged in the side insulating member, and the screw hole is covered by an insulating material The Ministry will be covered. 如申請專利範圍第3項之載置台,其中,前述螺紋構件,係絕緣材。 For example, the mounting table of item 3 of the patent application scope, wherein the aforementioned screw member is an insulating material. 如申請專利範圍第4項之載置台,其中,以面接觸於前述側部絕緣構件之外周面,並從其外周 面觀察,無法直接看到前述螺紋構件之配置區域的方式,設置有補助絕緣構件。 A mounting table as claimed in item 4 of the patent scope, in which the outer peripheral surface of the side insulating member is in surface contact with the outer periphery In the surface observation, the auxiliary insulating member is provided so that the arrangement area of the screw member cannot be directly seen. 如申請專利範圍第6項之載置台,其中,以從電漿處理空間觀看形成曲徑的方式,構成前述側部絕緣構件與前述補助絕緣構件之間的間隙。 A mounting table according to item 6 of the patent application scope, wherein the gap between the side insulating member and the auxiliary insulating member is formed so as to form a labyrinth when viewed from the plasma processing space. 如申請專利範圍第7項之載置台,其中,前述側部絕緣構件的外周面,係具備有從上部側依序而連續地形成有與前述載置台本體之側周面平行的第1面、與該側周面垂直的第2面、與該側周面平行的第3面並且第1面位於比第2面更外側的部位,前述補助絕緣構件,係藉由螺紋構件,從面接觸於前述第2面的面,朝向從下方側支撐該補助絕緣構件的支撐構件緊固。 A mounting table as claimed in item 7 of the patent scope, wherein the outer peripheral surface of the side insulating member is provided with a first surface which is formed in parallel with the side peripheral surface of the mounting table body sequentially from the upper side, The second surface perpendicular to the side peripheral surface, the third surface parallel to the side peripheral surface, and the first surface are located outside the second surface. The auxiliary insulating member is contacted from the surface by a screw member The surface of the second surface is fastened toward the supporting member that supports the auxiliary insulating member from below. 如申請專利範圍第1或2項之載置台,其中,前述載置台本體的上部側,係藉由靜電夾具所構成,該靜電夾具,係上面及側周面被絕緣性的熔射膜所覆蓋,前述側部絕緣構件中之被壓接於載置台本體之側周面的面,係從被前述熔射膜所覆蓋的部位,沿著位於該部位之下方側之未覆蓋熔射膜的部位延伸。 A mounting table as claimed in item 1 or 2 of the patent application, wherein the upper side of the main body of the mounting table is constituted by an electrostatic jig, and the upper surface and side peripheral surfaces are covered with an insulating spray film , The surface of the side insulating member that is crimped to the side peripheral surface of the mounting table body is from a part covered by the melt-spraying film, along a part that is not covered by the melt-spraying film and is located below the part extend. 一種電漿處理裝置,其特徵係,具備有:如申請專利範圍第1~9項中任一項的載置台,設置於真空容器內;氣體供給部,對前述真空容器內供給用以電漿化的處理氣體;及 電漿產生部,用以在真空容器內產生電場,使前述處理氣體電漿化。 A plasma processing apparatus, characterized in that it is provided with: a mounting table according to any one of patent application items 1 to 9, which is installed in a vacuum container; and a gas supply unit which supplies plasma for the inside of the vacuum container Process gas; and The plasma generating part is used to generate an electric field in the vacuum container to plasmaize the processing gas.
TW104143399A 2014-12-26 2015-12-23 Mounting table and plasma processing device TWI692796B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014265670A JP6540022B2 (en) 2014-12-26 2014-12-26 Mounting table and plasma processing apparatus
JP2014-265670 2014-12-26

Publications (2)

Publication Number Publication Date
TW201637065A TW201637065A (en) 2016-10-16
TWI692796B true TWI692796B (en) 2020-05-01

Family

ID=56296091

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104143399A TWI692796B (en) 2014-12-26 2015-12-23 Mounting table and plasma processing device

Country Status (4)

Country Link
JP (1) JP6540022B2 (en)
KR (1) KR101850193B1 (en)
CN (1) CN105742146B (en)
TW (1) TWI692796B (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106206385A (en) * 2016-09-27 2016-12-07 上海华力微电子有限公司 A kind of chamber in-vivo metal that reduces pollutes etching polysilicon chamber and the method for content
JP6794937B2 (en) * 2017-06-22 2020-12-02 東京エレクトロン株式会社 Plasma processing equipment
JP6969182B2 (en) * 2017-07-06 2021-11-24 東京エレクトロン株式会社 Plasma processing equipment
JP7055040B2 (en) * 2018-03-07 2022-04-15 東京エレクトロン株式会社 Placement device and processing device for the object to be processed
JP7090465B2 (en) * 2018-05-10 2022-06-24 東京エレクトロン株式会社 Mounting table and plasma processing equipment
JP7228989B2 (en) * 2018-11-05 2023-02-27 東京エレクトロン株式会社 PLACE, EDGE RING POSITIONING METHOD, AND SUBSTRATE PROCESSING APPARATUS
JP7274347B2 (en) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 Plasma processing equipment
KR20210056646A (en) 2019-11-11 2021-05-20 삼성전자주식회사 Apparatus for plasma processing
CN111996590B (en) * 2020-08-14 2021-10-15 北京北方华创微电子装备有限公司 Process chamber
TW202232564A (en) * 2020-10-15 2022-08-16 日商東京威力科創股份有限公司 Fastening structure, plasma processing apparatus, and fastening method
CN113192876A (en) * 2021-04-30 2021-07-30 北京北方华创微电子装备有限公司 Semiconductor equipment and bearing device thereof
JP2023067033A (en) 2021-10-29 2023-05-16 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201001592A (en) * 2008-03-11 2010-01-01 Tokyo Electron Ltd Loading table structure and processing device
CN203503602U (en) * 2013-10-18 2014-03-26 中芯国际集成电路制造(北京)有限公司 Etching structure
TW201423904A (en) * 2012-12-06 2014-06-16 Beijing Nmc Co Ltd Electrostatic chuck and plasma processing device
TW201428845A (en) * 2012-09-29 2014-07-16 Advanced Micro Fab Equip Inc Plasma processing apparatus and method for adjusting process rate of substrate edge region
US20140213055A1 (en) * 2011-08-17 2014-07-31 Tokyo Electron Limited Semiconductor manufacturing device and processing method
JP2014222786A (en) * 2008-08-15 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation Temperature controlled hot edge ring assembly

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3957719B2 (en) * 2004-02-27 2007-08-15 川崎マイクロエレクトロニクス株式会社 Plasma processing apparatus and plasma processing method
JP2005260011A (en) 2004-03-12 2005-09-22 Hitachi High-Technologies Corp Method and device for wafer processing
JP2006016126A (en) 2004-06-30 2006-01-19 Hitachi Building Systems Co Ltd Elevator control device
JP4992630B2 (en) * 2007-09-19 2012-08-08 東京エレクトロン株式会社 Mounting table structure and processing device
KR101592061B1 (en) * 2008-10-31 2016-02-04 램 리써치 코포레이션 Lower electrode assembly of plasma processing chamber
JP6034156B2 (en) * 2011-12-05 2016-11-30 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP5893516B2 (en) * 2012-06-22 2016-03-23 東京エレクトロン株式会社 Processing apparatus for processing object and mounting table for processing object
JP6400273B2 (en) * 2013-03-11 2018-10-03 新光電気工業株式会社 Electrostatic chuck device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201001592A (en) * 2008-03-11 2010-01-01 Tokyo Electron Ltd Loading table structure and processing device
JP2014222786A (en) * 2008-08-15 2014-11-27 ラム リサーチ コーポレーションLam Research Corporation Temperature controlled hot edge ring assembly
US20140213055A1 (en) * 2011-08-17 2014-07-31 Tokyo Electron Limited Semiconductor manufacturing device and processing method
TW201428845A (en) * 2012-09-29 2014-07-16 Advanced Micro Fab Equip Inc Plasma processing apparatus and method for adjusting process rate of substrate edge region
TW201423904A (en) * 2012-12-06 2014-06-16 Beijing Nmc Co Ltd Electrostatic chuck and plasma processing device
CN203503602U (en) * 2013-10-18 2014-03-26 中芯国际集成电路制造(北京)有限公司 Etching structure

Also Published As

Publication number Publication date
CN105742146B (en) 2018-01-05
CN105742146A (en) 2016-07-06
TW201637065A (en) 2016-10-16
JP2016127090A (en) 2016-07-11
JP6540022B2 (en) 2019-07-10
KR20160079662A (en) 2016-07-06
KR101850193B1 (en) 2018-04-18

Similar Documents

Publication Publication Date Title
TWI692796B (en) Mounting table and plasma processing device
CN109216148B (en) Plasma processing apparatus
JP6728196B2 (en) Ceramic electrostatic chuck bonded to metal base by high temperature polymer bonding
KR102434559B1 (en) Mounting table and plasma processing apparatus
JP5936361B2 (en) Plasma processing equipment
KR101672856B1 (en) Plasma processing apparatus
KR101850355B1 (en) Plasma processing apparatus
TW201435124A (en) Showerhead having a detachable gas distribution plate
TWI601205B (en) Plasma processing container and plasma processing device
JP2009290087A (en) Focus ring, and plasma processing apparatus
TW201717710A (en) Plasma processing device which is provided with plasma resistance and has a light-weight metal window
JP7381713B2 (en) Process kit sheath and temperature control
TWI549221B (en) Electrostatic fixture
US10950415B2 (en) Plasma processing apparatus and plasma processing method
JP7308767B2 (en) Mounting table and plasma processing device
JP7361588B2 (en) Edge ring and substrate processing equipment
US11721529B2 (en) Bonding structure and bonding method for bonding first conductive member and second conductive member, and substrate processing apparatus
KR100683255B1 (en) Plasma processing apparatus and exhausting device
US20230118651A1 (en) Replaceable electrostatic chuck outer ring for edge arcing mitigation
CN108461441B (en) Bearing device and process chamber
JP6794937B2 (en) Plasma processing equipment
KR20160079689A (en) Substrate stage and plasma processing apparatus
KR102324032B1 (en) Substrate supporting module and substrate processing apparatus having the same