TWI666336B - Method of selectively depositing a material on a substrate - Google Patents

Method of selectively depositing a material on a substrate Download PDF

Info

Publication number
TWI666336B
TWI666336B TW105103434A TW105103434A TWI666336B TW I666336 B TWI666336 B TW I666336B TW 105103434 A TW105103434 A TW 105103434A TW 105103434 A TW105103434 A TW 105103434A TW I666336 B TWI666336 B TW I666336B
Authority
TW
Taiwan
Prior art keywords
substrate
nickel
sih
cobalt
iron
Prior art date
Application number
TW105103434A
Other languages
Chinese (zh)
Other versions
TW201638377A (en
Inventor
蘇維 P. 賀加
艾娃 多益斯
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201638377A publication Critical patent/TW201638377A/en
Application granted granted Critical
Publication of TWI666336B publication Critical patent/TWI666336B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明提供用於相對於不同的第二表面、選擇性地在基 板的表面上沈積材料的方法。一種示例性沈積方法可包括:相對於基板的不同的第二表面(例如H封端的表面)、選擇性地在同一基板的第一表面(例如SiO2表面)上沈積材料,例如包含鎳、氮化鎳、鈷、鐵、及/或氧化鈦的材料。方法可包括:在沈積之前對基板的表面進行處理以提供H封端。 The present invention provides a method for selectively depositing a material on a surface of a substrate with respect to a different second surface. An exemplary deposition methods may include: with respect to a second surface different (e.g., H-terminated surface) of the substrate, the first selective surface (e.g. the surface of SiO 2) is deposited on the same substrate material, for example comprising nickel, nitrogen Nickel, cobalt, iron, and / or titanium oxide materials. The method may include processing a surface of the substrate to provide an H-terminus prior to deposition.

Description

選擇性地在基板上沈積材料的方法 Method for selectively depositing material on substrate

本申請案主張於2015年2月3日提出申請的美國臨時申請案第62/111,508號(名稱為「選擇性沈積(SELECTIVE DEPOSITION)」)的優先權,所述美國臨時申請案的揭露內容全文併入本案供參考。 This application claims the priority of U.S. Provisional Application No. 62 / 111,508 (named "SELECTIVE DEPOSITION") filed on February 3, 2015, and the full disclosure content of the said U.S. Provisional Application Incorporated into this case for reference.

本發明是有關於在相對於第二表面的基板的第一表面上進行的選擇性沈積。此外,可使用進一步處理以接著在相對於所述第一表面的所述第二表面上沈積不同的材料。 The present invention relates to selective deposition performed on a first surface of a substrate opposite to a second surface. Further, further processing may be used to subsequently deposit a different material on the second surface relative to the first surface.

在用於製作越來越小的結構的半導體工業中需要選擇性沈積製程。 Selective deposition processes are needed in the semiconductor industry for making smaller and smaller structures.

當前藉由其中在半導體基板上以預定佈置依序構造各種材料層的複雜製程來製造積體電路。 Integrated circuits are currently manufactured by a complicated process in which various material layers are sequentially constructed in a predetermined arrangement on a semiconductor substrate.

常常藉由在整個半導體基板表面上沈積材料、然後自基板的預定區域移除所述材料(例如藉由沈積遮罩層及後續選擇性蝕刻製程)來達成所述基板上的材料的預定佈置。 A predetermined arrangement of the material on the substrate is often achieved by depositing a material over the entire surface of the semiconductor substrate and then removing the material from a predetermined area of the substrate (eg, by depositing a masking layer and a subsequent selective etching process).

在某些情形中,可藉由利用選擇性沈積製程來減少有關 在基板上製造積體表面的步驟的數目,其中在相對於第二表面的第一表面上選擇性地沈積材料。眾所習知,藉由例如原子層沈積(atomic layer deposition,ALD)等氣相沈積製程來達成選擇性沈積是非常困難的。通常,使用長碳鏈自組裝單層(self-assembled monolayer,SAM)而在所選擇的表面上引導膜生長。 In some cases, this can be reduced by using a selective deposition process. The number of steps of fabricating a surface of a compact on a substrate, wherein a material is selectively deposited on a first surface opposite a second surface. It is well known that it is very difficult to achieve selective deposition by a vapor deposition process such as atomic layer deposition (ALD). Generally, a long carbon chain self-assembled monolayer (SAM) is used to direct membrane growth on a selected surface.

在某些態樣中,提供沈積方法。在某些實施例中,可提供包括第一表面及不同的第二表面的基板。在某些實施例中,第一表面包含至少一個AHx封端,其中A為氮、氧或硫中的一者或多者,且x為1至2,以及第二表面為氫(H)封端的表面。在某些實施例中,可使基板接觸包含鎳(Ni)、鈦(Ti)、鐵(Fe)或鈷(Co)的第一氣相前驅物,以藉此相對於基板的第二表面、選擇性地在同一基板的第一表面上沈積包含鎳、鈦、鐵或鈷的材料。在某些實施例中,選擇性地沈積的材料可包含鎳或鈷。在某些實施例中,沈積方法可更包括:使基板接觸第二氣相反應物。在某些實施例中,H封端的第二表面可藉由在沈積薄膜之前對基板表面的至少一部分進行處理而形成。在某些實施例中,H封端的第二表面可藉由以氫氟酸(HF)蝕刻對基板表面的至少一部分進行處理而形成。在某些實施例中,H封端的第二表面可藉由以矽化合物對基板表面的至少一部分進行處理而形成,所述矽化合物包含ClSiH3或(RIRIIN)SiH3,其中RI及RII獨立地選自例如是甲基、乙基、正丙基、異丙基、正丁基、異丁基等的C1-C4烷基。在某些 實施例中,第一表面可包含至少一個OH封端。在某些實施例中,第一表面可包含SiO2。在某些實施例中,第一表面可為低K絕緣體。在某些實施例中,第一表面可包含氧化矽、氮化矽、氮氧化矽、氟矽玻璃(fluorinated silica glass,FSG)、碳摻雜氧化矽或包含至少50%的氧化矽的另一材料。在某些實施例中,第二表面可包含-SiH3、-SiH2、或-SiH表面封端。在某些實施例中,可以至少90%的選擇性在相對於H封端的第二表面的第一表面上選擇性地沈積包含鎳、鈦、鐵、或鈷的材料。在某些實施例中,沈積方法可為原子層沈積(ALD)或化學氣相沈積(chemical vapor deposition,CVD)製程。 In some aspects, a deposition method is provided. In some embodiments, a substrate including a first surface and a different second surface may be provided. In some embodiments, the first surface includes at least one AH x end cap, where A is one or more of nitrogen, oxygen, or sulfur, and x is 1 to 2, and the second surface is hydrogen (H) Capped surface. In some embodiments, the substrate may be brought into contact with a first gas phase precursor including nickel (Ni), titanium (Ti), iron (Fe), or cobalt (Co), thereby opposing the second surface of the substrate, A material comprising nickel, titanium, iron or cobalt is selectively deposited on the first surface of the same substrate. In some embodiments, the selectively deposited material may include nickel or cobalt. In some embodiments, the deposition method may further include: contacting the substrate with the second gas-phase reactant. In some embodiments, the H-terminated second surface may be formed by processing at least a portion of a substrate surface before depositing a thin film. In some embodiments, the H-terminated second surface may be formed by treating at least a portion of the substrate surface with a hydrofluoric acid (HF) etch. In some embodiments, the H-terminated second surface may be formed by treating at least a portion of the substrate surface with a silicon compound, the silicon compound comprising ClSiH 3 or (R I R II N) SiH 3 , where R I and R II are independently selected from C 1 -C 4 alkyl groups such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl and the like. In some embodiments, the first surface may include at least one OH end cap. In some embodiments, the first surface may include SiO 2 . In some embodiments, the first surface may be a low-K insulator. In some embodiments, the first surface may include silicon oxide, silicon nitride, silicon oxynitride, fluorinated silica glass (FSG), carbon-doped silicon oxide, or another containing at least 50% silicon oxide. material. In some embodiments, the second surface may include -SiH 3 , -SiH 2 , or -SiH surface termination. In certain embodiments, a material comprising nickel, titanium, iron, or cobalt can be selectively deposited on a first surface opposite to the H-terminated second surface with a selectivity of at least 90%. In some embodiments, the deposition method may be an atomic layer deposition (ALD) or chemical vapor deposition (CVD) process.

在某些態樣中,提供在基板上選擇性地沈積包含鎳、鈦、鐵或鈷的材料的方法。在某些實施例中,可提供包括第一表面的基板,第一表面包含氧化矽。在某些實施例中,可蝕刻第一表面的至少一部分以藉此提供H封端的第二表面。在某些實施例中,可在相對於H封端的第二表面的氧化矽第一表面上選擇性地沈積包含鎳、鈦、鐵或鈷的材料。在某些實施例中,所述選擇性地沈積包含鎳、鈦、鐵或鈷的材料包括:選擇性地沈積直至形成所需厚度的包含鎳、鈦、鐵或鈷的材料。在某些實施例中,所述選擇性地沈積包含鎳、鈦、鐵或鈷的材料的方法可為原子層沈積或化學氣相沈積製程。在某些實施例中,蝕刻第一表面的至少一部分可包括:將第一表面的部分暴露至HF。在某些實施例中,可以至少90%的選擇性在相對於H封端的第二表面的第一表面上選擇性 地沈積包含鎳、鈦、鐵、或鈷的所述材料。 In some aspects, a method is provided for selectively depositing a material comprising nickel, titanium, iron, or cobalt on a substrate. In some embodiments, a substrate including a first surface may be provided, the first surface including silicon oxide. In some embodiments, at least a portion of the first surface may be etched to thereby provide an H-capped second surface. In some embodiments, a material comprising nickel, titanium, iron, or cobalt may be selectively deposited on the first surface of silicon oxide relative to the second surface terminated by H. In certain embodiments, the selectively depositing a material comprising nickel, titanium, iron, or cobalt comprises: selectively depositing until a desired thickness of a material comprising nickel, titanium, iron, or cobalt is formed. In some embodiments, the method for selectively depositing a material including nickel, titanium, iron, or cobalt may be an atomic layer deposition or a chemical vapor deposition process. In some embodiments, etching at least a portion of the first surface may include exposing a portion of the first surface to HF. In certain embodiments, the selectivity may be at least 90% on the first surface relative to the H-capped second surface The material comprising nickel, titanium, iron, or cobalt is deposited.

在某些態樣中,提供用於在基板上選擇性地形成SiO2的方法。在某些實施例中,所述方法可包括:相對於基板的不同的H封端的第二表面、選擇性地在同一基板的第一表面上沈積包含鎳、鈦、鐵或鈷的材料,其中第一表面包含至少AHx封端,其中A為氧、氮、及硫中的一者或多者,且x為1至2。可相對於基板的第一表面、選擇性地在同一基板的H封端的第二表面上沈積SiO2。在某些實施例中,一種方法可包括:蝕刻基板以自基板移除包含鎳、鈦、鐵或鈷的材料。在某些實施例中,蝕刻基板可包括:將基板暴露至HCl、HNO3或H2SO4:H2O2中的至少一者。在某些實施例中,第一表面可包括OH封端表面。在某些實施例中,第一表面可包含氧化矽。在某些實施例中,第二表面可包含-SiH、-SiH2或-SiH3表面封端。在某些實施例中,可相對於第一表面、藉由電漿增強原子層沈積(plasma-enhanced atomic layer deposition;PEALD)或熱原子層沈積製程在基板的第二表面上沈積SiO2In some aspects, a method is provided for selectively forming SiO 2 on a substrate. In some embodiments, the method may include selectively depositing a material including nickel, titanium, iron, or cobalt on a first surface of the same substrate with respect to a different H-terminated second surface of the substrate, wherein The first surface includes at least AH x end caps, where A is one or more of oxygen, nitrogen, and sulfur, and x is 1 to 2. SiO 2 may be selectively deposited on the second surface of the H-terminus of the same substrate with respect to the first surface of the substrate. In some embodiments, a method may include etching a substrate to remove a material including nickel, titanium, iron, or cobalt from the substrate. In some embodiments, etching the substrate may include exposing the substrate to at least one of HCl, HNO 3 or H 2 SO 4 : H 2 O 2 . In some embodiments, the first surface may include an OH-terminated surface. In some embodiments, the first surface may include silicon oxide. In some embodiments, the second surface may include a -SiH, -SiH 2 or -SiH 3 surface cap. In some embodiments, SiO 2 may be deposited on the second surface of the substrate with respect to the first surface by plasma-enhanced atomic layer deposition (PEALD) or a thermal atomic layer deposition process.

100‧‧‧原子層沈積型製程(沈積製程) 100‧‧‧ Atomic Layer Deposition Process (Deposition Process)

110、120、130、140、150、160、170‧‧‧步驟 110, 120, 130, 140, 150, 160, 170‧‧‧ steps

210、220、230‧‧‧步驟 210, 220, 230‧‧‧ steps

310、320、330‧‧‧步驟 310, 320, 330‧‧‧ steps

410、420、430‧‧‧步驟 410, 420, 430‧‧‧ steps

510、520、530‧‧‧步驟 510, 520, 530‧‧‧ steps

610、620、630‧‧‧步驟 610, 620, 630‧‧‧ steps

710‧‧‧第一表面 710‧‧‧First surface

720‧‧‧第二表面 720‧‧‧Second surface

閱讀實施方式及附圖將更佳地理解本發明,所述附圖意在說明本發明而非限制本發明,且在附圖中:圖1說明用於相對於基板的不同的第二表面、選擇性地在同一基板的第一表面上沈積例如鎳金屬、氮化鎳(NiNx)、鈷、鐵或氧化鈦等材料的沈積製程流程圖。 The invention will be better understood by reading the embodiments and the accompanying drawings, which are intended to illustrate the invention rather than to limit the invention, and in the drawings: FIG. 1 illustrates a different second surface for use with respect to a substrate, A flow chart of a deposition process for selectively depositing materials such as nickel metal, nickel nitride (NiN x ), cobalt, iron, or titanium oxide on the first surface of the same substrate.

圖2說明用於相對於基板的不同的第二表面、選擇性地在同一基板的第一表面上沈積鎳的沈積製程流程圖。 FIG. 2 illustrates a flowchart of a deposition process for selectively depositing nickel on a first surface of the same substrate with respect to a different second surface of the substrate.

圖3說明用於相對於基板的不同的第二表面、選擇性地在同一基板的第一表面上沈積氮化鎳(NiNx)的沈積製程流程圖。 FIG. 3 illustrates a flow chart of a deposition process for selectively depositing nickel nitride (NiN x ) on a first surface of the same substrate with respect to a different second surface of the substrate.

圖4說明用於相對於基板的不同的第二表面、選擇性地在同一基板的第一表面上沈積鈷的沈積製程流程圖。 FIG. 4 illustrates a flow chart of a deposition process for selectively depositing cobalt on a first surface of the same substrate with respect to a different second surface of the substrate.

圖5說明用於相對於基板的不同的第二表面、選擇性地在同一基板的第一表面上沈積鐵的沈積製程流程圖。 5 illustrates a flow chart of a deposition process for selectively depositing iron on a first surface of the same substrate with respect to a different second surface of the substrate.

圖6說明用於相對於基板的不同的第二表面、選擇性地在同一基板的第一表面上沈積氧化鈦的沈積製程流程圖。 6 illustrates a flow chart of a deposition process for selectively depositing titanium oxide on a first surface of the same substrate with respect to a different second surface of the substrate.

圖7繪示根據如本文所述的示例性製程、相對於第一基板的不同的第二表面及第二基板、選擇性地在第一基板的第一表面上沈積的鎳膜。 FIG. 7 illustrates a nickel film selectively deposited on the first surface of the first substrate with respect to the second surface different from the first substrate and the second substrate according to an exemplary process as described herein.

在某些情況下,希望選擇性地在同一基板的一個表面上(相對於基板的不同的第二表面)沈積材料。舉例而言,可使用選擇性沈積來形成頂覆層(capping layer)、障壁層、蝕刻停止層、犧牲層及/或保護層或者例如是用於密封在多孔低k材料中的孔隙。 In some cases, it is desirable to selectively deposit material on one surface of the same substrate (as opposed to a different second surface of the substrate). For example, selective deposition may be used to form a capping layer, a barrier layer, an etch stop layer, a sacrificial layer, and / or a protective layer or, for example, for sealing pores in a porous low-k material.

在某些實施例中,可使用本文所述的製程而選擇性地在如本文所述的SiO2系表面及其他表面上生長包含鎳、鈦、鐵或鈷的材料,例如鎳金屬、氮化鎳或NiNx、鈷、鐵或氧化鈦結構。本 文所述的氮化鎳或NiNx意指包含至少某些Ni-N鍵的材料。 In certain embodiments, the processes described herein can be used to selectively grow materials including nickel, titanium, iron, or cobalt on SiO 2 -based surfaces and other surfaces as described herein, such as nickel metal, nitride Nickel or NiN x , cobalt, iron or titanium oxide structure. The nickel nitride or NiN x described herein means a material containing at least some Ni-N bonds.

在某些實施例中,可相對於不同的第二表面、選擇性地在第一表面上沈積第一材料,例如包含鎳、鈦、鐵、或鈷的材料,例如鎳、氮化鎳或NiNx、鈷、鐵、或氧化鈦膜。舉例而言,可相對於基板的第二表面(例如H封端表面)、選擇性地在同一基板的低k絕緣體表面(例如氧化物或氮化物表面,例如氧化矽或氮化矽的形式)上沈積鎳、氮化鎳、鈷、鐵或氧化鈦膜。 In some embodiments, a first material, such as a material including nickel, titanium, iron, or cobalt, such as nickel, nickel nitride, or NiN, may be selectively deposited on the first surface relative to a different second surface. x , cobalt, iron, or titanium oxide film. For example, it can be selectively on the surface of a low-k insulator of the same substrate (such as an oxide or nitride surface, such as in the form of silicon oxide or silicon nitride), relative to the second surface of the substrate (such as an H-terminated surface). A film of nickel, nickel nitride, cobalt, iron, or titanium oxide is deposited thereon.

在某些實施例中,供進行選擇性沈積的第一表面包含AHx封端,其中A為氮、氧或硫中的一者或多者,且x為1至2。在某些實施例中,第一表面包含OH封端。在某些實施例中,第一表面為例如-NH或-NH2封端表面等NHx封端表面。在某些實施例中,第一表面為SHx封端表面。 In some embodiments, the first surface for selective deposition includes AH x capping, where A is one or more of nitrogen, oxygen, or sulfur, and x is 1 to 2. In some embodiments, the first surface comprises an OH end cap. In certain embodiments, the first surface is a surface capping e.g. NH x -NH 2 or -NH-terminated surfaces. In some embodiments, the first surface is a SH x capped surface.

在某些實施例中,第一表面為介電表面(dielectric surface),例如SiO2表面或氮氧化矽表面。在某些實施例中,第一表面可包含氧化矽、氮化矽、氮氧化矽、氟矽玻璃(FSG)、碳摻雜氧化矽(carbon doped silicon oxide,SiOC)及/或包含大於約50%的氧化矽的材料。在某些實施例中,第一表面包含OH基團,並可包括例如具有-OH表面基團的氧化鋁(Al2O3)表面。 In some embodiments, the first surface is a dielectric surface, such as a SiO 2 surface or a silicon oxynitride surface. In some embodiments, the first surface may include silicon oxide, silicon nitride, silicon oxynitride, fluoro-silicon glass (FSG), carbon doped silicon oxide (SiOC), and / or comprise greater than about 50 % Silicon oxide material. In some embodiments, the first surface includes OH groups, and may include, for example, an alumina (Al 2 O 3 ) surface having an -OH surface group.

在某些實施例中,第二表面為-SiH3、-SiH2或-SiH表面。在某些實施例中,第二表面是藉由蝕刻矽的自然氧化物(native oxide)而形成,且第二表面包含Si-H鍵。在某些實施例中,第二表面為純矽表面。 In some embodiments, the second surface is a -SiH 3 , -SiH 2, or -SiH surface. In some embodiments, the second surface is formed by etching a native oxide of silicon, and the second surface includes a Si-H bond. In some embodiments, the second surface is a pure silicon surface.

在某些實施例中,可對一個或多個表面進行處理以增強或減少在經處理的表面(相對於不同的第二表面)上的沈積。在某些實施例中,可對第一表面進行處理或活化,以增強在第一表面(相對於一個或多個不同表面)上的沈積。在某些實施例中,可對第一表面的一部分進行處理或去活化,以減少在第一表面的經處理部分(相對於第一表面的未經處理部分)上的沈積。在某些實施例中,可對第二表面進行處理或去活化,以減少在第二表面(相對於基板的第一表面)上的沈積。在某些實施例中,對第一表面進行處理以增強沈積,且對第二表面進行處理以減少沈積,藉此增加在第一表面(相對於第二表面)上的選擇性沈積。 In certain embodiments, one or more surfaces may be treated to enhance or reduce deposition on the treated surface (as opposed to a different second surface). In certain embodiments, the first surface may be treated or activated to enhance deposition on the first surface (as opposed to one or more different surfaces). In some embodiments, a portion of the first surface may be treated or deactivated to reduce deposition on a treated portion (as opposed to an untreated portion of the first surface) of the first surface. In some embodiments, the second surface may be treated or deactivated to reduce deposition on the second surface (relative to the first surface of the substrate). In some embodiments, the first surface is treated to enhance deposition, and the second surface is treated to reduce deposition, thereby increasing selective deposition on the first surface (as opposed to the second surface).

在某些實施例中,相對於基板的H封端的第二表面(例如包含-SiH3、-SiH2、或-SiH的第二表面)、在如上所述的第一表面(例如低k絕緣體表面,例如氧化物表面)上沈積包含鎳、鈦、鐵、或鈷的材料,例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦層。在某些實施例中,第一表面為基板的SiO2表面。可在包含鎳、鈦、鐵、或鈷的材料(例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦)沈積製程之前或開始時對第二表面進行處理,以藉由在第二表面上形成H封端而減少在第二表面(相對於第一表面)上的沈積。亦即,第一表面(例如,SiO2)上的選擇性沈積可相對於經處理、去活化的第二表面而增加。 In some embodiments, the H-terminated second surface relative to the substrate (eg, a second surface containing -SiH 3 , -SiH 2 , or -SiH), the first surface as described above (eg, a low-k insulator) surface, for example, is deposited on the oxide surface) comprising nickel, titanium, iron, or cobalt material, e.g., nickel (NiN x) nitride, cobalt, iron, or titanium oxide layer. In some embodiments, the first surface is a SiO 2 surface of the substrate. The second surface may be treated before or at the beginning of the deposition process of a material containing nickel, titanium, iron, or cobalt, such as nickel, nickel nitride (NiN x ), cobalt, iron, or titanium oxide, by An H-terminus is formed on the second surface to reduce deposition on the second surface (relative to the first surface). That is, a first surface (e.g., SiO 2) may be selectively deposited on the treated with respect, to the second surfactant is increased.

在某些實施例中,對包含介電材料(例如如本文所述的SiO2、另一氧化物或另一材料)的第一基板表面進行處理,以使 包含鎳、鈦、鐵或鈷的材料在基板的一個或多個部分上的沈積去活化或減少。舉例而言,可對第一基板表面的一個或多個部分進行處理以形成H封端的第二表面,例如-SiH3、-SiH2、或-SiH封端表面。在某些實施例中,以HF對第一表面的所述一個或多個部分進行處理以在所述區域中形成H封端的第二表面。 In certain embodiments, the surface of a first substrate comprising a dielectric material (such as SiO 2 , another oxide, or another material as described herein) is treated such that the nickel, titanium, iron, or cobalt-containing Deposition or reduction of material deposition on one or more portions of the substrate. For example, one may be treated first surface of the substrate to form a second or more portions of the surface of H-terminated, e.g. -SiH 3, -SiH 2, or -SiH terminated surface. In some embodiments, the one or more portions of the first surface are treated with HF to form an H-capped second surface in the region.

在某些實施例中,藉由使如本文所述的第一表面的一部分(例如SiO2表面或其他氧化物表面的一部分)與矽化合物反應以形成包含-SiH3、-SiH2或SiH基團的第二表面而使所述表面的所述部分去活化。此種矽化合物可包含例如ClSiH3或(RIRIIN)SiH3In certain embodiments, by reacting a portion of the first surface (such as a portion of the SiO 2 surface or other oxide surface) as described herein with a silicon compound to form a group comprising -SiH 3 , -SiH 2 or SiH The second surface of the mass to deactivate the portion of the surface. Such silicon compounds may include, for example, ClSiH 3 or (R I R II N) SiH 3 .

在進行處理以形成H封端的第二表面之後,可相對於H封端的第二表面、選擇性地在剩餘的第一表面(例如第一氧化物(例如,SiO2)表面)上沈積包含鎳、鈦、鐵、或鈷的材料。 After being processed to form the H-terminated second surface, nickel may be selectively deposited on the remaining first surface (such as the surface of the first oxide (eg, SiO 2 )) relative to the H-terminated second surface. , Titanium, iron, or cobalt.

在某些實施例中,去活化處理不涉及自組裝單層(SAM)的形成。在某些實施例中,去活化處理不包括利用有機試劑進行處理。 In some embodiments, the deactivation process does not involve the formation of a self-assembled monolayer (SAM). In certain embodiments, the deactivation treatment does not include treatment with organic reagents.

在某些實施例中,可對H封端表面(例如,-SiH3、-SiH2、或-SiH封端表面)的一部分進行處理,以有利於在所述表面的經處理部分(相對於未經處理的表面)上選擇性沈積包含鎳、鈦、鐵、或鈷的材料(例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦膜)。舉例而言,可對H封端表面(例如經蝕刻的矽表面(SiHx))的一部分進行處理,以在表面的經處理部分上提供親水性OH封端。可如本文所述使OH封端表面與鎳、鈷、鐵或鈦前驅物反應。因 此,在某些實施例中,可提供OH封端(或如本文所述的其他封端),以增強在表面(相對於剩餘H封端的第二表面)的OH封端部分上沈積包含鎳、鈦、鐵或鈷的材料。 In certain embodiments, H can be treated terminated surface (e.g., -SiH 3, -SiH 2, or -SiH terminated surface) of the part, to facilitate (with respect to the portion of the surface of the treated untreated surface) comprising the selective deposition of nickel, titanium, iron, or cobalt material (e.g., nickel (NiN x nitride), cobalt, iron, or titanium oxide film). For example, a portion of an H-terminated surface, such as an etched silicon surface (SiH x ), may be treated to provide a hydrophilic OH termination on the treated portion of the surface. The OH-terminated surface can be reacted with a nickel, cobalt, iron, or titanium precursor as described herein. Therefore, in certain embodiments, OH capping (or other capping as described herein) may be provided to enhance the deposition of nickel-containing deposits on the OH capped portion of the surface (as opposed to the remaining H capped second surface). , Titanium, iron or cobalt.

供選擇性地沈積材料(例如SiO2、其他氧化物、或其他材料)的第一表面(如本文所述的表面)可包含羥基或OH基團,此可具有使表面為親水性的效果。當表面暴露至周圍條件(例如包含水的大氣)時,可自然地出現此種OH基團表面封端。在某些實施例中,可對基板表面的至少一部分進行處理,以提供第一親水性OH封端表面。在某些實施例中,可對親水性OH封端表面的至少一部分進行處理,以增加表面上的OH基團的量。舉例而言,可將表面暴露至水(H2O)蒸汽,以增加表面處的OH基團的數目。在某些實施例中,將矽基板表面的至少一部分暴露至空氣及/或水分(例如包含水的大氣),以提供包含至少某些OH基團的親水性的第一表面。在某些實施例中,在沈積之前不對親水性表面進行處理。 A first surface (such as a surface described herein) for selectively depositing a material (eg, SiO 2 , other oxides, or other materials) may include a hydroxyl or OH group, which may have the effect of making the surface hydrophilic. Such surface capping of OH groups can naturally occur when the surface is exposed to ambient conditions, such as the atmosphere containing water. In some embodiments, at least a portion of the substrate surface may be treated to provide a first hydrophilic OH-terminated surface. In certain embodiments, at least a portion of the hydrophilic OH-terminated surface may be treated to increase the amount of OH groups on the surface. For example, the surface may be exposed to water (H 2 O) vapor to increase the number of OH groups at the surface. In some embodiments, at least a portion of the surface of the silicon substrate is exposed to air and / or moisture (eg, an atmosphere containing water) to provide a first surface that is hydrophilic and includes at least some OH groups. In some embodiments, the hydrophilic surface is not treated prior to sedimentation.

在某些實施例中,可對OH封端表面(或如本文所述的其他第一表面)的至少一部分進行處理,以抑制在其上沈積包含鎳、鈦、鐵、或鈷的材料(例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦)。舉例而言,可使OH封端的第一表面的至少一部分接觸HF以提供H封端並藉此提供H封端的第二表面。在某些實施例中,以HF(例如0.5%的HF)蝕刻SiO2表面,以在SiO2表面的經蝕刻部分處提供SiHx表面。如上所述,亦可藉由使OH-第一表 面與矽化合物反應以在所述第一表面的一部分上形成Si-H基團而對所述第一表面的所述部分進行處理。此種矽化合物可包含例如ClSiH3或(RIRIIN)SiH3,其中RI及RII可獨立地選自C1-C5烷基。OH封端表面(或如本文所述的其他第一表面)轉變為H封端表面可抑制相對於例如基板的SiO2表面等第一表面(或如本文所述的其他第一表面)、在所述表面的經處理部分上沈積包含鎳、鈦、鐵、或鈷的材料(例如鎳、氮化鎳、鈷、鐵、或氧化鈦)。 In certain embodiments, at least a portion of the OH-terminated surface (or other first surface as described herein) may be treated to inhibit the deposition thereon of materials including nickel, titanium, iron, or cobalt (e.g., Nickel, nickel nitride (NiN x ), cobalt, iron, or titanium oxide). For example, at least a portion of the OH-terminated first surface may be contacted with HF to provide an H-terminus and thereby provide an H-terminated second surface. In certain embodiments, the SiO 2 surface is etched with HF (eg, 0.5% HF) to provide a SiH x surface at the etched portion of the SiO 2 surface. As described above, the portion of the first surface may also be treated by reacting the OH-first surface with a silicon compound to form a Si-H group on a portion of the first surface. Such silicon compounds may include, for example, ClSiH 3 or (R I R II N) SiH 3 , where R I and R II may be independently selected from C 1 -C 5 alkyl. OH-terminated surface (or first surface of the other such as described herein) into the surface of H-terminated surface can be suppressed with respect to the first surface of a substrate such as SiO 2 (or first surface of the other such as described herein), for example, in A material comprising nickel, titanium, iron, or cobalt (eg, nickel, nickel nitride, cobalt, iron, or titanium oxide) is deposited on the treated portion of the surface.

在某些實施例中,提供包含介電質(例如SiO2)的半導體基板。可藉由暴露至HF(例如0.5%的HF)而選擇性地蝕刻表面的一部分,藉此形成包含SiO2的第一表面及SiO2第一表面的經蝕刻部分處理的包含氫封端矽的第二表面(例如-SiH3、-SiH2或-Si-H表面)。然後可選擇性地在包含SiO2的第一表面(相對於H封端的第二表面)上沈積包含鎳、鈦、鐵、或鈷的材料,例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦膜。 In some embodiments, a semiconductor substrate including a dielectric (eg, SiO 2 ) is provided. A portion of the surface can be selectively etched by exposure to HF (e.g., 0.5% HF), thereby forming a first surface including SiO 2 and an etched portion of the first surface of SiO 2 including hydrogen-terminated silicon a second surface (e.g. -SiH 3, -SiH 2 -Si-H, or surface). Then selectively surface comprising a first SiO 2 (relative to the second surface of H-terminated) comprises depositing a nickel, titanium, iron, or cobalt material, e.g., nickel (NiN x) nitride, cobalt, Iron, or titanium oxide film.

在某些實施例中,提供包含介電質(例如SiO2)的半導體基板。可選擇性地將表面的一部分暴露至矽化合物(例如,如本文所述的ClSiH3、(RIRIIN)2SiH2、XySiH4-y、(RIRIIN)ySiH4-y、(RIRIIN)SiH3或另一矽前驅物),以在表面的所述部分上形成例如SiHx基團等Si-H表面基團,藉此形成包含SiO2的第一表面及包含氫封端矽的第二表面,例如-SiHx表面。然後可選擇性地在包含SiO2的第一表面(相對於H封端的第二表面)上沈積包含鎳、鈦、鐵或鈷的材料,例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦膜。 In some embodiments, a semiconductor substrate including a dielectric (eg, SiO 2 ) is provided. A portion of the surface may be selectively exposed to a silicon compound (e.g., ClSiH 3 , (R I R II N) 2 SiH 2 , X y SiH 4-y , (R I R II N) y SiH, as described herein 4-y , (R I R II N) SiH 3 or another silicon precursor) to form Si-H surface groups such as SiH x groups on the portion of the surface, thereby forming SiO 2 -containing The first surface and a second surface containing hydrogen-terminated silicon, such as a -SiH x surface. A material containing nickel, titanium, iron, or cobalt, such as nickel, nickel nitride (NiN x ), cobalt, iron, can then be selectively deposited on the first surface (relative to the H-terminated second surface) containing SiO 2 , Or titanium oxide film.

在某些實施例中,沈積製程為化學氣相沈積(CVD)型製程。在某些實施例中,沈積製程為原子層沈積(ALD)型製程。在某些實施例中,沈積製程為其中每一表面反應為自限制性(self-limiting)的純原子層沈積製程。在某些實施例中,沈積製程為包含其中使基板交替地及依序地接觸第一氣相反應物及第二氣相反應物的一個或多個沈積循環的氣相沈積製程。 In some embodiments, the deposition process is a chemical vapor deposition (CVD) type process. In some embodiments, the deposition process is an atomic layer deposition (ALD) type process. In some embodiments, the deposition process is a pure atomic layer deposition process in which each surface reaction is self-limiting. In some embodiments, the deposition process is a vapor deposition process including one or more deposition cycles in which substrates are alternately and sequentially contacted with the first gaseous reactant and the second gaseous reactant.

在某些實施例中,相對於基板上的H封端的第二表面(例如-SiHx表面)、選擇性地在如上所述的同一基板的第一表面(例如基板的SiO2第一表面)上沈積包含鎳的材料,例如鎳層。 In certain embodiments, the second surface with respect to H terminated on a substrate (e.g., surface -SiH x) selectively in a first surface of the same substrate as described above (e.g., a first surface of a substrate of SiO 2) A material containing nickel, such as a nickel layer, is deposited thereon.

在某些實施例中,相對於基板上的H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面)、選擇性地在如上所述的同一基板的第一表面(例如基板的SiO2第一表面)上沈積包含鈷的材料,例如鈷層。 In certain embodiments, the second H-terminated surface on the substrate (e.g. -SiH 3, -SiH 2, or -SiH surface) with respect to the first surface of the selectively same substrate as described above (e.g. On the first surface of SiO 2 of the substrate), a material containing cobalt, such as a cobalt layer, is deposited.

在某些實施例中,相對於基板上的H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面)、選擇性地在如上所述的同一基板的第一表面(例如基板的SiO2第一表面)上沈積包含鐵的材料,例如鐵層。 In certain embodiments, the second H-terminated surface on the substrate (e.g. -SiH 3, -SiH 2, or -SiH surface) with respect to the first surface of the selectively same substrate as described above (e.g. On the substrate (the first surface of SiO 2 ), a material containing iron, such as an iron layer, is deposited.

在某些實施例中,相對於基板上的H封端的第二表面(例如包含-SiH3、-SiH2、或-SiH的表面)、選擇性地在如上所述的同一基板的第一表面(例如基板的SiO2第一表面)上沈積包含鎳的材料,例如氮化鎳(NiNx)層。 In certain embodiments, the second surface with respect to H terminated on a substrate (e.g., containing -SiH 3, -SiH 2, or the surface of -SiH), the first selective surface of the same substrate as described above in A material containing nickel, such as a nickel nitride (NiN x ) layer, is deposited (eg, the first surface of SiO 2 of the substrate).

在某些實施例中,相對於基板上的H封端的第二表面(例 如包含-SiH3、-SiH2、或-SiH的表面)、選擇性地在如上所述的同一基板的第一表面(例如基板的SiO2第一表面)上沈積包含鈦的材料,例如氧化鈦層。 In certain embodiments, the second surface with respect to H terminated on a substrate (e.g., containing -SiH 3, -SiH 2, or the surface of -SiH), the first selective surface of the same substrate as described above in A material containing titanium, such as a titanium oxide layer, is deposited on (eg, the first surface of SiO 2 of the substrate).

在某些實施例中,相對於基板的H封端的第二表面、在如本文所述的基板的第一表面(例如基板的SiO2表面)上的沈積具有至少約90%的選擇性、至少約95%的選擇性、至少約96%、97%、98%、或99%或者99%以上的選擇性。在某些實施例中,沈積僅發生在第一表面上而不發生在第二表面上。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的沈積具有至少約70%的選擇性或至少約80%的選擇性,此可為足以用於某些特定應用的選擇性。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的沈積具有至少約50%的選擇性,此可為足以用於某些特定應用的選擇性。 In certain embodiments, with respect to the second surface of the substrate H-terminated, such as the first surface (surface of the substrate e.g. SiO 2) is deposited as described herein on a substrate having a selectivity of at least about 90%, at least Selectivity of about 95%, at least about 96%, 97%, 98%, or 99% or more selectivity. In some embodiments, the deposition occurs only on the first surface and not on the second surface. In some embodiments, the deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of at least about 70% or at least about 80%, which may be sufficient for some Application-specific selectivity. In some embodiments, the deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of at least about 50%, which may be a selectivity sufficient for certain specific applications.

在某些實施例中,可在沈積之後或在沈積進行中使用蝕刻步驟來移除非選擇性地沈積的材料。儘管添加蝕刻步驟通常將增加製程的成本及複雜性,但在某些情況下,其可為商業可取的,例如若其在總體上較其他選項成本更低。在某些實施例中,蝕刻製程可為濕式蝕刻製程或乾式蝕刻製程。在某些實施例中,乾式蝕刻為較佳的。 In certain embodiments, an etch step may be used after deposition or during deposition to remove non-selectively deposited material. Although adding an etching step will generally increase the cost and complexity of the process, in some cases it may be commercially desirable, for example if it is less expensive than other options overall. In some embodiments, the etching process may be a wet etching process or a dry etching process. In some embodiments, dry etching is preferred.

在某些實施例中,相對於基板的H封端的第二表面、在如本文所述的基板的第一表面(例如,基板的SiO2第一表面)上的沈積可在喪失選擇性之前執行達約500個沈積循環、或在喪失 選擇性之前執行達約50個沈積循環、或達約20個沈積循環、或達約10個沈積循環、或達約5個沈積循環。在某些實施例中,在喪失選擇性之前即使沈積1或2個循環亦可為有用的。 In certain embodiments, with respect to the second surface of the substrate H-capped, as in the first deposited on the surface (e.g., SiO 2 the first surface of the substrate) of the substrate as described herein may be performed prior to selective loss Up to about 500 deposition cycles, or up to about 50 deposition cycles, or up to about 20 deposition cycles, or up to about 10 deposition cycles, or up to about 5 deposition cycles before the selectivity is lost. In some embodiments, it may be useful to deposit even 1 or 2 cycles before loss of selectivity.

端視具體情境而定,當相對於基板的第二表面在基板的第一表面上的沈積具有小於約50%、小於約60%、小於約70%、小於約80%、小於約90%的選擇性、小於約95%的選擇性、小於約96%、97%、98%、或99%的選擇性或小於99%以上的選擇性時,可認為已發生了選擇性的喪失。 Depending on the specific situation, when the deposition on the first surface of the substrate relative to the second surface of the substrate has less than about 50%, less than about 60%, less than about 70%, less than about 80%, less than about 90% When selectivity, a selectivity of less than about 95%, a selectivity of less than about 96%, 97%, 98%, or 99%, or a selectivity of less than 99%, it is considered that a loss of selectivity has occurred.

在某些實施例中,相對於基板的H封端的第二表面、在第一表面(例如所述基板的SiO2第一表面)上的沈積可在喪失選擇性之前執行達約50奈米的厚度、或在喪失選擇性之前執行達約10奈米、或達約5奈米、或達約3奈米、或達約2奈米、或達約1奈米的厚度。在某些實施例中,在喪失選擇性之前即使沈積達3埃(Å)或5埃亦可為有用的。端視具體情境而定,當在基板的第一表面(相對於基板的第二表面)上的沈積具有小於約50%的選擇性、小於約60%的選擇性、小於約70%、小於約80%、小於約90%的選擇性、小於約95%的選擇性、小於約96%、97%、98%、或99%的選擇性或小於99%以上的選擇性時,可認為已發生了選擇性的喪失。 In certain embodiments, with respect to a second surface of the substrate H-terminated, deposited on the first surface (e.g., the first surface of the substrate SiO 2) may be performed up to about 50 nm prior to the loss of selectivity Thickness, or up to about 10 nm, or up to about 5 nm, or up to about 3 nm, or up to about 2 nm, or up to about 1 nm before loss of selectivity. In some embodiments, it may be useful to deposit even 3 Angstroms (Å) or 5 Angstroms before loss of selectivity. Depending on the specific situation, when the deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of less than about 50%, a selectivity of less than about 60%, less than about 70%, and less than about A selectivity of 80%, a selectivity of less than about 90%, a selectivity of less than about 95%, a selectivity of less than about 96%, 97%, 98%, or 99% or a selectivity of less than 99% can be considered to have occurred The loss of selectivity.

化學氣相沈積型製程Chemical vapor deposition process

在某些實施例中,可使用化學氣相沈積以相對於H封端的第二表面(例如如本文所述的包含-SiH3、-SiH2、或-SiH的表 面)、選擇性地在如上所述的第一基板表面(例如-OH第一表面,例如SiO2表面)上沈積包含鎳、鈦、鐵、或鈷的材料,例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦。在某些實施例中,藉由脈衝式化學氣相沈積製程(pulsed CVD process)來選擇性地沈積包含鎳、鈦、鐵、或鈷的材料(例如鎳、氮化鎳、鈷、或鐵),在脈衝式化學氣相沈積製程中,鎳、氮化鎳、鈷、或鐵前驅物或反應物的多個脈衝是藉由其中自基板表面移除反應物的吹洗或移除步驟而分開。 In certain embodiments, a chemical vapor deposition may be used with respect to a second surface of H-terminated (e.g., as described herein comprises -SiH 3, -SiH 2, or the surface of -SiH), selectively above depositing on said first substrate surface (e.g. -OH first surface, a second surface e.g. SiO) comprising nickel, titanium, iron, or cobalt material, e.g., nickel (NiN x) nitride, cobalt, iron, or Titanium oxide. In some embodiments, a material including nickel, titanium, iron, or cobalt (e.g., nickel, nickel nitride, cobalt, or iron) is selectively deposited by a pulsed chemical vapor deposition process (pulsed CVD process). In a pulsed chemical vapor deposition process, multiple pulses of nickel, nickel nitride, cobalt, or iron precursors or reactants are separated by a purge or removal step in which the reactants are removed from the substrate surface .

化學氣相沈積型製程通常涉及二種或更多種反應物之間的氣相反應。可同時提供反應物至反應空間或基板。可加熱基板或反應空間以促進氣態反應物之間的反應。當提供反應物至反應空間或基板時發生CVD沈積。在某些實施例中,提供反應物直至沈積出具有所需厚度的薄膜。如上所述,在某些實施例中,可使用具有多個循環的循環性化學氣相沈積型製程,所述多個循環是用於沈積具有所需厚度的薄膜。在某些實施例中,可在化學氣相沈積製程中使用一種或多種電漿反應物。 Chemical vapor deposition type processes typically involve a gas phase reaction between two or more reactants. Reagents can be provided to the reaction space or substrate at the same time. The substrate or reaction space may be heated to promote the reaction between the gaseous reactants. CVD deposition occurs when reactants are provided to a reaction space or substrate. In certain embodiments, the reactants are provided until a thin film having a desired thickness is deposited. As described above, in certain embodiments, a cyclic chemical vapor deposition type process having multiple cycles for depositing a thin film having a desired thickness may be used. In some embodiments, one or more plasma reactants may be used in a chemical vapor deposition process.

在某些實施例中,可將原子層沈積-製程修改成部分化學氣相沈積製程。在某些實施例中,部分化學氣相沈積製程可包括至少部分地分解一種或多種前驅物。在某些實施例中,可將原子層沈積製程修改成脈衝式化學氣相沈積製程。在某些實施例中,將原子層沈積製程修改成使用交疊或部分交疊的反應物的脈衝。在某些實施例中,將原子層沈積製程修改成使用極短的吹洗 (purge)或移除時間,例如低於0.1秒(端視反應器而定)。在某些實施例中,將原子層沈積製程修改成使用極長的或連續的脈衝時間。舉例而言,在某些實施例中,將原子層沈積製程修改成在至少一個脈衝之後完全不使用吹洗或移除。在某些實施例中,在金屬反應物脈衝之後不使用吹洗。在某些實施例中,在氧反應物脈衝之後不使用吹洗。在某些實施例中,在金屬反應物脈衝或氧反應物脈衝之後不使用吹洗。 In some embodiments, the atomic layer deposition-process can be modified to a partial chemical vapor deposition process. In some embodiments, a partial chemical vapor deposition process may include at least partially decomposing one or more precursors. In some embodiments, the atomic layer deposition process may be modified to a pulsed chemical vapor deposition process. In some embodiments, the atomic layer deposition process is modified to use pulses of overlapping or partially overlapping reactants. In some embodiments, the atomic layer deposition process is modified to use a very short purge (purge) or removal time, for example less than 0.1 second (depending on the reactor). In some embodiments, the atomic layer deposition process is modified to use extremely long or continuous pulse times. For example, in some embodiments, the atomic layer deposition process is modified to completely eliminate the use of purge or removal after at least one pulse. In some embodiments, no purge is used after the metal reactant pulse. In certain embodiments, no purge is used after the oxygen reactant pulse. In certain embodiments, no purge is used after the metal reactant pulse or the oxygen reactant pulse.

在某些實施例中,利用單一金屬前驅物。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。在某些實施例中,將基板暴露至一個前驅物脈衝,或藉由前驅物的移除或吹洗步驟來分開連續的前驅物脈衝。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相金屬前驅物但不接觸氣相第二反應物。然而在某些實施例中,除氣相金屬前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個金屬前驅物脈衝。在某些實施例中,可使基板接觸氣相金屬前驅物,可自基板表面移除過量的金屬前驅物及反應副產物(若存在),且可例如在連續的脈衝中再次使基板接觸氣相金屬前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相金屬前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 In some embodiments, a single metal precursor is utilized. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. In some embodiments, the substrate is exposed to a precursor pulse, or successive precursor pulses are separated by a precursor removal or purging step. For example, in some embodiments, the substrate may be contacted continuously or intermittently with the gaseous metal precursor but not with the gaseous second reactant. However, in some embodiments, in addition to the vapor-phase metal precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one metal precursor pulse. In some embodiments, the substrate can be brought into contact with a gaseous metal precursor, excess metal precursor and reaction byproducts (if present) can be removed from the substrate surface, and the substrate can be brought into contact with gas again, for example, in a continuous pulse Phase metal precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the vapor-phase metal precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas.

原子層沈積型製程Atomic layer deposition process

原子層沈積型製程是基於前驅物化學品的控制、自限制性的表面反應。藉由使基板交替地及依序地接觸反應物或前驅物而避免氣相反應。例如藉由以下方式使氣相反應物在基板表面上彼此分開:自反應物脈衝之間的反應室或基板表面移除過量的反應物及/或反應物副產物或者使基板自一種反應物移動至另一種反應物。 Atomic layer deposition processes are controlled, self-limiting surface reactions based on precursor chemicals. Gas phase reactions are avoided by contacting the substrate with reactants or precursors alternately and sequentially. For example, gas phase reactants are separated from each other on the substrate surface by removing excess reactants and / or reactant by-products from the reaction chamber or the substrate surface between the reactant pulses or by moving the substrate from one reactant. To another reactant.

簡言之,通常在降低的壓力下將包括第一表面及不同的第二表面的基板加熱至合適的沈積溫度。沈積溫度通常保持低於反應物的熱分解溫度但處於足夠高的水準,以避免反應物的凝結並提供用於所需表面反應的活化能。當然,對任何給定原子層沈積反應而言,適當的溫度窗口將取決於所涉及的表面封端及反應物種類。 In short, a substrate including a first surface and a different second surface is typically heated to a suitable deposition temperature under reduced pressure. The deposition temperature is usually kept below the thermal decomposition temperature of the reactants but at a sufficiently high level to avoid condensation of the reactants and provide activation energy for the desired surface reaction. Of course, for any given atomic layer deposition reaction, the appropriate temperature window will depend on the surface capping and reactant species involved.

此處,溫度較佳處於500℃或低於約500℃、更佳處於400℃或低於約400℃、以及最佳為約100℃至約350℃。在某些情形中,舉例而言,在使用β-二亞胺鎳(nickel betadiketiminato)化合物來進行沈積的情形中,可選擇自約275℃至約325℃的溫度。 Here, the temperature is preferably at or below 500 ° C, more preferably at or below 400 ° C, and most preferably from about 100 ° C to about 350 ° C. In some cases, for example, where deposition is performed using a nickel betadiketiminato compound, a temperature from about 275 ° C to about 325 ° C can be selected.

可使基板的表面接觸氣相第一反應物。較佳地將條件選擇成使得第一反應物的僅一個單層以自限制性方式吸附於基板表面上。適當的接觸時間可基於特定情境而易於由熟習此項技術者確定。 The surface of the substrate may be brought into contact with the gaseous first reactant. The conditions are preferably selected such that only a single layer of the first reactant is adsorbed on the substrate surface in a self-limiting manner. Appropriate contact times can be easily determined by those skilled in the art based on the particular situation.

在某些實施例中,例如藉由以惰性氣體進行吹洗而自基板表面移除過量的第一反應物及反應副產物(若存在)。吹洗意指 例如藉由以真空幫浦排空腔室及/或藉由以例如氬氣或氮氣等惰性氣體替換反應器內的氣體而自基板表面移除氣相前驅物及/或氣相副產物。一般的吹洗時間為約0.05秒至20秒、更佳介於約1秒與10秒之間、以及仍更佳介於約1秒與2秒之間。然而,可視需要採用其他吹洗時間,例如在需要在極高縱橫比結構或具有複雜表面形態之其他結構上方高度保形步階覆蓋(conformal step coverage)的情況下。在某些實施例中,自包含第一反應物的反應空間移除基板。 In some embodiments, excess first reactants and reaction byproducts, if any, are removed from the surface of the substrate, for example, by purging with an inert gas. Purging means For example, gas phase precursors and / or gas phase by-products are removed from the substrate surface by evacuating the chamber with a vacuum pump and / or by replacing the gas in the reactor with an inert gas such as argon or nitrogen. Typical purge times are from about 0.05 seconds to 20 seconds, more preferably between about 1 second and 10 seconds, and still more preferably between about 1 second and 2 seconds. However, other purging times may be used as needed, such as in situations where a high conformal step coverage is needed over extremely high aspect ratio structures or other structures with complex surface morphology. In some embodiments, the substrate is removed from a reaction space containing a first reactant.

使基板的表面接觸氣相第二氣態反應物。自基板表面移除表面反應的過量的第二反應物及氣態副產物(若存在)。在某些實施例中,此可藉由吹洗來達成。在某些實施例中,自包含第二反應物的反應空間移除基板。 The surface of the substrate is brought into contact with the gaseous second gaseous reactant. The surface reaction excess second reactant and gaseous by-products, if present, are removed from the substrate surface. In some embodiments, this can be achieved by purging. In some embodiments, the substrate is removed from a reaction space containing a second reactant.

重複接觸及移除的步驟,直至已選擇性地在基板的第一表面上形成所需厚度的薄膜,其中每一循環僅留下分子單層。可包括具有使基板的表面交替地及依序地接觸其他不同反應物的操作的其他階段,以形成更複雜的材料,例如三元材料。 The steps of contacting and removing are repeated until a thin film of a desired thickness has been selectively formed on the first surface of the substrate, wherein each cycle leaves only a molecular monolayer. Other stages with the operation of alternately and sequentially contacting the surface of the substrate with other different reactants may be included to form more complex materials, such as ternary materials.

通常在每一階段供應過量的反應物或前驅物以使敏感結構(susceptible structure)表面飽和。表面飽和確保反應物佔據所有可用的反應性部位(例如,受到物理尺寸或「立體阻礙(steric hindrance)」約束),且因此確保極佳的步階覆蓋。通常,利用每一循環來沈積少於一個分子層的材料,然而,在某些實施例中,在所述循環期間沈積多於一個分子層。 Excess reactants or precursors are usually supplied at each stage to saturate the surface of the susceptible structure. Surface saturation ensures that the reactants occupy all available reactive sites (e.g., constrained by physical size or "steric hindrance"), and therefore ensures excellent step coverage. Generally, less than one molecular layer of material is deposited per cycle, however, in some embodiments, more than one molecular layer is deposited during the cycle.

移除過量的反應物或前驅物可包括:排空反應空間的某些內容物及/或以氦氣、氮氣或另一惰性氣體對反應空間進行吹洗。在某些實施例中,吹洗可包括:關掉反應性氣體的流動並同時繼續使惰性載體氣體流至反應空間。 Removing excess reactants or precursors may include evacuating certain contents of the reaction space and / or purging the reaction space with helium, nitrogen, or another inert gas. In some embodiments, purging may include turning off the flow of reactive gas while continuing to flow the inert carrier gas to the reaction space.

在原子層沈積型製程中所用的前驅物在標準條件(室溫及大氣壓力)下可為固體、液體或氣態材料,條件是在前驅物接觸基板表面之前其為氣相。使基板表面接觸汽化前驅物意指前驅物蒸汽與基板表面接觸達一限定時間段。通常,接觸時間為約0.05秒至10秒。然而,端視基板類型及其表面區域而定,接觸時間可甚至高於10秒。在某些情形中,接觸時間可為大約幾分鐘。最佳接觸時間可基於特定情境而由熟習此項技術者確定。 The precursor used in the atomic layer deposition process may be a solid, liquid, or gaseous material under standard conditions (room temperature and atmospheric pressure), provided that the precursor is in a gas phase before it contacts the substrate surface. Contacting the substrate surface with the vaporized precursor means that the precursor vapor is in contact with the substrate surface for a limited period of time. Generally, the contact time is about 0.05 to 10 seconds. However, depending on the type of substrate and its surface area, the contact time can be even higher than 10 seconds. In some cases, the contact time may be about several minutes. The optimal exposure time can be determined by those skilled in the art based on the specific situation.

前驅物的質量流率(the mass flow rate)亦可由熟習此項技術者確定。在某些實施例中,金屬前驅物的流率較佳介於約1標準毫升/分鐘(sccm)與1000標準毫升/分鐘之間且不受限制,更佳介於約100標準毫升/分鐘與500標準毫升/分鐘之間。 The mass flow rate of the precursor can also be determined by those skilled in the art. In some embodiments, the flow rate of the metal precursor is preferably between about 1 standard milliliter / minute (sccm) and 1000 standard milliliter / minute and is not limited, and more preferably between about 100 standard milliliter / minute and 500 standard Ml / min.

反應室中的壓力通常為約0.01毫巴(mbar)至約20毫巴,更佳為約1毫巴至約10毫巴。然而,在某些情形中,所述壓力將高於或低於此範圍,此在給定特定情境下可由熟習此項技術者所確定。 The pressure in the reaction chamber is usually from about 0.01 mbar to about 20 mbar, and more preferably from about 1 mbar to about 10 mbar. However, in some cases, the pressure will be higher or lower than this range, which can be determined by a person skilled in the art in a given context.

在開始沈積膜之前,通常將基板加熱至合適的生長溫度。生長溫度依據所形成的薄膜的類型、前驅物的物理性質等而變化。本文中就所形成的每一種類型的薄膜來更詳細地論述生長 溫度。生長溫度可小於所沈積材料的結晶溫度以形成非晶薄膜,抑或生長溫度可高於結晶溫度以形成結晶薄膜。較佳沈積溫度可依據若干因子而變化,例如但不限於反應物前驅物、壓力、流率、反應器的佈置、所沈積薄膜的結晶溫度以及基板的構成(包括欲沈積於基板上的材料的本質)。具體生長溫度可由熟習此項技術者來選擇。 Before starting the deposition of the film, the substrate is usually heated to a suitable growth temperature. The growth temperature varies depending on the type of thin film formed, the physical properties of the precursor, and the like. This article discusses growth in more detail for each type of film formed temperature. The growth temperature may be lower than the crystallization temperature of the deposited material to form an amorphous thin film, or the growth temperature may be higher than the crystallization temperature to form a crystalline thin film. The preferred deposition temperature can vary depending on several factors, such as, but not limited to, reactant precursors, pressure, flow rate, reactor arrangement, crystallization temperature of the deposited film, and the composition of the substrate (including the material to be deposited on the substrate). Nature). The specific growth temperature can be selected by those skilled in the art.

可使用的合適的反應器的實例包括以下市售設備:例如可自亞利桑納州的菲尼克斯(Phoenix,Arizona)的ASM美國公司以及荷蘭的阿爾梅勒(Almere,Netherlands)的ASM歐洲有限公司獲得的F-120®反應器、F-450®反應器、普爾薩(Pulsar®)反應器-例如Pulsar® 2000及Pulsar® 3000-愛默ALD(EmerALD®)反應器及高級(Advance®)400系列反應器。其他市售反應器包括以商品名益高(Eagle®)XP及Eagle® XP8自ASM日本股份有限公司(日本的東京(Tokyo,Japan))獲得的反應器。 Examples of suitable reactors that can be used include the following commercially available equipment: for example, available from ASM America, Inc. of Phoenix, Arizona, and ASM Europe Ltd., Almere, Netherlands. F-120 ® reactors, F-450 ® reactors, Pulsar ® reactors-such as Pulsar ® 2000 and Pulsar ® 3000-EmerALD ® reactors and Advanced ® 400 series reactor. Other commercial reactor includes a reactor under the trade name of the benefits of high (Eagle ®) XP and Eagle ® XP8 from ASM Japan Co., Ltd. (Tokyo, Japan (Tokyo, Japan)) obtained.

在某些實施例中,可使用批式反應器(batch reactor)。合適的批式反應器包括但不限於以商品名ALDA400TM及A412TM商購自ASM歐洲有限公司(荷蘭的阿爾梅勒)的反應器。在某些實施例中,利用其中舟皿(boat)在處理期間旋轉的垂直批式反應器,例如A412TM。因此,在某些實施例中,晶圓在處理期間旋轉。在其中使用批式反應器的某些實施例中,晶圓間的均勻性(wafer-to-wafer uniformity)小於3%(1 σ)、小於2%、小於1%、或甚至小於0.5%。 In some embodiments, a batch reactor may be used. Suitable batch reactors include, but are not limited to, those commercially available under the trade names ALDA400 and A412 from ASM Europe Ltd. (Almere, Netherlands). In some embodiments, a vertical batch reactor, such as A412 (TM ), is used in which the boat rotates during processing. Therefore, in some embodiments, the wafer is rotated during processing. In some embodiments where a batch reactor is used, wafer-to-wafer uniformity is less than 3% (1 sigma), less than 2%, less than 1%, or even less than 0.5%.

可視需要在連接至集簇工具(cluster tool)的反應器或反應空間中執行生長處理。在集簇工具中,由於每一反應空間專用於一種類型的處理,因此每一模組中的反應空間的溫度可保持恆定,此相較於其中在每次運行之前將基板加熱達製程溫度的反應器提高了生產量。 The growth process may be performed in a reactor or a reaction space connected to a cluster tool as required. In the clustering tool, since each reaction space is dedicated to one type of processing, the temperature of the reaction space in each module can be kept constant, as compared to the case where the substrate is heated to the process temperature before each run The reactor increases throughput.

獨立反應器可配備有裝載鎖(load-lock)。在所述情形中,無需在每次運行之間冷卻反應空間。 The stand-alone reactor may be equipped with a load-lock. In this case, it is not necessary to cool the reaction space between each run.

參照圖1並根據某些實施例,在步驟110處提供包括如上所述的第一表面(例如SiO2)的基板。在120處選擇性地處理氧化物表面的一部分以形成包含H封端的表面。舉例而言,可例如以HF選擇性地蝕刻表面的所述部分以形成包含-SiH3、-SiH2、或-SiH的H封端表面。 Referring to FIG. 1 and according to some embodiments, a substrate including a first surface (eg, SiO 2 ) as described above is provided at step 110. A portion of the oxide surface is selectively treated at 120 to form a H-terminated surface. For example, the portion may be for example selective etching of the surface to be formed comprising HF -SiH 3, -SiH 2, or H-terminated surface of -SiH.

藉由包含多個循環的原子層沈積型製程100,相對於H封端的第二表面、選擇性地在基板的SiO2第一表面上沈積包含鎳、鈦、鐵或鈷的材料,例如鎳、氮化鎳(NiNx)、鈷、鐵、或氧化鈦,每一循環包括以下步驟。 With the atomic layer deposition process 100 including multiple cycles, a material including nickel, titanium, iron, or cobalt, such as nickel, is selectively deposited on the first surface of SiO 2 of the substrate with respect to the second surface terminated by H. Nickel nitride (NiN x ), cobalt, iron, or titanium oxide, each cycle includes the following steps.

在步驟130處,使基板的表面接觸汽化第一前驅物。第一前驅物可包括鎳前驅物、鈷前驅物、鐵前驅物或鈦前驅物。 At step 130, the surface of the substrate is brought into contact with the vaporized first precursor. The first precursor may include a nickel precursor, a cobalt precursor, an iron precursor, or a titanium precursor.

在步驟140處,自表面移除過量的第一前驅物及反應副產物(若存在)。 At step 140, excess first precursor and reaction byproducts, if present, are removed from the surface.

在步驟150處,使基板的表面接觸汽化第二反應物。 At step 150, the surface of the substrate is brought into contact with the vaporized second reactant.

在步驟160處,自表面移除在基板的第一表面上的第一 前驅物層與第二反應物之間的反應中形成的過量的第二反應物及任何氣態副產物。 At step 160, the first on the first surface of the substrate is removed from the surface An excess of the second reactant and any gaseous by-products formed in the reaction between the precursor layer and the second reactant.

以及,在步驟170處,視需要重複接觸及移除步驟,直至已形成所需厚度的包含選擇性沈積的材料的薄膜。 And, at step 170, the contacting and removing steps are repeated as needed until a thin film including a selectively deposited material having a desired thickness has been formed.

如上所述,在某些實施例中,可在開始沈積製程100之前對基板的一個或多個表面進行處理,以增強在一個表面(相對於一個或多個不同表面)上的沈積。在圖1中,此由步驟120指示。 As described above, in some embodiments, one or more surfaces of the substrate may be processed prior to commencing the deposition process 100 to enhance deposition on one surface (as opposed to one or more different surfaces). In FIG. 1, this is indicated by step 120.

儘管所示沈積循環開始於使基板的表面接觸第一前驅物,但在其他實施例中,沈積循環開始於使基板的表面接觸第二反應物。熟習此項技術者應理解,通常使基板表面接觸第一前驅物與使基板表面接觸第二反應物在原子層沈積循環中可互換。 Although the illustrated deposition cycle begins with contacting the surface of the substrate with the first precursor, in other embodiments, the deposition cycle begins with contacting the surface of the substrate with the second reactant. Those skilled in the art should understand that, generally, contacting the substrate surface with the first precursor and contacting the substrate surface with the second reactant are interchangeable in the atomic layer deposition cycle.

在某些實施例中,可藉由停止第一前驅物的流動同時使例如氮氣或氬氣等惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。 In some embodiments, the reactants and reaction by-products can be removed from the surface of the substrate by stopping the flow of the first precursor while continuing to flow an inert carrier gas such as nitrogen or argon.

在某些實施例中,可藉由停止第二反應物的流動同時使惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。在某些實施例中,使基板移動以使得不同反應物在所需時間內以所需順序交替地及依序地接觸基板的表面。在某些實施例中,不執行移除步驟140及160。在某些實施例中,可不自腔室的各部分移除反應物。在某些實施例中,使基板自腔室的包含第一前驅物的部分移動至腔室的包含第二反應物的另一部分。在某些實施例 中,使基板自第一反應室移動至不同的第二反應室。 In some embodiments, the reactants and reaction by-products can be removed from the surface of the substrate by stopping the flow of the second reactant while continuing to flow the inert carrier gas. In some embodiments, the substrate is moved such that different reactants contact the surface of the substrate alternately and sequentially in a desired order within a desired time. In some embodiments, removal steps 140 and 160 are not performed. In some embodiments, reactants may not be removed from portions of the chamber. In some embodiments, the substrate is moved from a portion of the chamber containing the first precursor to another portion of the chamber containing the second reactant. In some embodiments During the process, the substrate is moved from the first reaction chamber to a different second reaction chamber.

在某些實施例中,每一反應皆為自限制性的,且達成單層逐一生長。該些可被稱為「真正的原子層沈積」反應。在某些此種實施例中,鎳前驅物(或如本文所述的其他前驅物)可以自限制性方式吸附於基板表面上。第二反應物接著將與所吸附的鎳前驅物反應,以在基板上形成為鎳(或如本文所述的其他材料)單層。 In some embodiments, each reaction is self-limiting and achieves single layer growth one by one. These can be called "true atomic layer deposition" reactions. In certain such embodiments, a nickel precursor (or other precursor as described herein) may be adsorbed on the substrate surface in a self-limiting manner. The second reactant will then react with the adsorbed nickel precursor to form a single layer of nickel (or other material as described herein) on the substrate.

然而,在某些實施例中,提供其中可存在某一前驅物分解但使生長飽和的原子層沈積型反應。亦即,在某些實施例中,儘管可藉由在某些沈積溫度下鎳前驅物(或如本文所述的其他金屬前驅物)的熱分解而造成一定量的膜生長,但當利用第二反應物時較佳達成飽和生長。此種反應為原子層沈積型反應的實例。在此種原子層沈積型反應中,可沈積出具有良好均勻性的膜以及相對少的雜質。 However, in certain embodiments, an atomic layer deposition type reaction is provided in which there may be a certain precursor that decomposes but saturates growth. That is, in some embodiments, although a certain amount of film growth can be caused by thermal decomposition of a nickel precursor (or other metal precursor as described herein) at certain deposition temperatures, the Saturated growth is preferably achieved with two reactants. Such a reaction is an example of an atomic layer deposition type reaction. In such an atomic layer deposition type reaction, a film with good uniformity and relatively few impurities can be deposited.

在某些實施例中,發生一個或多個前驅物的熱分解,尤其是鎳、鈷、鐵或鈦前驅物。在此種情形中,生長率可能不會隨著脈衝時間的增加而完全穩定。相反,生長率可隨著增加的脈衝時間而繼續上升,但生長率可能隨著脈衝時間的不斷增加而增長得更緩慢。因此在某些實施例中,使用其中交替地及分開地提供反應物但可發生某些氣相反應的脈衝式化學氣相沈積型沈積製程。較佳地將條件選擇成使分解的機制為表面控制分解,此致使產生良好的均勻性及良好的步階覆蓋。亦可將反應條件選擇成保 持對反應的良好控制,以致使產生具有低雜質的良好品質的膜。 In certain embodiments, thermal decomposition of one or more precursors occurs, especially nickel, cobalt, iron, or titanium precursors. In this case, the growth rate may not be completely stable with increasing pulse time. In contrast, the growth rate may continue to increase with increasing pulse time, but the growth rate may increase more slowly with increasing pulse time. Therefore, in some embodiments, a pulsed chemical vapor deposition type deposition process is used in which reactants are provided alternately and separately but certain gas phase reactions can occur. The conditions are preferably selected such that the mechanism of the decomposition is surface controlled decomposition, which results in good uniformity and good step coverage. The reaction conditions can also be selected as Maintain good control of the reaction so that a good quality film with low impurities is produced.

因此,在某些實施例中,沈積溫度低於鎳前驅物(或如本文所述的其他前驅物)的熱分解溫度,而在其他實施例中,沈積溫度可處於熱分解溫度或高於熱分解溫度。 Thus, in some embodiments, the deposition temperature is lower than the thermal decomposition temperature of the nickel precursor (or other precursors as described herein), while in other embodiments, the deposition temperature may be at or above the thermal decomposition temperature Decomposition temperature.

如上所述,在某些實施例中,相對於H封端的第二表面(例如-SiH3、-SiH2、或-SiH封端表面)、藉由脈衝式化學氣相沈積製程而選擇性地在第一表面(例如SiO2表面)上沈積薄膜,其中將氣相金屬前驅物間斷地脈衝提供至包括基板的反應空間中並自反應空間吹洗。在某些實施例中,利用單一金屬前驅物。在某些實施例中,將基板暴露至一個前驅物脈衝、或藉由前驅物移除或吹洗步驟而分開的連續的前驅物脈衝。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相金屬前驅物且不接觸氣相第二反應物。然而在某些實施例中,除氣相金屬前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個金屬前驅物脈衝。在某些實施例中,可使基板接觸氣相金屬前驅物,可自基板表面移除過量的金屬前驅物及反應副產物(若存在),且可例如在連續的脈衝中使基板再次接觸氣相金屬前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相金屬前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 As described above, in some embodiments, the H-terminated second surface (for example, -SiH 3 , -SiH 2 , or -SiH capped surface) is selectively processed by a pulsed chemical vapor deposition process. A thin film is deposited on a first surface, such as a SiO 2 surface, in which a gas-phase metal precursor is intermittently pulsed into a reaction space including a substrate and purged from the reaction space. In some embodiments, a single metal precursor is utilized. In some embodiments, the substrate is exposed to a precursor pulse, or a continuous precursor pulse separated by a precursor removal or purge step. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. For example, in some embodiments, the substrate may be contacted continuously or intermittently with the gaseous metal precursor and without contacting the gaseous second reactant. However, in some embodiments, in addition to the vapor-phase metal precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one metal precursor pulse. In some embodiments, the substrate can be brought into contact with the gaseous metal precursor, excess metal precursor and reaction byproducts (if present) can be removed from the substrate surface, and the substrate can be brought into contact with gas again, for example, in a continuous pulse Phase metal precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the vapor-phase metal precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas.

選擇性地在SiOSelectively in SiO 22 上沈積鎳Deposited nickel

如上所述,在某些實施例中,相對於基板的H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面)、選擇性地在第一基板表面(如上所述,例如同一基板的SiO2表面)上沈積包含鎳的材料。 As described above, in certain embodiments, the H-terminated second surface of the substrate (eg, -SiH 3 , -SiH 2 , or -SiH surface) is selectively on the surface of the first substrate (as described above, For example, a material containing nickel is deposited on the surface of SiO 2 of the same substrate.

在某些實施例中,藉由對表面進行處理以提供H封端而在沈積之前形成H封端的第二表面,並藉此抑制在第二表面(相對於第一表面)上的鎳沈積。在某些實施例中,所述處理可為原位(in situ)處理。在某些實施例中,第二表面可為經處理以提供H封端表面(例如-SiH3、-SiH2、或-SiH封端表面)的SiO2表面。在某些實施例中,可使第二表面接觸化學品,所述化學品提供H封端(例如藉由形成-SiH3、-SiH2、或-SiH表面)。在某些實施例中,對SiO2表面的處理可包括:以HF(例如0.5%的HF)蝕刻所述表面。可使用遮罩或其他製程來處理第一表面的一個或多個部分以形成H封端的第二表面。舉例而言,可使用遮罩或其他製程來選擇性地蝕刻SiO2表面的一個或多個部分,以形成第二SiHx表面同時保持SiO2第一表面的剩餘部分不被干擾。 In some embodiments, the H-capped second surface is formed before deposition by processing the surface to provide H-capped, and thereby suppressing nickel deposition on the second surface (as opposed to the first surface). In some embodiments, the processing may be an in situ processing. In some embodiments, the second surface may be a SiO 2 surface that is treated to provide an H-terminated surface (eg, -SiH 3 , -SiH 2 , or -SiH-terminated surface). In certain embodiments, chemicals can make contact with the second surface, said capping chemical to provide H (e.g., by forming -SiH 3, -SiH 2, or -SiH surface). In some embodiments, the treatment of the SiO 2 surface may include etching the surface with HF (eg, 0.5% HF). Masks or other processes may be used to process one or more portions of the first surface to form an H-capped second surface. For example, a mask or other process may be used to selectively etch one or more portions of the SiO 2 surface to form a second SiH x surface while keeping the remaining portion of the first surface of SiO 2 undisturbed.

在某些實施例中,可對第一表面進行處理以增強在第一表面上的鎳沈積。舉例而言,可對SiO2第一表面進行處理以增加表面上的OH基團的量。 In some embodiments, the first surface may be treated to enhance nickel deposition on the first surface. For example, the first surface of SiO 2 may be treated to increase the amount of OH groups on the surface.

在某些實施例中,相對於第二表面、在第一表面(例如相對於基板的SiHx第二表面之基板的SiO2第一表面)上的鎳沈積 具有至少約90%的選擇性、至少約95%的選擇性、至少約96%、97%、98%、或99%或者99%以上的選擇性。在某些實施例中,沈積僅發生在第一表面上而不發生在第二表面上。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的沈積具有至少約50%的選擇性、至少約70%的選擇性、或至少約80%的選擇性,此可為足以用於某些特定應用的選擇性。 In certain embodiments, a second surface, the first surface (e.g. SiO 2 with respect to the first surface of the substrate SiH x of the second substrate surface) on a nickel deposit having at least about 90% selectivity, Selectivity of at least about 95%, at least about 96%, 97%, 98%, or 99% or more selectivity. In some embodiments, the deposition occurs only on the first surface and not on the second surface. In some embodiments, the deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of at least about 50%, a selectivity of at least about 70%, or a selectivity of at least about 80% This may be sufficient selectivity for some specific applications.

參照圖2並根據某些實施例,在步驟210處提供包括如上所述的第一表面(例如SiO2表面)的基板。在步驟220處藉由暴露至HF而選擇性地處理SiO2表面的一部分,以形成H封端的第二表面(例如,-SiH3、-SiH2、或-SiH表面)。 Referring to FIG. 2 and according to some embodiments, a substrate including a first surface (eg, a SiO 2 surface) as described above is provided at step 210. A portion of the SiO 2 surface is selectively processed by exposure to HF at step 220 to form a H-terminated second surface (eg, -SiH 3 , -SiH 2 , or -SiH surface).

在步驟230處藉由氣相沈積製程(例如藉由原子層沈積或化學氣相沈積)而選擇性地在基板的SiO2表面(相對於H封端表面)上沈積包含鎳的材料。 The SiO 2 surface by vapor deposition process (e.g., by atomic layer deposition or chemical vapor deposition) selectively in the substrate at step 230 (with respect to the surface of the capping H) deposited on a material comprising nickel.

在某些實施例中,藉由包含多個鎳沈積循環的原子層沈積型製程而選擇性地在反應室中的基板的SiO2第一表面(相對於H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面))上形成元素鎳薄膜,每一沈積循環包括:使基板表面接觸包含第一鎳前驅物的第一氣相反應物以在基板上形成鎳前驅物層;自基板表面移除過量的第一反應物;使基板接觸第二氣相反應物,以使得第二反應物以自限制性方式與基板上的第一鎳前驅物反應以形成鎳;以及自基板表面移除過量的第二反應物及反應副產物(若存在)。 In some embodiments, the SiO 2 first surface of the substrate in the reaction chamber (relative to the H-terminated second surface (e.g., -SiH) is selectively formed by an atomic layer deposition type process including multiple nickel deposition cycles. 3, -SiH 2, or -SiH surface) is formed on the nickel thin film element), each deposition cycle comprising: contacting a substrate surface comprising a first gas phase reactor was first nickel precursor to form a nickel layer on a substrate precursor Removing excess first reactant from the surface of the substrate; contacting the substrate with a second gaseous reactant such that the second reactant reacts with the first nickel precursor on the substrate in a self-limiting manner to form nickel; and Excessive second reactants and reaction byproducts, if present, are removed from the substrate surface.

此可被稱為鎳沈積循環。每一鎳沈積循環通常選擇性地 在SiO2表面上形成至多約一個鎳單層。在沈積溫度高於鎳前驅物的分解溫度的某些情形中,可在每一鎳沈積循環中形成多於一個鎳單層。可重複鎳沈積循環直至形成所需厚度的膜。 This may be referred to as a nickel deposition cycle. Each nickel deposition cycle typically selectively forms up to about one nickel monolayer on the SiO 2 surface. In some cases where the deposition temperature is higher than the decomposition temperature of the nickel precursor, more than one nickel monolayer may be formed in each nickel deposition cycle. The nickel deposition cycle can be repeated until a film of the desired thickness is formed.

儘管所示鎳沈積循環開始於提供第一鎳前驅物,但在其他實施例中,沈積循環開始於提供第二反應物。熟習此項技術者應理解,提供第一鎳前驅物與提供第二反應物在原子層沈積循環中可互換。 Although the nickel deposition cycle shown begins with providing a first nickel precursor, in other embodiments, the deposition cycle begins with providing a second reactant. Those skilled in the art will understand that providing a first nickel precursor and providing a second reactant are interchangeable in an atomic layer deposition cycle.

在某些實施例中,可藉由停止反應物的流動同時使例如氮氣或氬氣等惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。在某些實施例中,可藉由自反應室移除基板或使基板在反應室內移動而自基板表面移除反應物及反應副產物。 In some embodiments, the reactants and reaction by-products can be removed from the substrate surface by stopping the flow of the reactants while continuing to flow an inert carrier gas such as nitrogen or argon. In some embodiments, the reactants and reaction byproducts can be removed from the surface of the substrate by removing the substrate from the reaction chamber or moving the substrate within the reaction chamber.

如上所述,在某些實施例中,相對於H封端的第二表面(例如-SiH3、-SiH2、或-SiH封端表面)、藉由脈衝式化學氣相沈積製程選擇性地在第一表面(例如SiO2表面)上沈積鎳薄膜,其中將氣相鎳前驅物交替地脈衝提供至包括基板的反應空間中並自反應空間吹洗。 As described above, in some embodiments, the H-terminated second surface (for example, -SiH 3 , -SiH 2 , or -SiH capped surface) is selectively A nickel film is deposited on a first surface (such as a SiO 2 surface), wherein a gas phase nickel precursor is alternately pulsed into a reaction space including a substrate and purged from the reaction space.

在某些實施例中,利用單一鎳前驅物。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。在某些實施例中,將基板暴露至一個前驅物脈衝、或由前驅物移除或吹洗步驟而分開的連續的前驅物脈衝。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相鎳前驅物且不接觸氣相第二反應物。然而在某些實施例中,除氣相鎳前驅物之外,可使基板接觸 不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個鎳前驅物脈衝。在某些實施例中,可使基板接觸氣相鎳前驅物,可自基板表面移除過量的鎳前驅物及反應副產物(若存在),且可例如在連續的脈衝中使基板再次接觸氣相鎳前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相鎳前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 In some embodiments, a single nickel precursor is utilized. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. In some embodiments, the substrate is exposed to one precursor pulse, or a continuous precursor pulse separated by a precursor removal or purging step. For example, in some embodiments, the substrate can be continuously or intermittently contacted with the vapor-phase nickel precursor and not contacted with the vapor-phase second reactant. However, in some embodiments, in addition to the vapor phase nickel precursor, the substrate can be contacted Another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one nickel precursor pulse. In some embodiments, the substrate can be brought into contact with the gas phase nickel precursor, excess nickel precursor and reaction byproducts (if present) can be removed from the substrate surface, and the substrate can be brought into contact with gas again, for example, in a continuous pulse Phase nickel precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the vapor phase nickel precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas.

選擇性地在SiOSelectively in SiO 22 上沈積NiNNiN xx

如上所述,在某些實施例中,相對於基板的H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面)、選擇性地在如上所述的第一基板表面(例如同一基板的SiO2第一表面)上沈積包含鎳的材料,例如包含氮化鎳(NiNx)的材料。 As described above, in certain embodiments, the second surface of the substrate H-terminated (e.g. -SiH 3, -SiH 2, or -SiH surface) with respect to the first surface of the substrate selectively as described above ( For example, a material including nickel, such as a material including nickel nitride (NiN x ), is deposited on the first surface of SiO 2 on the same substrate.

在某些實施例中,藉由對表面進行處理以提供H封端而在沈積之前形成H封端的第二表面,並藉此抑制在第二表面(相對於第一表面)上沈積包含鎳的材料(例如氮化鎳)。在某些實施例中,所述處理可為原位處理。在某些實施例中,第二表面可為經處理以提供H封端表面(例如-SiH3、-SiH2或-SiH封端表面)的SiO2表面。在某些實施例中,可使第二表面接觸化學品,所述化學品提供H封端(例如藉由形成-SiH3、-SiH2或-SiH表面)。在某些實施例中,對SiO2表面的處理可包括以HF(例如0.5%的HF)蝕刻所述表面。可使用遮罩或其他製程來處理第一表面的一個或多個部分以形成H封端的第二表面。舉例而言,可使用遮罩或其 他製程來選擇性地蝕刻SiO2表面的一個或多個部分,以形成SiHx第二表面同時保持SiO2第一表面的剩餘部分不被干擾。 In some embodiments, the H-capped second surface is formed before deposition by processing the surface to provide H-capped, and thereby suppressing the deposition of nickel-containing on the second surface (relative to the first surface). Material (such as nickel nitride). In some embodiments, the processing may be an in-situ processing. In some embodiments, the second surface may be a SiO 2 surface that is treated to provide an H-terminated surface (eg, -SiH 3 , -SiH 2, or -SiH-terminated surface). In certain embodiments, chemicals can make contact with the second surface, said capping chemical to provide H (e.g., by forming -SiH 3, -SiH 2 -SiH or surface). In some embodiments, the treatment of the SiO 2 surface may include etching the surface with HF (eg, 0.5% HF). Masks or other processes may be used to process one or more portions of the first surface to form an H-capped second surface. For example, a mask or other process may be used to selectively etch one or more portions of the SiO 2 surface to form a SiH x second surface while keeping the remainder of the SiO 2 first surface undisturbed.

在某些實施例中,可對第一表面進行處理,以增強在第一表面上沈積包含鎳的材料(例如氮化鎳)。舉例而言,可對SiO2第一表面進行處理以增加表面上的OH基團的量。 In some embodiments, the first surface may be treated to enhance the deposition of a material comprising nickel (eg, nickel nitride) on the first surface. For example, the first surface of SiO 2 may be treated to increase the amount of OH groups on the surface.

在某些實施例中,相對於基板的H封端的第二表面的在第一表面(例如基板的第一SiO2表面)上的NiNx沈積具有至少約90%的選擇性、至少約95%的選擇性、至少約96%、97%、98%、或99%或者99%以上的選擇性。在某些實施例中,NiNx沈積僅發生在第一表面上而不發生在第二表面上。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的NiNx沈積具有至少約50%的選擇性、至少約70%的選擇性、或至少約80%的選擇性,此可為足以用於某些特定應用的選擇性。 In some embodiments, the NiN x deposition on the first surface (eg, the first SiO 2 surface of the substrate) relative to the H-terminated second surface of the substrate has a selectivity of at least about 90%, at least about 95% Selectivity of at least about 96%, 97%, 98%, or 99% or more. In some embodiments, NiN x deposition occurs only on the first surface and not on the second surface. In certain embodiments, NiN x deposited on the first surface of the substrate (relative to the second surface of the substrate) having at least about 50% selectivity, selectivity of at least about 70%, or at least about 80% Selectivity, which may be sufficient for some specific applications.

參照圖3並根據某些實施例,在步驟310處,提供包括如上所述的表面(例如SiO2表面)的基板。在步驟320處藉由暴露至HF而選擇性地處理SiO2表面的一部分,以形成包含H封端的第二表面(例如-SiH3、-SiH2、或-SiH)。 Referring to FIG. 3 and according to some embodiments, at step 310, a substrate including a surface (such as a SiO 2 surface) as described above is provided. A portion of the SiO 2 surface is selectively processed by exposure to HF at step 320 to form a second surface including H-terminated (eg, -SiH 3 , -SiH 2 , or -SiH).

在步驟330處藉由氣相沈積製程(例如藉由原子層沈積或化學氣相沈積)而相對於H封端表面選擇性地在基板的SiO2表面上沈積包含鎳的材料,例如氮化鎳(NiNx)。 A material containing nickel, such as nickel nitride, is selectively deposited on the SiO 2 surface of the substrate with respect to the H-terminated surface by a vapor deposition process (such as by atomic layer deposition or chemical vapor deposition) at step 330. (NiN x ).

在某些實施例中,藉由包含多個氮化鎳沈積循環的原子層沈積型製程而選擇性地在反應室中的基板上的SiO2第一表面 (相對於H封端的第二表面(例如SiHx封端表面))上形成包含鎳的材料,例如氮化鎳薄膜,每一沈積循環包括:使基板表面接觸包含第一鎳前驅物的第一氣相反應物以在基板上形成鎳前驅物層;自基板表面移除過量的第一反應物;使基板接觸第二氣相氮反應物,以使得第二反應物以自限制性方式與基板上的第一鎳前驅物反應以形成包含鎳的材料,例如NiNx;以及自基板表面移除過量的第二反應物及反應副產物(若存在)。 In some embodiments, the SiO 2 first surface (relative to the H-terminated second surface) is selectively on the substrate in the reaction chamber by an atomic layer deposition type process including multiple nickel nitride deposition cycles. For example, SiH x capped surface)) is used to form a material containing nickel, such as a nickel nitride film, and each deposition cycle includes: contacting the substrate surface with a first gas-phase reactant including a first nickel precursor to form nickel on the substrate Precursor layer; removing excess first reactant from the substrate surface; contacting the substrate with a second gas phase nitrogen reactant so that the second reactant reacts with the first nickel precursor on the substrate in a self-limiting manner to form a material containing nickel, for example NiN x; and removing excess second reactant and reaction byproducts (if present) from the substrate surface.

此可被稱為NiNx沈積循環。每一NiNx沈積循環通常選擇性地在SiO2表面上形成至多約一個NiNx單層。在沈積溫度高於鎳前驅物的分解溫度的某些情形中,可在每一NiNx沈積循環中形成多於一個NiNx單層。重複NiNx沈積循環直至形成所需厚度的膜。 This may be referred to as a NiN x deposition cycle. Each NiN x deposition cycle typically selectively forms up to about one NiN x monolayer on the SiO 2 surface. In some cases, the deposition temperature is higher than the decomposition temperature of the nickel precursor, may form more than a monolayer NiN x NiN x in each deposition cycle. The NiN x deposition cycle is repeated until a film of the desired thickness is formed.

在某些實施例中,可藉由停止反應物的流動同時使例如氮氣或氬氣等惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。在某些實施例中,可藉由自反應室移除基板或使基板在反應室內移動而自基板表面移除反應物及反應副產物。 In some embodiments, the reactants and reaction by-products can be removed from the substrate surface by stopping the flow of the reactants while continuing to flow an inert carrier gas such as nitrogen or argon. In some embodiments, the reactants and reaction byproducts can be removed from the surface of the substrate by removing the substrate from the reaction chamber or moving the substrate within the reaction chamber.

如上所述,在某些實施例中,藉由其中將鎳前驅物及氮前驅物提供至反應室的化學氣相沈積製程(例如脈衝式化學氣相沈積製程),而相對於H封端的第二表面選擇性地在如上所述的第一表面(例如基板的SiO2表面)上沈積NiNx層。 As described above, in some embodiments, by means of a chemical vapor deposition process (such as a pulsed chemical vapor deposition process) in which a nickel precursor and a nitrogen precursor are provided to a reaction chamber, The two surfaces selectively deposit a NiN x layer on the first surface (such as the SiO 2 surface of the substrate) as described above.

在某些實施例中,利用單一鎳前驅物。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。在某些實施 例中,將基板暴露至一個前驅物脈衝、或由前驅物移除或吹洗步驟而分開的連續的前驅物脈衝。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相鎳前驅物且不接觸氣相第二反應物。然而在某些實施例中,除氣相鎳前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個鎳前驅物脈衝。在某些實施例中,可使基板接觸氣相鎳前驅物,可自基板表面移除過量的鎳前驅物及反應副產物(若存在),且可例如在連續的脈衝中使基板再次接觸氣相鎳前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相鎳前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 In some embodiments, a single nickel precursor is utilized. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. In some implementations In an example, the substrate is exposed to a precursor pulse, or a continuous precursor pulse separated by a precursor removal or purging step. For example, in some embodiments, the substrate can be continuously or intermittently contacted with the vapor-phase nickel precursor and not contacted with the vapor-phase second reactant. However, in some embodiments, in addition to the vapor phase nickel precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one nickel precursor pulse. In some embodiments, the substrate can be brought into contact with the gas phase nickel precursor, excess nickel precursor and reaction byproducts (if present) can be removed from the substrate surface, and the substrate can be brought into contact with gas again, for example, in a continuous pulse Phase nickel precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the vapor phase nickel precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas.

選擇性地在SiOSelectively in SiO 22 上沈積鈷Deposited cobalt

如上所述,在某些實施例中,相對於基板的H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面)、選擇性地在第一基板表面(如上所述,例如同一基板的SiO2表面)上沈積包含鈷的材料。 As described above, in certain embodiments, the H-terminated second surface of the substrate (eg, -SiH 3 , -SiH 2 , or -SiH surface) is selectively on the surface of the first substrate (as described above, For example, a material containing cobalt is deposited on the surface of SiO 2 of the same substrate.

在某些實施例中,藉由對表面進行處理以提供H封端而在沈積之前形成H封端的第二表面,並藉此抑制在第二表面(相對於第一表面)上的鈷沈積。在某些實施例中,所述處理可為原位處理。在某些實施例中,第二表面可為經處理以提供H封端表面(例如-SiH3、-SiH2、或-SiH封端表面)的SiO2表面。在某些實施例中,可使第二表面接觸化學品,所述化學品提供H封端(例 如藉由形成-SiH3、-SiH2、或-SiH表面)。在某些實施例中,對SiO2表面的處理可包括以HF(例如0.5%的HF)蝕刻所述表面。可使用遮罩或其他製程來處理第一表面的一個或多個部分以形成H封端的第二表面。舉例而言,可使用遮罩或其他製程來選擇性地蝕刻SiO2表面的一個或多個部分,以形成SiHx第二表面同時保持SiO2第一表面的剩餘部分不被干擾。 In some embodiments, the H-capped second surface is formed prior to deposition by treating the surface to provide H-capped, and thereby suppress cobalt deposition on the second surface (as opposed to the first surface). In some embodiments, the processing may be an in-situ processing. In some embodiments, the second surface may be a SiO 2 surface that is treated to provide an H-terminated surface (eg, -SiH 3 , -SiH 2 , or -SiH-terminated surface). In certain embodiments, chemicals can make contact with the second surface, said capping chemical to provide H (e.g., by forming -SiH 3, -SiH 2, or -SiH surface). In some embodiments, the treatment of the SiO 2 surface may include etching the surface with HF (eg, 0.5% HF). Masks or other processes may be used to process one or more portions of the first surface to form an H-capped second surface. For example, a mask or other process may be used to selectively etch one or more portions of the SiO 2 surface to form a SiH x second surface while keeping the remainder of the SiO 2 first surface undisturbed.

在某些實施例中,可對第一表面進行處理以增強在第一表面上的鈷沈積。舉例而言,可對SiO2第一表面進行處理以增加表面上的OH基團的量。 In some embodiments, the first surface may be treated to enhance cobalt deposition on the first surface. For example, the first surface of SiO 2 may be treated to increase the amount of OH groups on the surface.

在某些實施例中,相對於第二表面的在第一表面(例如相對於基板的SiHx第二表面的基板的SiO2第一表面)上的鈷沈積具有至少約90%的選擇性、至少約95%的選擇性、至少約96%、97%、98%、或99%或者99%以上的選擇性。在某些實施例中,沈積僅發生在第一表面上而不發生在第二表面上。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的沈積具有至少約50%的選擇性、至少約70%的選擇性、或至少約80%的選擇性,此可為足以用於某些特定應用的選擇性。 In certain embodiments, the first surface with respect to the second surface (e.g. SiO 2 SiH x first surface of the substrate with respect to the second surface of the substrate) is deposited on cobalt at least about 90% selectivity, Selectivity of at least about 95%, at least about 96%, 97%, 98%, or 99% or more selectivity. In some embodiments, the deposition occurs only on the first surface and not on the second surface. In some embodiments, the deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of at least about 50%, a selectivity of at least about 70%, or a selectivity of at least about 80% This may be sufficient selectivity for some specific applications.

參照圖4並根據某些實施例,在步驟410處,提供包括如上所述的第一表面(例如SiO2表面)的基板。在步驟420處藉由暴露至HF而選擇性地處理SiO2表面的一部分以形成H封端第二表面(例如-SiH3、-SiH2、或-SiH表面)。 Referring to FIG. 4 and according to some embodiments, at step 410, a substrate including a first surface (eg, a SiO 2 surface) as described above is provided. A portion of the SiO 2 surface is selectively processed at step 420 to form an H-terminated second surface (eg, -SiH 3 , -SiH 2 , or -SiH surface) by exposure to HF.

在步驟430處藉由氣相沈積製程(例如藉由原子層沈積 或化學氣相沈積)而相對於H封端表面選擇性地在基板的SiO2表面上沈積鈷。 At step 430 by vapor deposition process (e.g., by atomic layer deposition or chemical vapor deposition) with respect to the surface of H-terminated cobalt selectively deposited on surface of the SiO 2 substrate.

在某些實施例中,藉由包含多個鈷沈積循環的原子層沈積型製程而選擇性地在反應室中的基板的SiO2第一表面(相對於H封端的第二表面(-SiH3、-SiH2、或-SiH封端表面))上形成元素鈷薄膜,每一沈積循環包括:使基板表面接觸包含第一鈷前驅物的第一氣相反應物以在基板上形成鈷前驅物層;自基板表面移除過量的第一反應物;使基板接觸第二氣相反應物,以使得第二反應物以自限制性方式與基板上的第一鈷前驅物反應以形成鈷;以及自基板表面移除過量的第二反應物及反應副產物(若存在)。 In some embodiments, the SiO 2 first surface (relative to the H-terminated second surface (-SiH 3 , -SiH 2 , or -SiH capped surface)) to form an elemental cobalt film, each deposition cycle includes: contacting the substrate surface with a first gas-phase reactant containing a first cobalt precursor to form a cobalt precursor on the substrate Removing excess first reactant from the surface of the substrate; contacting the substrate with the second gaseous reactant such that the second reactant reacts with the first cobalt precursor on the substrate in a self-limiting manner to form cobalt; and Excessive second reactants and reaction byproducts, if present, are removed from the substrate surface.

此可被稱為鈷沈積循環。每一鈷沈積循環通常選擇性地在SiO2表面上形成至多約一個鈷單層。在沈積溫度高於鈷前驅物的分解溫度的某些情形中,可在每一鈷沈積循環中形成多於一個鈷單層。重複鈷沈積循環直至形成所需厚度的膜。 This may be referred to as a cobalt deposition cycle. Each cobalt deposition cycle typically selectively forms up to about one cobalt monolayer on the SiO 2 surface. In some cases where the deposition temperature is higher than the decomposition temperature of the cobalt precursor, more than one cobalt monolayer may be formed in each cobalt deposition cycle. The cobalt deposition cycle is repeated until a film of the desired thickness is formed.

儘管所示鈷沈積循環開始於提供第一鈷前驅物,但在其他實施例中,沈積循環開始於提供第二反應物。熟習此項技術者應理解,提供第一鈷前驅物與提供第二反應物在原子層沈積循環中可互換。 Although the cobalt deposition cycle shown begins with providing a first cobalt precursor, in other embodiments, the deposition cycle begins with providing a second reactant. Those skilled in the art will understand that providing a first cobalt precursor and providing a second reactant are interchangeable in an atomic layer deposition cycle.

在某些實施例中,可藉由停止反應物的流動同時使例如氮氣或氬氣等惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。在某些實施例中,可藉由自反應室移除基板或使基板在反應室內移動而自基板表面移除反應物及反應副產物。 In some embodiments, the reactants and reaction by-products can be removed from the substrate surface by stopping the flow of the reactants while continuing to flow an inert carrier gas such as nitrogen or argon. In some embodiments, the reactants and reaction byproducts can be removed from the surface of the substrate by removing the substrate from the reaction chamber or moving the substrate within the reaction chamber.

如上所述,在某些實施例中,藉由其中交替地將氣相鈷前驅物脈衝提供至包括基板的反應空間中並自反應空間吹洗,而相對於H封端表面(例如-SiH3、-SiH2、或-SiH封端表面)選擇性地在第一表面(例如SiO2表面)上沈積鈷薄膜。 As described above, in some embodiments, the H-terminated surface (e.g. -SiH 3 , -SiH 2 , or -SiH capped surface) selectively deposits a cobalt film on a first surface (eg, a SiO 2 surface).

在某些實施例中,利用單一鈷前驅物。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。在某些實施例中,將基板暴露至一個前驅物脈衝、或由前驅物移除或吹洗步驟而分開的連續的前驅物脈衝。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相鈷前驅物且不接觸氣相第二反應物。然而在某些實施例中,除氣相鈷前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個鈷前驅物脈衝。在某些實施例中,可使基板接觸氣相鈷前驅物,可自基板表面移除過量的鈷前驅物及反應副產物(若存在),且可例如在相繼的脈衝中使基板再次接觸氣相鈷前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相鈷前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 In some embodiments, a single cobalt precursor is utilized. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. In some embodiments, the substrate is exposed to one precursor pulse, or a continuous precursor pulse separated by a precursor removal or purging step. For example, in some embodiments, the substrate may be contacted continuously or intermittently with the gas-phase cobalt precursor and without contacting the gas-phase second reactant. However, in some embodiments, in addition to the vapor-phase cobalt precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one cobalt precursor pulse. In some embodiments, the substrate can be brought into contact with the gas phase cobalt precursor, excess cobalt precursor and reaction byproducts (if present) can be removed from the substrate surface, and the substrate can be brought into contact with the gas again, for example, in successive pulses Phase cobalt precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the vapor-phase cobalt precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas.

選擇性地在SiOSelectively in SiO 22 上沈積鐵Deposited iron

如上所述,在某些實施例中,相對於基板的H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面)、選擇性地在第一基板表面(如上所述,例如同一基板的SiO2表面)上沈積包含鐵的材料。 As described above, in certain embodiments, the H-terminated second surface of the substrate (eg, -SiH 3 , -SiH 2 , or -SiH surface) is selectively on the surface of the first substrate (as described above, For example, a material containing iron is deposited on the SiO 2 surface of the same substrate.

在某些實施例中,藉由對表面進行處理以提供H封端而在沈積之前形成H封端的第二表面,並藉此抑制在第二表面(相對於第一表面)上的鐵沈積。在某些實施例中,所述處理可為原位處理。在某些實施例中,第二表面可為經處理以提供H封端表面(例如-SiH3、-SiH2、或-SiH表面)的SiO2表面。在某些實施例中,可使第二表面接觸化學品,所述化學品提供H封端(例如藉由形成-SiH3、-SiH2或-SiH表面)。在某些實施例中,對SiO2表面的處理可包括以HF(例如0.5%的HF)蝕刻所述表面。可使用遮罩或其他製程來處理第一表面的一個或多個部分以形成H封端的第二表面。舉例而言,可使用遮罩或其他製程來選擇性地蝕刻SiO2表面的一個或多個部分,以形成SiHx第二表面同時保持SiO2第一表面的剩餘部分不被干擾。 In some embodiments, the H-capped second surface is formed prior to deposition by treating the surface to provide H-capped, and thereby suppress iron deposition on the second surface (as opposed to the first surface). In some embodiments, the processing may be an in-situ processing. In some embodiments, the second surface may be a SiO 2 surface that is treated to provide an H-terminated surface (eg, -SiH 3 , -SiH 2 , or -SiH surface). In certain embodiments, chemicals can make contact with the second surface, said capping chemical to provide H (e.g., by forming -SiH 3, -SiH 2 -SiH or surface). In some embodiments, the treatment of the SiO 2 surface may include etching the surface with HF (eg, 0.5% HF). Masks or other processes may be used to process one or more portions of the first surface to form an H-capped second surface. For example, a mask or other process may be used to selectively etch one or more portions of the SiO 2 surface to form a SiH x second surface while keeping the remainder of the SiO 2 first surface undisturbed.

在某些實施例中,可對第一表面進行處理以增強在第一表面上的鐵沈積。舉例而言,可對SiO2第一表面進行處理以增加表面上的OH基團的量。 In some embodiments, the first surface may be treated to enhance iron deposition on the first surface. For example, the first surface of SiO 2 may be treated to increase the amount of OH groups on the surface.

在某些實施例中,相對於第二表面的在第一表面(例如相對於基板的SiHx第二表面的基板的SiO2第一表面)上的鐵沈積具有至少約90%的選擇性、至少約95%的選擇性、至少約96%、97%、98%、或99%或者99%以上的選擇性。在某些實施例中,沈積僅發生在第一表面上而不發生在第二表面上。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的沈積具有至少約50%的選擇性、至少約70%的選擇性、或至少約80%的選 擇性,此可為足以用於某些特定應用的選擇性。 In some embodiments, the iron deposition on the first surface (eg, the SiO 2 first surface of the substrate relative to the SiH x second surface of the substrate) relative to the second surface has a selectivity of at least about 90%, Selectivity of at least about 95%, at least about 96%, 97%, 98%, or 99% or more selectivity. In some embodiments, the deposition occurs only on the first surface and not on the second surface. In some embodiments, the deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of at least about 50%, a selectivity of at least about 70%, or a selectivity of at least about 80% This may be sufficient selectivity for some specific applications.

參照圖5並根據某些實施例,在步驟510處,提供包括如上所述的第一表面(例如SiO2表面)的基板。在步驟520處藉由暴露至HF而選擇性地處理SiO2表面的一部分,以形成H封端第二表面(例如-SiH3、-SiH2、或-SiH表面)。 Referring to FIG. 5 and according to some embodiments, at step 510, a substrate including a first surface (eg, a SiO 2 surface) as described above is provided. A portion of the SiO 2 surface is selectively processed by exposure to HF at step 520 to form an H-terminated second surface (eg, -SiH 3 , -SiH 2 , or -SiH surface).

在步驟530處藉由氣相沈積製程(例如藉由原子層沈積或化學氣相沈積)而相對於H封端表面選擇性地在基板的SiO2表面上沈積鐵。 Iron is selectively deposited on the SiO 2 surface of the substrate with respect to the H-terminated surface by a vapor deposition process (eg, by atomic layer deposition or chemical vapor deposition) at step 530.

在某些實施例中,藉由包含多個鐵沈積循環的原子層沈積型製程而選擇性地在反應室中的基板的SiO2第一表面(相對於H封端的第二表面(例如-SiH3、-SiH2、或-SiH表面))上形成元素鐵薄膜,每一沈積循環包括:使基板表面接觸包含第一鐵前驅物的第一氣相反應物以在基板上形成鐵前驅物層;自基板表面移除過量的第一反應物;使基板接觸第二氣相反應物,以使得第二反應物以自限制性方式接觸基板上的第一鐵前驅物以形成鐵;以及自基板表面移除過量的第二反應物及反應副產物(若存在)。 In some embodiments, the SiO 2 first surface (relative to the H-terminated second surface (e.g. -SiH) of the substrate in the reaction chamber is selectively 3 , -SiH 2 , or -SiH surface)) forming an elemental iron thin film, each deposition cycle includes: contacting the substrate surface with a first gaseous reactant containing a first iron precursor to form an iron precursor layer on the substrate Removing excess first reactant from the substrate surface; contacting the substrate with the second gaseous reactant such that the second reactant contacts the first iron precursor on the substrate in a self-limiting manner to form iron; and from the substrate The surface removes excess second reactants and reaction byproducts, if present.

此可被稱為鐵沈積循環。每一鐵沈積循環通常選擇性地在SiO2表面上形成至多約一個鐵單層。在沈積溫度高於鐵前驅物的分解溫度的某些情形中,可在每一鐵沈積循環中形成多於一個鐵單層。重複鐵沈積循環直至形成所需厚度的膜。 This may be referred to as an iron deposition cycle. Each iron deposition cycle typically selectively forms up to about one iron monolayer on the SiO 2 surface. In some cases where the deposition temperature is higher than the decomposition temperature of the iron precursor, more than one iron monolayer may be formed in each iron deposition cycle. The iron deposition cycle is repeated until a film of the desired thickness is formed.

儘管所示鐵沈積循環開始於提供第一鐵前驅物,但在其他實施例中,沈積循環開始於提供第二反應物。熟習此項技術者 應理解,提供第一鐵前驅物與提供第二反應物在原子層沈積循環中可互換。 Although the iron deposition cycle shown begins with providing a first iron precursor, in other embodiments, the deposition cycle begins with providing a second reactant. Skilled in this technology It should be understood that providing a first iron precursor and providing a second reactant are interchangeable in an atomic layer deposition cycle.

在某些實施例中,可藉由停止反應物的流動同時使例如氮氣或氬氣等惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。在某些實施例中,可藉由自反應室移除基板或使基板在反應室內移動而自基板表面移除反應物及反應副產物。 In some embodiments, the reactants and reaction by-products can be removed from the substrate surface by stopping the flow of the reactants while continuing to flow an inert carrier gas such as nitrogen or argon. In some embodiments, the reactants and reaction byproducts can be removed from the surface of the substrate by removing the substrate from the reaction chamber or moving the substrate within the reaction chamber.

如上所述,在某些實施例中,藉由其中交替地將氣相鐵前驅物脈衝提供至包括基板的反應空間中並自反應空間吹洗的脈衝式化學氣相沈積製程,而相對於H封端表面(例如-SiH3、-SiH2、或-SiH)選擇性地在第一表面(例如SiO2表面)上沈積鐵薄膜。 As described above, in some embodiments, compared with H, a pulsed chemical vapor deposition process in which gas phase iron precursor pulses are alternately provided into a reaction space including a substrate and purged from the reaction space is performed. terminated surface (e.g. -SiH 3, -SiH 2, or -SiH) iron film selectively deposited on the first surface (e.g. SiO 2 surface).

在某些實施例中,利用單一鐵前驅物。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。在某些實施例中,將基板暴露至一個前驅物脈衝、或藉由前驅物移除或吹洗步驟而分開的連續的前驅物脈衝。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相鐵前驅物且不接觸氣相第二反應物。然而在某些實施例中,除氣相鐵前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個鐵前驅物脈衝。在某些實施例中,可使基板接觸氣相鐵前驅物,可自基板表面移除過量的鐵前驅物及反應副產物(若存在),且可例如在相繼的脈衝中使基板再次接觸氣相鐵前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相鐵前驅物之外,可使基板 接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 In some embodiments, a single iron precursor is utilized. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. In some embodiments, the substrate is exposed to a precursor pulse, or a continuous precursor pulse separated by a precursor removal or purge step. For example, in some embodiments, the substrate may be contacted continuously or intermittently with the gas phase iron precursor and without contacting the gas phase second reactant. However, in some embodiments, in addition to the gas phase iron precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one iron precursor pulse. In some embodiments, the substrate can be brought into contact with the gas phase iron precursor, excess iron precursor and reaction by-products (if present) can be removed from the surface of the substrate, and the substrate can be brought into contact with gas again, for example, in successive pulses Phase iron precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the gas phase iron precursor, the substrate can be made Contact another type that does not react, such as an inert purge gas or a carrier gas.

選擇性地在SiOSelectively in SiO 22 上沈積TiODeposited TiO 22

如上所述,在某些實施例中,相對於基板的H封端的第二表面、選擇性地在如上所述的第一基板表面(例如同一基板的SiO2第一表面)上沈積包含鈦的材料,例如TiO2As described above, in some embodiments, the titanium-containing layer is selectively deposited on the first substrate surface (such as the first surface of SiO 2 of the same substrate) as described above with respect to the H-terminated second surface of the substrate. Materials such as TiO 2 .

在某些實施例中,藉由對表面進行處理以提供H封端而在沈積之前形成H封端的第二表面,並藉此抑制在第二表面(相對於第一表面)上沈積包含鈦的材料(例如氧化鈦沈積)。在某些實施例中,所述處理可為原位處理。在某些實施例中,第二表面可為經處理以提供H封端表面(例如-SiH3、-SiH2、或-SiH表面)的SiO2表面。在某些實施例中,可使第二表面接觸化學品,所述化學品提供H封端(例如藉由形成-SiH3、-SiH2或-SiH表面)。在某些實施例中,對SiO2表面的處理可包括以HF(例如0.5%的HF)蝕刻所述表面。可使用遮罩或其他製程來處理第一表面的一個或多個部分以形成H封端的第二表面。舉例而言,可使用遮罩或其他製程來選擇性地蝕刻SiO2表面的一個或多個部分,以形成-SiH3、-SiH2、或-SiH第二表面同時保持SiO2第一表面的剩餘部分不被干擾。 In some embodiments, the H-capped second surface is formed before deposition by treating the surface to provide H-capped, and thereby suppressing the deposition of titanium-containing on the second surface (relative to the first surface). Materials (such as titanium oxide deposition). In some embodiments, the processing may be an in-situ processing. In some embodiments, the second surface may be a SiO 2 surface that is treated to provide an H-terminated surface (eg, -SiH 3 , -SiH 2 , or -SiH surface). In certain embodiments, chemicals can make contact with the second surface, said capping chemical to provide H (e.g., by forming -SiH 3, -SiH 2 -SiH or surface). In some embodiments, the treatment of the SiO 2 surface may include etching the surface with HF (eg, 0.5% HF). Masks or other processes may be used to process one or more portions of the first surface to form an H-capped second surface. For example, a mask or other process may be used to selectively etch one or more portions of the SiO 2 surface to form a -SiH 3 , -SiH 2 , or -SiH second surface while maintaining the first surface of the SiO 2 The rest is not disturbed.

在某些實施例中,可對SiO2表面進行處理以增加表面上的OH基團的量。 In certain embodiments, the SiO 2 surface can be treated to increase the amount of OH groups on the surface.

在某些實施例中,相對於H封端的第二表面(例如基板的-SiH3、-SiH2、或-SiH表面)的在第一表面(例如基板的SiO2 表面)上沈積包含鈦的材料(例如TiO2)具有至少約90%的選擇性、至少約95%的選擇性、至少約96%、97%、98%、或99%、或者99%以上的選擇性。在某些實施例中,TiO2沈積僅發生在第一表面上而不發生在第二表面上。在某些實施例中,在基板的第一表面(相對於基板的第二表面)上的TiO2沈積具有至少約50%的選擇性、至少約70%的選擇性、或至少約80%的選擇性,此可為足以用於某些特定應用的選擇性。 In some embodiments, a titanium-containing layer is deposited on the first surface (eg, the SiO 2 surface of the substrate) relative to the H-terminated second surface (eg, the -SiH 3 , -SiH 2 , or -SiH surface of the substrate) material (e.g., TiO 2) having a selectivity of at least about 90%, at least about 95% selectivity, at least about 96%, 97%, 98%, or 99%, or more than 99% selectivity. In certain embodiments, TiO 2 deposition occurs only on the first surface and not on the second surface. In certain embodiments, the TiO 2 deposition on the first surface of the substrate (relative to the second surface of the substrate) has a selectivity of at least about 50%, a selectivity of at least about 70%, or at least about 80%. Selectivity, which may be sufficient for some specific applications.

參照圖6並根據某些實施例,在步驟610處,提供包括SiO2表面的基板。在步驟620處藉由暴露至HF而選擇性地處理SiO2表面的一部分,以形成包含H封端的SiHx表面。 Referring to FIG. 6 and according to some embodiments, at step 610, a substrate including a SiO 2 surface is provided. A portion of the SiO 2 surface is selectively processed by exposure to HF at step 620 to form a H-terminated SiH x surface.

在步驟630處藉由氣相沈積製程(例如藉由原子層沈積或化學氣相沈積)而相對於H封端表面選擇性地在基板的SiO2表面上沈積氧化鈦。 Titanium oxide is selectively deposited on the SiO 2 surface of the substrate with respect to the H-terminated surface by a vapor deposition process (eg, by atomic layer deposition or chemical vapor deposition) at step 630.

在某些實施例中,藉由包含多個氧化鈦沈積循環的原子層沈積型製程而選擇性地在反應室中的基板上的SiO2第一表面(相對於H封端的第二表面(例如SiHx表面))上形成包含鈦的材料,例如氧化鈦薄膜,每一沈積循環包括:使基板表面與包含第一鈦前驅物的第一氣相反應物接觸以在基板上形成鈦前驅物層;自基板表面移除過量的第一反應物;使基板接觸第二氣相氧反應物,以使得第二反應物以自限制性方式與基板上的第一鈦前驅物反應以形成TiO2;以及自基板表面移除過量的第二反應物及反應副產物(若存在)。 In some embodiments, a SiO 2 first surface (relative to an H-terminated second surface (e.g., H SiH x surface)) forming a material containing titanium, such as a titanium oxide film, each deposition cycle includes: contacting the substrate surface with a first gas phase reactant containing a first titanium precursor to form a titanium precursor layer on the substrate Removing excess first reactant from the substrate surface; contacting the substrate with the second gas phase oxygen reactant so that the second reactant reacts with the first titanium precursor on the substrate in a self-limiting manner to form TiO 2 ; And removing excess second reactants and reaction byproducts (if present) from the substrate surface.

此可被稱為TiO2沈積循環。每一TiO2沈積循環通常選擇性地在SiO2表面上形成至多約一個TiO2單層。在沈積溫度高於鈦前驅物的分解溫度的某些情形中,可在每一TiO2沈積循環中形成多於一個TiO2單層。重複TiO2沈積循環直至形成所需厚度的膜。 This may be referred to as the TiO 2 deposition cycle. Each TiO 2 deposition cycle typically selectively forms up to about one TiO 2 monolayer on the SiO 2 surface. In some cases where the deposition temperature is higher than the decomposition temperature of the titanium precursor, more than one TiO 2 monolayer may be formed in each TiO 2 deposition cycle. The TiO 2 deposition cycle is repeated until a film of the desired thickness is formed.

儘管所示TiO2沈積循環開始於提供第一鈦前驅物,但在其他實施例中,沈積循環開始於提供第二反應物。熟習此項技術者應理解,提供第一鈦前驅物與提供第二反應物在原子層沈積循環中可互換。 Although the TiO 2 deposition cycle shown begins with providing a first titanium precursor, in other embodiments, the deposition cycle begins with providing a second reactant. Those skilled in the art will understand that providing a first titanium precursor and providing a second reactant are interchangeable in an atomic layer deposition cycle.

在某些實施例中,可藉由停止反應物的流動同時使例如氮氣或氬氣等惰性載體氣體繼續流動而自基板表面移除反應物及反應副產物。在某些實施例中,可藉由自反應室移除基板或使基板在反應室內移動而自基板表面移除反應物及反應副產物。 In some embodiments, the reactants and reaction by-products can be removed from the substrate surface by stopping the flow of the reactants while continuing to flow an inert carrier gas such as nitrogen or argon. In some embodiments, the reactants and reaction byproducts can be removed from the surface of the substrate by removing the substrate from the reaction chamber or moving the substrate within the reaction chamber.

如上所述,在某些實施例中,藉由其中將鈦前驅物及氧前驅物提供至反應室的化學氣相沈積製程(例如脈衝式化學氣相沈積製程),而選擇性地在基板的SiO2第一表面(相對於H封端的第二表面)上沈積TiO2層。在某些實施例中,利用單一鈦前驅物。因此,在某些實施例中,製程可不包括使基板接觸氣相第二反應物。在某些實施例中,將基板暴露至一個前驅物脈衝、或藉由前驅物移除或吹洗步驟而分開連續的的前驅物脈衝。舉例而言,在某些實施例中,可使基板連續地或間斷地接觸氣相鈦前驅物且不接觸氣相第二反應物。然而在某些實施例中,除氣相鈦前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗 氣體或載體氣體。在某些實施例中,沈積製程可包括僅一個鈦前驅物脈衝。在某些實施例中,可使基板接觸氣相鈦前驅物,可自基板表面移除過量的鈦前驅物及反應副產物(若存在),且可例如在相繼的脈衝中使基板再次接觸氣相鈦前驅物。在某些實施例中,可不使基板接觸第二反應物。然而在某些實施例中,除氣相鈦前驅物之外,可使基板接觸不發生反應的另一種類,例如惰性吹洗氣體或載體氣體。 As described above, in some embodiments, by a chemical vapor deposition process (such as a pulsed chemical vapor deposition process) in which a titanium precursor and an oxygen precursor are provided to a reaction chamber, the A TiO 2 layer is deposited on a first surface of SiO 2 (relative to the second surface terminated by H). In some embodiments, a single titanium precursor is utilized. Therefore, in some embodiments, the process may not include contacting the substrate with the gaseous second reactant. In some embodiments, the substrate is exposed to a precursor pulse, or a continuous precursor pulse is separated by a precursor removal or purge step. For example, in some embodiments, the substrate may be continuously or intermittently contacted with the vapor-phase titanium precursor and not contacted with the vapor-phase second reactant. However, in some embodiments, in addition to the vapor-phase titanium precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas. In some embodiments, the deposition process may include only one titanium precursor pulse. In some embodiments, the substrate can be brought into contact with the gas phase titanium precursor, excess titanium precursor and reaction byproducts (if present) can be removed from the surface of the substrate, and the substrate can be brought into contact with gas again, such as in successive pulses Phase titanium precursor. In some embodiments, the substrate may not be contacted with the second reactant. However, in some embodiments, in addition to the vapor-phase titanium precursor, the substrate may be contacted with another type that does not react, such as an inert purge gas or a carrier gas.

前驅物Precursor

合適的鎳前驅物可由熟習此項技術者來選擇。通常,其中使金屬與氧、氮、碳或其組合結合或配位的鎳化合物為較佳的。在某些實施例中,鎳前驅物可為有機化合物。在某些實施例中,鎳前驅物為金屬有機化合物。在某些實施例中,鎳前驅物為包含雙牙配位體(bidentate ligand)的金屬有機化合物。在某些實施例中,鎳前驅物為雙(4-N-乙基胺基-3-戊烯-2-N-乙基亞胺基)鎳(ii)(bis(4-N-ethylamino-3-penten-2-N-ethyliminato)nickel(ii))。 Suitable nickel precursors can be selected by those skilled in the art. Generally, a nickel compound in which a metal is bound or coordinated with oxygen, nitrogen, carbon, or a combination thereof is preferred. In some embodiments, the nickel precursor may be an organic compound. In some embodiments, the nickel precursor is a metal organic compound. In some embodiments, the nickel precursor is a metal organic compound comprising a bidentate ligand. In certain embodiments, the nickel precursor is bis (4-N-ethylamino-3-pentene-2-N-ethylimino) nickel (ii) (bis (4-N-ethylamino- 3-penten-2-N-ethyliminato) nickel (ii)).

在某些實施例中,鎳前驅物可選自由以下組成的群組:β-二酮鎳(nickel betadiketonate)化合物、β-二亞胺鎳(nickel betadiketiminato)化合物、胺基烷氧化鎳(nickel aminoalkoxide)化合物、脒基鎳(nickel amidinate)化合物、環戊二烯鎳(nickel cyclopentadienyl)化合物、羰基鎳(nickel carbonyl)化合物及其組合。在某些實施例中,使用X(acac)y或X(thd)y化合物,其中X為金屬,y通常(但未必)為介於2與3之間,且thd為2,2,6,6- 四甲基-3,5-庚二酮酸基(2,2,6,6-tetramethyl-3,5-heptanedionato)。合適的β-二亞胺(例如,Ni(pda)2)化合物的某些實例在美國專利第9,103,019號中有所提及,所述美國專利的揭露內容全文併入本案。合適的脒基化合物(例如,Ni(iPr-AMD)2)的某些實例在美國專利第7,557,229號中有所提及,所述美國專利的揭露內容全文併入本案。 In some embodiments, the nickel precursor may be selected from the group consisting of: a beta betadiketonate compound, a beta betadiketiminato compound, a nickel aminoalkoxide ) Compounds, nickel amidinate compounds, nickel cyclopentadienyl compounds, nickel carbonyl compounds, and combinations thereof. In certain embodiments, X (acac) y or X (thd) y compounds are used, where X is a metal, y is usually (but not necessarily) between 2 and 3, and thd is 2,2,6, 6-tetramethyl-3,5-heptanedionate (2,2,6,6-tetramethyl-3,5-heptanedionato). Some examples of suitable β-diimide (eg, Ni (pda) 2 ) compounds are mentioned in US Patent No. 9,103,019, the disclosure of which is incorporated herein in its entirety. Some examples of suitable fluorenyl compounds (eg, Ni ( i Pr-AMD) 2 ) are mentioned in US Patent No. 7,557,229, the disclosure of which is incorporated herein in its entirety.

鎳前驅物亦可包含一個或多個鹵化物配位體。在較佳實施例中,前驅物為:β-二亞胺鎳化合物,例如雙(4-N-乙基胺基-3-戊烯-2-N-乙基亞胺基)鎳(II)[Ni(EtN-EtN-pent)2];酮亞胺鎳,例如雙(3Z)-4-正丁基胺基-戊-3-烯-2-酮-鎳(II)(bis(3Z)-4-nbutylamino-pent-3-en-2-one-nickel(II));脒基鎳化合物,例如甲基環戊二烯基-異丙基乙酸脒基-鎳(II)(methylcyclopentadienyl-isopropylacetamidinate-nickel(II));β-二酮鎳化合物,例如Ni(acac)2、Ni(thd)2;或環戊二烯鎳化合物,例如Ni(cp)2、Ni(Mecp)2、Ni(Etcp)2、或其衍生物,例如甲基環戊二烯基-異丙基乙酸脒基-鎳(II)(methylcyclopentadienyl-isopropylacetamidinate-nickel(II))。在更佳實施例中,前驅物為雙(4-N-乙基胺基-3-戊烯-2-N-乙基亞胺基)鎳(II)(bis(4-N-ethylamino-3-penten-2-N-ethyliminato)nickel(II))。 The nickel precursor may also include one or more halide ligands. In a preferred embodiment, the precursor is a β-diimine nickel compound, such as bis (4-N-ethylamino-3-pentene-2-N-ethylimine) nickel (II) [Ni (EtN-EtN-pent) 2 ]; nickel ketimine, such as bis (3Z) -4-n-butylamino-pent-3-en-2-one-nickel (II) (bis (3Z) -4-nbutylamino-pent-3-en-2-one-nickel (II)); fluorenyl nickel compounds such as methylcyclopentadienyl-isopropylacetic acid fluorenyl-nickel (II) (methylcyclopentadienyl-isopropylacetamidinate) -nickel (II)); β-diketone nickel compounds such as Ni (acac) 2 , Ni (thd) 2 ; or cyclopentadiene nickel compounds such as Ni (cp) 2 , Ni (Mecp) 2 , Ni ( Etcp) 2 or a derivative thereof, such as methylcyclopentadienyl-isopropylacetamidinate-nickel (II). In a more preferred embodiment, the precursor is bis (4-N-ethylamino-3-pentene-2-N-ethylimino) nickel (II) (bis (4-N-ethylamino-3 -penten-2-N-ethyliminato) nickel (II)).

在某些實施例中,第一鎳前驅物為雙(4-N-乙基胺基-3-戊烯-2-N-乙基亞胺基)鎳(II)(bis(4-N-ethylamino-3-penten-2-N-ethyliminato)nickel(II))。 In some embodiments, the first nickel precursor is bis (4-N-ethylamino-3-pentene-2-N-ethylimino) nickel (II) (bis (4-N- ethylamino-3-penten-2-N-ethyliminato) nickel (II)).

鈦前驅物可由熟習此項技術者來選擇並可為例如鈦烷氧化物(甲氧化物、乙氧化物、異丙氧化物)以及烷基胺類鈦(titanium alkylmines)。 Titanium precursors can be selected by those skilled in the art and can be, for example, titanium alkoxides (methoxides, ethoxides, isopropoxides) and titanium alkylmines.

鐵前驅物可由熟習此項技術者來選擇。在某些實施例中,鐵前驅物為Cp2Fe或其衍生物。在某些實施例中,鐵前驅物為Fe(acac)2。在某些實施例中,鐵前驅物為鐵烷氧化物,例如第三丁氧化鐵(III)(Fe2(OtBu)6)。在某些實施例中,鐵前驅物為五羰鐵(Fe(CO)5)。在某些實施例中,鐵前驅物包含至少一個環戊二烯基配位體(Cp)、經取代的環戊二烯基配位體或其衍生物。在某些實施例中,鐵前驅物包含至少一個羰基配位體(-CO)或其衍生物。在某些實施例中,鐵前驅物包含至少一個羰基配位體(-CO)以及至少一個有機配位體(例如環戊二烯基配位體(Cp)或經取代的環戊二烯基配位體)或其衍生物。 Iron precursors can be selected by those skilled in the art. In certain embodiments, the iron precursor is Cp 2 Fe or a derivative thereof. In some embodiments, the iron precursor is Fe (acac) 2 . In some embodiments, the iron precursor is a ferric alkoxide, such as third iron (III) butoxide (Fe 2 (O t Bu) 6 ). In some embodiments, the iron precursor is iron pentacarbonyl (Fe (CO) 5 ). In certain embodiments, the iron precursor comprises at least one cyclopentadienyl ligand (Cp), a substituted cyclopentadienyl ligand, or a derivative thereof. In certain embodiments, the iron precursor comprises at least one carbonyl ligand (-CO) or a derivative thereof. In certain embodiments, the iron precursor comprises at least one carbonyl ligand (-CO) and at least one organic ligand (e.g., cyclopentadienyl ligand (Cp) or substituted cyclopentadienyl Ligand) or a derivative thereof.

鈷前驅物可由熟習此項技術者來選擇。在某些實施例中,鈷前驅物可包含β-二亞胺鈷(cobalt betadiketiminato)化合物、酮亞胺鈷(cobalt ketoiminate)化合物、脒基鈷(cobalt amidinate)化合物或β-二酮鈷(cobalt betadiketonate)化合物。 Cobalt precursors can be selected by those skilled in the art. In certain embodiments, the cobalt precursor may comprise a beta-diketiminato compound, a cobalt ketoiminate compound, a cobalt amidinate compound, or a beta-diketim cobalt compound betadiketonate) compound.

在某些實施例中,用於形成元素鎳的原子層沈積製程中的第二前驅物或第二反應物選自氫及合成氣體。在其他實施例中,第二反應物可為醇,例如乙醇(EtOH)。 In some embodiments, the second precursor or the second reactant in the atomic layer deposition process for forming elemental nickel is selected from hydrogen and synthesis gas. In other embodiments, the second reactant may be an alcohol, such as ethanol (EtOH).

在某些實施例中,第二反應物為有機還原劑。有機還原劑較佳具有選自由如上所述的醇(-OH)或醛(-CHO)或羧酸 (-COOH)組成的群組中的至少一個官能基。 In some embodiments, the second reactant is an organic reducing agent. The organic reducing agent preferably has a substance selected from the group consisting of an alcohol (-OH) or an aldehyde (-CHO) or a carboxylic acid as described above. At least one functional group in the group consisting of (-COOH).

包含至少一個醇基團的還原劑可選自由一級醇、二級醇、三級醇、多羥基醇、環狀醇、芳香醇、鹵化醇及醇的其他衍生物組成的群組。 The reducing agent containing at least one alcohol group may be selected from the group consisting of primary alcohol, secondary alcohol, tertiary alcohol, polyhydric alcohol, cyclic alcohol, aromatic alcohol, halogenated alcohol, and other derivatives of alcohol.

較佳的一級醇具有附著至與另一碳原子鍵結的碳原子的-OH基團,特別是根據通式(I)的一級醇:R1-OH (I) Preferred primary alcohols have an -OH group attached to a carbon atom bonded to another carbon atom, especially a primary alcohol according to formula (I): R 1 -OH (I)

其中R1為直鏈或分支的C1-C20烷基或烯基,較佳為甲基、乙基、丙基、丁基、戊基或己基。較佳的一級醇的實例包括甲醇、乙醇、丙醇、丁醇、2-甲基丙醇及2-甲基丁醇。 Wherein R 1 is a linear or branched C 1 -C 20 alkyl or alkenyl group, preferably methyl, ethyl, propyl, butyl, pentyl or hexyl. Examples of preferred primary alcohols include methanol, ethanol, propanol, butanol, 2-methylpropanol, and 2-methylbutanol.

較佳的二級醇具有附著至與兩個其他碳原子鍵結的碳原子的-OH基團。具體而言,較佳的二級醇具有通式(II): Preferred secondary alcohols have an -OH group attached to a carbon atom bonded to two other carbon atoms. Specifically, preferred secondary alcohols have the general formula (II):

其中每一R1皆獨立地選自直鏈或分支的C1-C20烷基及烯基、較佳為甲基、乙基、丙基、丁基、戊基、或己基的群組。較佳的二級醇的實例包括2-丙醇及2-丁醇。 Each of R 1 is independently selected from the group of linear or branched C 1 -C 20 alkyl and alkenyl, preferably methyl, ethyl, propyl, butyl, pentyl, or hexyl. Examples of preferred secondary alcohols include 2-propanol and 2-butanol.

較佳的三級醇具有附著至與三個其他碳原子鍵結的碳原子的-OH基團。具體而言,較佳的三級醇具有通式(III): A preferred tertiary alcohol has an -OH group attached to a carbon atom bonded to three other carbon atoms. Specifically, preferred tertiary alcohols have the general formula (III):

其中每一R1皆獨立地選自直鏈或分支的C1-C20烷基及烯基、較佳為甲基、乙基、丙基、丁基、戊基、或己基的群組。較佳的三級醇的實例為第三丁醇。 Each of R 1 is independently selected from the group of linear or branched C 1 -C 20 alkyl and alkenyl, preferably methyl, ethyl, propyl, butyl, pentyl, or hexyl. An example of a preferred tertiary alcohol is tertiary butanol.

較佳的多羥基醇(例如,二醇及三醇)具有如上所述的一級、二級及/或三級醇基團。較佳的多羥基醇的實例為乙二醇及甘油(glycerol)。 Preferred polyhydric alcohols (eg, diols and triols) have primary, secondary, and / or tertiary alcohol groups as described above. Examples of preferred polyhydric alcohols are ethylene glycol and glycerol.

較佳的環狀醇具有附著至作為1個碳原子至10個碳原子、更佳地5個碳原子至6個碳原子的環的一部分的至少一個碳原子的-OH基團。 A preferred cyclic alcohol has an -OH group attached to at least one carbon atom as part of a ring of 1 to 10 carbon atoms, more preferably 5 to 6 carbon atoms.

較佳的芳香醇具有附著至側鏈中的苯環或碳原子的至少一個-OH基團。較佳的芳香醇的實例包括苄醇、鄰甲酚、對甲酚、間甲酚以及間苯二酚。 Preferred aromatic alcohols have at least one -OH group attached to a benzene ring or a carbon atom in a side chain. Examples of preferred aromatic alcohols include benzyl alcohol, o-cresol, p-cresol, m-cresol and resorcinol.

較佳的鹵化醇具有通式(IV):CHnX3-n-R2-OH (IV) Preferred halogenated alcohols have the general formula (IV): CH n X 3-n -R 2 -OH (IV)

其中X選自由氟、氯、溴及碘組成的群組,n為0至2的整數,且R2選自直鏈或分支的C1-C20烷基及烯基、較佳為甲基、乙基、丙基、丁基、戊基或己基的群組。更佳地,X選自由氟及氯組成的群組且R2選自由甲基及乙基組成的群組。較佳的鹵化醇的實例為2,2,2-三氟乙醇(2,2,2-trifluoroethanol)。 Where X is selected from the group consisting of fluorine, chlorine, bromine and iodine, n is an integer from 0 to 2, and R 2 is selected from linear or branched C 1 -C 20 alkyl and alkenyl, preferably methyl , Ethyl, propyl, butyl, pentyl or hexyl. More preferably, X is selected from the group consisting of fluorine and chlorine and R 2 is selected from the group consisting of methyl and ethyl. An example of a preferred halogenated alcohol is 2,2,2-trifluoroethanol.

可使用的醇的其他衍生物包括胺,例如甲基乙醇胺。 Other derivatives of alcohols that can be used include amines, such as methylethanolamine.

包含至少一個醛基團(-CHO)的較佳的還原劑選自由具有通式(V)的化合物、具有通式(VI)的烷二醛化合物、鹵化醛 及醛的其他衍生物組成的群組。 A preferred reducing agent containing at least one aldehyde group (-CHO) is selected from the group consisting of a compound having the general formula (V), an alkanedialdehyde compound having the general formula (VI), a halogenated aldehyde And other derivatives of aldehydes.

因此,在某些實施例中,還原劑為具有通式(V)的醛:R3-CHO (V) Therefore, in certain embodiments, the reducing agent is an aldehyde having the general formula (V): R 3 -CHO (V)

其中R3選自由氫及直鏈或分支的C1-C20烷基及烯基、較佳為甲基、乙基、丙基、丁基、戊基、或己基組成的群組。更佳地,R3選自由甲基或乙基組成的群組。根據化學式(V)的較佳的化合物的實例為甲醛、乙醛及丁醛。 Wherein R 3 is selected from the group consisting of hydrogen and straight-chain or branched C1-C20 alkyl and alkenyl, preferably methyl, ethyl, propyl, butyl, pentyl, or hexyl. More preferably, R 3 is selected from the group consisting of methyl or ethyl. Examples of preferred compounds according to formula (V) are formaldehyde, acetaldehyde and butyraldehyde.

在其他實例中,還原劑為具有通式(VI)的醛:OHC-R4-CHO (VI) In other examples, the reducing agent is an aldehyde having the general formula (VI): OHC-R 4 -CHO (VI)

其中R4為直鏈或分支的C1-C20飽和或不飽和烴。作為另一選擇,醛基團可直接鍵結至彼此(R4不存在)。 Wherein R 4 is a linear or branched C 1 -C 20 saturated or unsaturated hydrocarbon. Alternatively, the aldehyde groups may be directly bonded to each other (R 4 is not present).

包含至少一個-COOH基團的還原劑可選自由通式(VII)的化合物、多羧酸、鹵化羧酸及羧酸的其他衍生物組成的群組。 The reducing agent containing at least one -COOH group may be selected from the group consisting of compounds of general formula (VII), polycarboxylic acids, halogenated carboxylic acids, and other derivatives of carboxylic acids.

因此,在某些實施例中,較佳還原劑為具有通式(VII)的羧酸:R5-COOH (VII) Therefore, in certain embodiments, the preferred reducing agent is a carboxylic acid having the general formula (VII): R 5 -COOH (VII)

其中為R5為氫或者直鏈或分支的C1-C20烷基或烯基,較佳為甲基、乙基、丙基、丁基、戊基或己基,更佳為甲基或乙基。根據化學式(VII)的較佳化合物的實例為甲酸及乙酸,最佳為甲酸(HCOOH)。 Among them, R 5 is hydrogen or a linear or branched C 1 -C 20 alkyl or alkenyl group, preferably methyl, ethyl, propyl, butyl, pentyl or hexyl, more preferably methyl or ethyl base. Examples of preferred compounds according to formula (VII) are formic acid and acetic acid, most preferably formic acid (HCOOH).

在某些實施例中,在原子層沈積循環中使用第三反應物。在某些實施例中,用於沈積鎳薄膜的原子層沈積型製程包括 鎳反應物、有機還原劑以及氫或合成氣體(例如在N2中含有5%或10%的H2)的交替的及連續的脈衝。 In some embodiments, a third reactant is used in the atomic layer deposition cycle. In certain embodiments, a nickel thin film deposited atomic layer deposition type process include nickel reactant, an organic reducing agent or hydrogen and synthesis gas (e.g., contained in the N 2 5% or 10% H 2) alternating And continuous pulses.

在其中形成氧化鈦的實施例中,可使用的示例性氧反應物包括(但不限於)水、臭氧、氧電漿、氧自由基或氧原子。 In embodiments where titanium oxide is formed, exemplary oxygen reactants that may be used include, but are not limited to, water, ozone, oxygen plasma, oxygen radicals, or oxygen atoms.

在其中形成氮化鎳的實施例中,可使用的示例性氮反應物包括NH3、含N的電漿、含N/H的電漿。 In embodiments where nickel nitride is formed, exemplary nitrogen reactants that can be used include NH 3 , N-containing plasmas, N / H-containing plasmas.

實例Examples

在F-120反應器及Pulsar® 2000反應器二者中研究了鎳及NixNy的生長。同時在F-120反應器中加載了兩個試片基板(5×5平方公分)。利用包括SiO2第一表面及經HF蝕刻的矽第二表面的第一基板以及包括在同一沈積過程中的經HF蝕刻的矽表面的第二基板來進行測試。將包括SiO2表面的第一基板掩蔽並以HF蝕刻以形成SiO2第一表面710及H封端的第二表面720。將反應溫度設定為300℃,且藉由如本文所述的脈衝式化學氣相沈積製程、使用雙(4-N-乙基胺基-3-戊烯-2-N-乙基亞胺基)鎳(II)作為鎳前驅物而使鎳生長。化學氣相沈積製程包括1500個脈衝及吹洗步驟。沒有第二反應物被脈衝提供至反應室中。如圖7所示,選擇性地在第一基板的SiO2第一表面710(相對於經HF蝕刻(Si-H)的第二表面720)上沈積鎳。沒有鎳沈積於包括經HF蝕刻的矽表面的第二基板上。因此,沈積溫度足夠高以達成在Si-OH封端表面(例如第一表面710)上而非在Si-H封端表面(例如第二表面720)上分解鎳前驅物。 The growth of nickel and Ni x N y was investigated in both the F-120 reactor and the Pulsar ® 2000 reactor. At the same time, two test piece substrates (5 × 5 cm 2) were loaded into the F-120 reactor. The test was performed using a first substrate including a first surface of SiO 2 and a second surface of HF-etched silicon and a second substrate including a surface of HF-etched silicon in the same deposition process. The first substrate including the SiO 2 surface is masked and etched with HF to form a SiO 2 first surface 710 and an H-terminated second surface 720. The reaction temperature was set to 300 ° C, and the bis (4-N-ethylamino-3-pentene-2-N-ethylimine group was used by a pulsed chemical vapor deposition process as described herein. ) Nickel (II) grows nickel as a nickel precursor. The chemical vapor deposition process includes 1500 pulse and purge steps. No second reactant is pulsed into the reaction chamber. As shown in FIG. 7, nickel is selectively deposited on the first surface 710 of SiO 2 (as opposed to the second surface 720 etched by HF (Si-H)) of the first substrate. No nickel is deposited on the second substrate including the HF-etched silicon surface. Therefore, the deposition temperature is high enough to achieve the decomposition of the nickel precursor on the Si-OH-terminated surface (such as the first surface 710) rather than on the Si-H-terminated surface (such as the second surface 720).

亦在Pulsar® 2000反應器中進行了測試,且根據如本文所述的製程、藉由在300℃的溫度下脈衝提供鎳前驅物及NH3第二反應物4000次而執行沈積。在經HF蝕刻的200毫米矽晶圓上未觀察到膜,同時在200毫米晶圓的包含SiO2的第一表面(相對於包含矽的第二表面)上沈積了NixNy膜。 It was also tested in a Pulsar ® 2000 reactor and the deposition was performed according to a process as described herein by pulsing the nickel precursor and the NH 3 second reactant 4000 times at a temperature of 300 ° C. No film was observed on the 200 mm silicon wafer etched by HF, while a Ni x N y film was deposited on the first surface of the 200 mm wafer containing SiO 2 (relative to the second surface containing silicon).

亦在Pulsar® 2000反應器中進行了另一測試,且使用雙(4-N-乙基胺基-3-戊烯-2-N-乙基亞胺基)鎳(II)作為鎳前驅物而執行沈積。化學氣相沈積製程在300℃的反應溫度下包括5000個脈衝及吹洗步驟,每一脈衝具有約1秒的持續時間,每一吹洗步驟具有約5秒的持續時間。選擇性地在第一基板的SiO2第一表面(相對於第二基板的經HF蝕刻(Si-H)的第二表面)上沈積鎳。XPS分析證實了鎳在SiO2表面上的沈積。沒有鎳沈積於包括經HF蝕刻的矽表面的第二基板上。因此,沈積溫度足夠高以達成例如在Si-OH封端表面上而非例如在Si-H封端表面上分解鎳前驅物。 Another test was also performed in a Pulsar ® 2000 reactor, using bis (4-N-ethylamino-3-pentene-2-N-ethylimino) nickel (II) as the nickel precursor And perform the deposition. The chemical vapor deposition process includes 5000 pulses and a purge step at a reaction temperature of 300 ° C., each pulse has a duration of about 1 second, and each purge step has a duration of about 5 seconds. Nickel is selectively deposited on the first surface of SiO 2 of the first substrate (the second surface subjected to HF etching (Si-H) with respect to the second substrate). XPS analysis confirmed the deposition of nickel on the SiO 2 surface. No nickel is deposited on the second substrate including the HF-etched silicon surface. Therefore, the deposition temperature is high enough to achieve decomposition of the nickel precursor, for example, on a Si-OH-terminated surface instead of, for example, a Si-H-terminated surface.

相對於SiORelative to SiO 22 選擇性地在Si-H上生長SiOSelectively grow SiO on Si-H 22

在SiO2表面(相對於H封端表面(例如-SiH3、-SiH2或-SiH表面))上選擇性地沈積包含鎳、鈦、鐵、或鈷的材料(例如鎳、氮化鎳、或氧化鈦)容許在H封端表面上選擇性生長SiO2。在某些實施例中,在相對於如本文所述的H封端的第二表面、選擇性地在如本文所述的第一表面(例如SiO2表面)上沈積包含鎳、鈦、鐵、或鈷的材料之後,可接著相對於第一表面(例如鎳、NiNx、鐵、或鈷、或者氧化鈦表面)、選擇性地在H封端的第二表面(例 如-SiH3、-SiH2、或-SiH表面)上沈積SiO2。SiO2的沈積可藉由此項技術中已知的任何方法(例如藉由使用氧自由基、電漿、或原子氧的電漿增強原子層沈積、或者藉由使用例如臭氧的熱原子層沈積)。在某些實施例中,利用熱原子層沈積製程及電漿原子層沈積製程兩者。可使用此項技術中已知的矽前驅物。在某些實施例中,矽前驅物可包含(RIRIIN)2SiH2、XySiH4-y、(RIRIIN)ySiH4-y、或(RIRIIN)SiH3,其中RI及RII較佳獨立地選自C1-C5烷基,例如甲基、乙基、正丙基、異丙基、正丁基、異丁基,且X可為例如鹵化物。在某些實施例中,SiO2是藉由將基板暴露至氧電漿而形成。 The surface 2 SiO (terminated surface with respect to H (e.g. -SiH. 3, -SiH 2 -SiH or surface)) comprises selectively deposited on nickel, titanium, iron, or cobalt material (such as nickel, a nickel nitride, (Or titanium oxide) allows selective growth of SiO 2 on the H-terminated surface. In certain embodiments, with respect to the second H surface as described herein is end-capped, as in the first selectively surface (e.g. the surface of SiO 2) is deposited on the article comprises a nickel, titanium, iron, or after the material of cobalt, relative to the first surface may then (for example, nickel, NiN x, iron, or cobalt, or titanium oxide surface), a second H surface selectively (e.g. -SiH 3, -SiH 2 capped, depositing surface or -SiH) SiO 2. SiO 2 can be deposited by any method known in the art (e.g., by enhanced atomic layer deposition using oxygen radicals, plasmas, or plasmas of atomic oxygen, or by thermal atomic layer deposition using, for example, ozone ). In some embodiments, both a thermal atomic layer deposition process and a plasma atomic layer deposition process are utilized. Silicon precursors known in the art can be used. In some embodiments, the silicon precursor may include (R I R II N) 2 SiH 2 , X y SiH 4-y , (R I R II N) y SiH 4-y , or (R I R II N ) SiH 3 , wherein R I and R II are preferably independently selected from C 1 -C 5 alkyl, such as methyl, ethyl, n-propyl, isopropyl, n-butyl, isobutyl, and X may Is, for example, a halide. In some embodiments, SiO 2 is formed by exposing the substrate to an oxygen plasma.

在選擇性地在第二表面(相對於第一表面)上生長所需厚度的SiO2之後,可例如藉由蝕刻移除先前沈積於第一表面上的包含鎳、鈦、鐵、或鈷的材料。蝕刻製程較佳使第二表面上的新形成的SiO2層完整。藉由阻擋具有包含鎳、鈦、鐵或鈷的材料的SiO2第一表面,可相對於被阻擋的SiO2表面、選擇性地在H封端表面(例如-SiH、-SiH2、或-SiH3表面)上形成SiO2After selectively growing a desired thickness of SiO 2 on the second surface (as opposed to the first surface), the nickel, titanium, iron, or cobalt containing nickel, titanium, iron, or cobalt previously deposited on the first surface can be removed, for example, by etching. material. The etching process preferably completes the newly formed SiO 2 layer on the second surface. 2 having a first surface by the barrier material comprises a nickel, titanium, iron, or cobalt SiO, with respect to the surface of the SiO 2 is blocked selectively in the surface of H-terminated (e.g. -SiH, -SiH 2, or - SiH 3 surface) forms SiO 2 .

在某些實施例中,蝕刻步驟包括:將基板暴露至選擇性金屬蝕刻(例如,HCl或piranha(H2SO4:H2O2)浸液)。舉例而言,可將基板浸入稀釋的HCl及/或HNO3水溶液或piranha蝕刻中,此可蝕刻包括鎳在內的大部分金屬,而不對積體電路製造中使用的矽、氧化矽、或其他非金屬材料造成顯著腐蝕。 In some embodiments, the etching step includes exposing the substrate to a selective metal etch (eg, HCl or piranha (H 2 SO 4 : H 2 O 2 ) immersion solution). For example, the substrate can be immersed in a dilute HCl and / or HNO 3 aqueous solution or piranha etch, which can etch most metals, including nickel, without silicon, silicon oxide, or other Non-metallic materials cause significant corrosion.

Claims (25)

一種沈積方法,包括:提供包括第一表面、化學上不同的第二表面的基板,其中所述第一表面包含至少一個AHx封端,其中A為氮、氧或硫中的一者或多者,且x為1至2,且所述第二表面為SiHx氫封端表面,其中x為1至3;以及使所述基板的所述第一表面及所述第二表面接觸包含鎳、鈦、鐵或鈷的第一氣相前驅物;藉此相對於同一所述基板的所述第二表面、選擇性地在所述基板的所述第一表面上沈積包含鎳、鈦、鐵或鈷的材料。A deposition method includes providing a substrate including a first surface and a chemically different second surface, wherein the first surface includes at least one AHx end cap, wherein A is one or more of nitrogen, oxygen, or sulfur And x is 1 to 2, and the second surface is a SiH x hydrogen-terminated surface, where x is 1 to 3; and contacting the first surface and the second surface of the substrate with nickel, A first gas phase precursor of titanium, iron, or cobalt; thereby selectively depositing nickel, titanium, iron, or nickel on the first surface of the substrate with respect to the second surface of the same substrate Cobalt material. 如申請專利範圍第1項所述的沈積方法,其中選擇性地沈積的所述材料包括鎳或鈷。The deposition method according to item 1 of the patent application range, wherein the material selectively deposited includes nickel or cobalt. 如申請專利範圍第1項所述的沈積方法,其中選擇性地沈積更包括:使所述基板接觸第二氣相反應物。The deposition method according to item 1 of the patent application scope, wherein the selective deposition further comprises: contacting the substrate with a second gas-phase reactant. 如申請專利範圍第1項所述的沈積方法,其中SiHx氫封端的所述第二表面是藉由在沈積所述材料之前對所述基板表面的至少一部分進行處理而形成。The deposition method according to item 1 of the scope of patent application, wherein the second surface of SiH x hydrogen termination is formed by processing at least a portion of the surface of the substrate before depositing the material. 如申請專利範圍第1項所述的沈積方法,其中SiHx氫封端的所述第二表面是藉由以氫氟酸蝕刻對所述基板表面的至少一部分進行處理而形成。The deposition method according to item 1 of the scope of patent application, wherein the second surface of SiH x hydrogen termination is formed by processing at least a portion of the surface of the substrate with hydrofluoric acid etching. 如申請專利範圍第1項所述的沈積方法,其中SiHx氫封端的所述第二表面是藉由以矽化合物對所述基板表面的至少一部分進行處理而形成,所述矽化合物包括ClSiH3或(RIRIIN)SiH3,其中RI及RII獨立地為C1-C4烷基。The deposition method according to item 1 of the patent application scope, wherein the second surface of SiH x hydrogen termination is formed by processing at least a portion of the surface of the substrate with a silicon compound including ClSiH 3 Or (R I R II N) SiH 3 , wherein R I and R II are independently C 1 -C 4 alkyl. 如申請專利範圍第1項所述的沈積方法,其中所述第一表面包含至少一個OH封端。The deposition method according to item 1 of the patent application scope, wherein the first surface includes at least one OH end cap. 如申請專利範圍第1項所述的沈積方法,其中所述第一表面包含SiO2The deposition method as described in claim 1, wherein the first surface includes SiO 2 . 如申請專利範圍第1項所述的沈積方法,其中所述第一表面為低K絕緣體。The deposition method according to item 1 of the patent application scope, wherein the first surface is a low-K insulator. 如申請專利範圍第1項所述的沈積方法,其中所述第一表面包含氧化矽、氮化矽、氮氧化矽、氟矽玻璃、碳掺雜氧化矽或包含至少50%的氧化矽的另一材料。The deposition method according to item 1 of the patent application scope, wherein the first surface comprises silicon oxide, silicon nitride, silicon oxynitride, fluorosilicate glass, carbon-doped silicon oxide, or another material containing at least 50% silicon oxide. One material. 如申請專利範圍第1項所述的沈積方法,其中所述第二表面包含-SiH3、-SiH2或-SiH表面封端。The deposition method according to item 1 of the patent application scope, wherein the second surface comprises -SiH 3 , -SiH 2 or -SiH surface capping. 如申請專利範圍第1項所述的沈積方法,其中以至少90%的選擇性相對於SiHx氫封端的所述第二表面選擇性地在所述第一表面上沈積包含鎳、鈦、鐵或鈷的所述材料。The deposition method according to item 1 of the patent application scope, wherein the first surface containing nickel, titanium, iron is selectively deposited on the first surface with a selectivity of at least 90% relative to the second surface of the SiH x hydrogen end cap. Or cobalt of the material. 如申請專利範圍第1項所述的沈積方法,其中沈積所述材料的方法為原子層沈積或化學氣相沈積製程。The deposition method according to item 1 of the scope of patent application, wherein the method for depositing the material is an atomic layer deposition or chemical vapor deposition process. 一種選擇性地在基板上沈積包含鎳、鈦、鐵或鈷的材料的方法,所述方法包括:提供包括第一表面的基板,所述第一表面包含氧化矽;蝕刻所述第一表面的至少一部分以藉此提供第二氫封端矽表面;以及相對於所述第二氫封端矽表面、選擇性地在氧化矽的所述第一表面上沈積包含鎳、鈦、鐵或鈷的材料。A method for selectively depositing a material including nickel, titanium, iron, or cobalt on a substrate, the method comprising: providing a substrate including a first surface, the first surface including silicon oxide; and etching the first surface At least a portion to thereby provide a second hydrogen-terminated silicon surface; and selectively depositing nickel, titanium, iron, or cobalt on the first surface of silicon oxide relative to the second hydrogen-terminated silicon surface material. 如申請專利範圍第14項所述的選擇性地在基板上沈積包含鎳、鈦、鐵或鈷的材料的方法,其中選擇性地沈積包含鎳、鈦、鐵或鈷的所述材料包括:選擇性地沈積直至形成所需厚度的包含鎳、鈦、鐵或鈷的所述材料。The method for selectively depositing a material including nickel, titanium, iron, or cobalt on a substrate as described in item 14 of the scope of patent application, wherein the selectively depositing the material including nickel, titanium, iron, or cobalt includes: selecting It is deposited until the desired material comprising nickel, titanium, iron or cobalt is formed. 如申請專利範圍第14項所述的選擇性地在基板上沈積包含鎳、鈦、鐵或鈷的材料的方法,其中所述選擇性地在基板上沈積包含鎳、鈦、鐵或鈷的材料的方法為原子層沈積或化學氣相沈積製程。The method for selectively depositing a material containing nickel, titanium, iron, or cobalt on a substrate as described in item 14 of the patent application scope, wherein the selectively depositing a material containing nickel, titanium, iron, or cobalt on a substrate The method is an atomic layer deposition or chemical vapor deposition process. 如申請專利範圍第14項所述的選擇性地在基板上沈積包含鎳、鈦、鐵或鈷的材料的方法,其中蝕刻所述第一表面的至少一部分包括:將所述第一表面的所述部分暴露至氫氟酸。The method for selectively depositing a material including nickel, titanium, iron, or cobalt on a substrate as described in item 14 of the scope of patent application, wherein etching at least a portion of the first surface includes: The part was exposed to hydrofluoric acid. 如申請專利範圍第14項所述的選擇性地在基板上沈積包含鎳、鈦、鐵或鈷的材料的方法,其中以至少90%的選擇性相對於所述第二氫封端矽表面、選擇性地在所述第一表面上沈積包含鎳、鈦、鐵或鈷的所述材料。The method for selectively depositing a material containing nickel, titanium, iron, or cobalt on a substrate as described in item 14 of the scope of patent application, wherein the second hydrogen-terminated silicon surface, The material comprising nickel, titanium, iron or cobalt is selectively deposited on the first surface. 一種選擇性地在基板上形成SiO2的方法,包括:相對於同一所述基板的第二氫封端矽表面、選擇性地在所述基板的第一表面上沈積包含鎳、鈦、鐵或鈷的材料,其中所述第一表面包含至少一AHx封端,其中A為氧、氮及硫中的一者或多者,且x為1至2;相對於同一所述基板的所述第一表面、選擇性地在所述基板的所述第二氫封端矽表面上沈積SiO2A method for selectively forming SiO 2 on a substrate, including: selectively depositing nickel, titanium, iron, or nickel on the first surface of the substrate with respect to a second hydrogen-terminated silicon surface of the same substrate. A material of cobalt, wherein the first surface includes at least one AH x end cap, wherein A is one or more of oxygen, nitrogen, and sulfur, and x is 1 to 2; A first surface selectively deposits SiO 2 on the second hydrogen-terminated silicon surface of the substrate. 如申請專利範圍第19項所述的選擇性地在基板上形成SiO2的方法,其中所述方法更包括:蝕刻所述基板以自所述基板移除包含鎳、鈦、鐵、或鈷的所述材料。The method for selectively forming SiO 2 on a substrate according to item 19 of the scope of patent application, wherein the method further comprises: etching the substrate to remove nickel, titanium, iron, or cobalt containing the substrate from the substrate.所述 材料。 The material. 如申請專利範圍第20項所述的選擇性地在基板上形成SiO2的方法,其中蝕刻所述基板包括:將所述基板暴露至HCl、HNO3或H2SO4:H2O2中的至少一者。The method for selectively forming SiO 2 on a substrate according to item 20 of the patent application scope, wherein etching the substrate comprises: exposing the substrate to HCl, HNO 3 or H 2 SO 4 : H 2 O 2 At least one of them. 如申請專利範圍第19項所述的選擇性地在基板上形成SiO2的方法,其中所述第一表面包括OH封端表面。The method for selectively forming SiO 2 on a substrate as described in item 19 of the scope of patent application, wherein the first surface includes an OH-terminated surface. 如申請專利範圍第19項所述的選擇性地在基板上形成SiO2的方法,其中所述第一表面包含氧化矽。The method for selectively forming SiO 2 on a substrate as described in item 19 of the scope of patent application, wherein the first surface includes silicon oxide. 如申請專利範圍第19項所述的選擇性地在基板上形成SiO2的方法,其中所述第二氫封端矽表面包含-SiH、-SiH2或-SiH3表面封端。The method for selectively forming SiO 2 on a substrate as described in item 19 of the scope of the patent application, wherein the second hydrogen-terminated silicon surface includes -SiH, -SiH 2 or -SiH 3 surface termination. 如申請專利範圍第19項所述的選擇性地在基板上形成SiO2的方法,其中藉由電漿增強原子層沈積或熱原子層沈積製程且相對於所述第一表面、選擇性地在所述基板的所述第二氫封端矽表面上沈積SiO2The method for selectively forming SiO 2 on a substrate as described in item 19 of the scope of patent application, wherein a plasma-enhanced atomic layer deposition or thermal atomic layer deposition process is selectively performed on the first surface with respect to the first surface. SiO 2 is deposited on the second hydrogen-terminated silicon surface of the substrate.
TW105103434A 2015-02-03 2016-02-03 Method of selectively depositing a material on a substrate TWI666336B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562111508P 2015-02-03 2015-02-03
US62/111,508 2015-02-03

Publications (2)

Publication Number Publication Date
TW201638377A TW201638377A (en) 2016-11-01
TWI666336B true TWI666336B (en) 2019-07-21

Family

ID=56552871

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105103434A TWI666336B (en) 2015-02-03 2016-02-03 Method of selectively depositing a material on a substrate

Country Status (3)

Country Link
US (2) US9816180B2 (en)
KR (1) KR102185458B1 (en)
TW (1) TWI666336B (en)

Families Citing this family (323)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI686499B (en) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9911591B2 (en) 2015-05-01 2018-03-06 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (en) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10176984B2 (en) * 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP7169072B2 (en) * 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
WO2018170382A1 (en) * 2017-03-17 2018-09-20 Versum Materials Us, Llc Selective deposition on silicon containing surfaces
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018213018A1 (en) * 2017-05-16 2018-11-22 Asm Ip Holding B.V. Selective peald of oxide on dielectric
KR102271771B1 (en) * 2017-05-25 2021-07-01 삼성전자주식회사 Method of forming thin film and method of manufacturing integrated circuit device
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
WO2019023001A1 (en) * 2017-07-23 2019-01-31 Applied Materials, Inc. Methods for selective deposition on silicon-based dielectrics
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (en) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN107640907A (en) * 2017-10-27 2018-01-30 惠州市清洋实业有限公司 A kind of preprocess method of glass thinning
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
WO2019118845A1 (en) 2017-12-17 2019-06-20 Applied Materials, Inc. Silicide films through selective deposition
TWI757565B (en) * 2017-12-22 2022-03-11 美商應用材料股份有限公司 Methods for depositing blocking layers on conductive surfaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (en) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. Method for depositing a gap filling layer by plasma assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
JP7146690B2 (en) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. Selective layer formation using deposition and removal
TWI843623B (en) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
JP6980106B2 (en) * 2018-05-28 2021-12-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing device, program and substrate processing method
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (en) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (en) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 Cyclic deposition processes for forming metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (en) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (en) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 Thin film deposition method with respect to substrate
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
JP2020056104A (en) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. Selective passivation and selective deposition
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (en) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method and system for forming device structures using selective deposition of gallium nitride - Patents.com
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
TWI838458B (en) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for plug fill deposition in 3-d nand applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TWI845607B (en) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TWI842826B (en) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
JP2020188254A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP7499079B2 (en) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー Plasma device using coaxial waveguide and substrate processing method
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (en) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (en) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
KR20210042810A (en) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
CN112635282A (en) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 Substrate processing apparatus having connection plate and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (en) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
KR20210050453A (en) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP7527928B2 (en) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
TW202140135A (en) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Gas supply assembly and valve plate assembly
JP2021111783A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Channeled lift pin
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (en) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
TW202140833A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces
TW202140832A (en) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 Selective deposition of silicon oxide on metal surfaces
TW202204658A (en) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Simultaneous selective deposition of two different materials on two different surfaces
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (en) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. Method of forming chromium nitride layer and structure including the chromium nitride layer
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
JP2021177545A (en) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー Substrate processing system for processing substrates
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202146699A (en) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202200837A (en) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Reaction system for forming thin film on substrate
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202202649A (en) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
TW202229601A (en) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (en) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235649A (en) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Methods for filling a gap and related systems and devices
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114361414B (en) * 2021-12-28 2022-09-27 广东马车动力科技有限公司 Composite material, preparation method thereof and battery

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200633021A (en) * 2005-02-04 2006-09-16 Asm Inc Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0485024A (en) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc Manufacture of copper-clad laminated sheet
US5633036A (en) * 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
JP2003109941A (en) 2001-09-28 2003-04-11 Canon Inc Plasma treatment device and surface treatment method
WO2003076678A2 (en) 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
EP1563117B1 (en) 2002-11-15 2010-01-06 President And Fellows Of Harvard College Atomic layer deposition using metal amidinates
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US20060199399A1 (en) * 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
JP5032145B2 (en) 2006-04-14 2012-09-26 株式会社東芝 Semiconductor device
DE102007004867B4 (en) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride
JP2009076590A (en) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc Cleaning method
KR20110103988A (en) 2008-12-01 2011-09-21 이 아이 듀폰 디 네모아 앤드 캄파니 Anode for an organic electronic device
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
JP5359642B2 (en) * 2009-07-22 2013-12-04 東京エレクトロン株式会社 Deposition method
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
TWI509695B (en) 2010-06-10 2015-11-21 Asm Int Method for selectively depositing film on substrate
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (en) 2010-11-19 2014-07-30 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
KR20130007059A (en) 2011-06-28 2013-01-18 삼성전자주식회사 Method for manfacturing semiconductor device
JP6202798B2 (en) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Atomic layer deposition of antimony oxide films.
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
KR102216417B1 (en) 2013-06-28 2021-02-17 인텔 코포레이션 Selective epitaxially grown iii-v materials based devices
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9530733B2 (en) 2013-09-27 2016-12-27 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting enchroachment of the layers over adjacent regions
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) * 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
TW201525173A (en) 2013-12-09 2015-07-01 Applied Materials Inc Methods of selective layer deposition
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
US9831306B2 (en) 2013-12-19 2017-11-28 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
TWI686499B (en) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 Selective deposition of metals, metal oxides, and dielectrics
US9932671B2 (en) 2014-03-27 2018-04-03 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015147858A1 (en) 2014-03-28 2015-10-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200633021A (en) * 2005-02-04 2006-09-16 Asm Inc Methods of making substitutionally carbon-doped crystalline si-containing materials by chemical vapor deposition

Also Published As

Publication number Publication date
US20160222504A1 (en) 2016-08-04
TW201638377A (en) 2016-11-01
US9816180B2 (en) 2017-11-14
KR20160095643A (en) 2016-08-11
KR102185458B1 (en) 2020-12-03
US20180073136A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
TWI666336B (en) Method of selectively depositing a material on a substrate
US12080548B2 (en) Selective deposition using hydrophobic precursors
US11525184B2 (en) Dual selective deposition
US10903113B2 (en) Selective deposition of aluminum and nitrogen containing material
JP6813983B2 (en) Selective deposition of materials containing aluminum and nitrogen
KR20240110525A (en) Organic reactants for atomic layer deposition
TW201809346A (en) Reaction chamber passivation and selective deposition of metallic films
TWI729285B (en) Selective deposition of metallic films
TWI622098B (en) Cyclical deposition process
WO2017203775A1 (en) Raw material for forming thin film and method for producing thin film
JP2019220494A (en) Film formation composition, film-equipped substrate, manufacturing method thereof, and manufacturing method of thin film
KR102470043B1 (en) Selective deposition of aluminum and nitrogen containing material
WO2018129295A1 (en) Water assisted highly pure ruthenium thin film deposition