TWI624963B - Oxygen controlled pvd a1n buffer for gan-based optoelectronic and electronic devices - Google Patents

Oxygen controlled pvd a1n buffer for gan-based optoelectronic and electronic devices Download PDF

Info

Publication number
TWI624963B
TWI624963B TW102127333A TW102127333A TWI624963B TW I624963 B TWI624963 B TW I624963B TW 102127333 A TW102127333 A TW 102127333A TW 102127333 A TW102127333 A TW 102127333A TW I624963 B TWI624963 B TW I624963B
Authority
TW
Taiwan
Prior art keywords
aln
chamber
layer
oxygen
substrate
Prior art date
Application number
TW102127333A
Other languages
Chinese (zh)
Other versions
TW201436283A (en
Inventor
朱明偉
帕逖邦德拉納格B
汪榮軍
迪爾丹尼爾李
阿格拉瓦威維克
沙布藍尼安納薩
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201436283A publication Critical patent/TW201436283A/en
Application granted granted Critical
Publication of TWI624963B publication Critical patent/TWI624963B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/12Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a stress relaxation structure, e.g. buffer layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/347Thickness uniformity of coated layers or desired profile of target erosion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1856Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising nitride compounds, e.g. GaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0066Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound
    • H01L33/007Processes for devices with an active region comprising only III-V compounds with a substrate not being a III-V compound comprising nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices having potential barriers specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds
    • H01L33/0075Processes for devices with an active region comprising only III-V compounds comprising nitride compounds
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Ceramic Engineering (AREA)
  • Led Devices (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

茲描述用於GaN系光電與電子裝置的控氧PVD AlN緩衝層。亦描述以控氧方式形成GaN系光電與電子裝置用PVD AlN緩衝層的方法。在一實例中,形成GaN系光電或電子裝置用氮化鋁(AlN)緩衝層的方法涉及反應性濺射AlN層至基板上,反應性濺射涉及使置於物理氣相沉積(PVD)腔室內的含鋁靶材與含氮氣體或以含氮氣體為基礎的電漿反應。方法進一步涉及將氧併入AlN層中。 The oxygen-controlled PVD AlN buffer layer for GaN-based optoelectronic and electronic devices is described. A method for forming a PVD AlN buffer layer for GaN-based photovoltaic and electronic devices by an oxygen-controlled method is also described. In one example, a method for forming an aluminum nitride (AlN) buffer layer for a GaN-based photovoltaic or electronic device involves reactively sputtering an AlN layer onto a substrate, and reactive sputtering involves placing a physical vapor deposition (PVD) cavity The aluminum target in the room reacts with a nitrogen-containing gas or a plasma based on a nitrogen-containing gas. The method further involves incorporating oxygen into the AlN layer.

Description

用於GaN系光電與電子裝置之控氧PVD的AlN緩衝層 AlN buffer layer for oxygen-controlled PVD of GaN-based optoelectronic and electronic devices 【交互參照之相關申請案】[Related applications for cross-reference]

本申請案主張西元2013年3月14日申請的美國臨時專利申請案第61/785,128號的權益,該臨時專利申請案全文內容以引用方式併入本文中。 This application claims the benefit of US Provisional Patent Application No. 61 / 785,128, filed on March 14, 2013, the entire content of which is incorporated herein by reference.

本發明的實施例係有關III族氮化物材料的領域,且特別係關於利用物理氣相沉積(PVD)形成的氮化鋁緩衝層來製造氮化鎵系光電或電子裝置。 Embodiments of the present invention relate to the field of III-nitride materials, and more particularly, to the fabrication of gallium nitride-based optoelectronic or electronic devices using an aluminum nitride buffer layer formed by physical vapor deposition (PVD).

III-V族材料在半導體和諸如發光二極體(LED)等相關產業扮演越來越重要的角色。通常,難以在無形成缺陷或裂縫的情況下生成或沉積III-V族材料於外來基板(稱作異質磊晶)上。例如,在許多應用中,不能直接以相繼製造的材料層堆疊結構對選定膜(例如氮化鎵膜)施以高品質表面保護。在基板與裝置層間加入一或更多緩衝層係一方式。然III-V族材料往往易受製程條件影響,在製造製程的特定時期必須小心避免此類條件。然在許多應用中,亦無法直接避免 敏感性III-V族膜與潛在破壞條件相互作用。 III-V materials play an increasingly important role in semiconductors and related industries such as light emitting diodes (LEDs). Generally, it is difficult to generate or deposit a III-V material on a foreign substrate (referred to as heteroepitaxial) without forming defects or cracks. For example, in many applications, high-quality surface protection cannot be applied to selected films (such as gallium nitride films) directly in successively stacked material layer structures. Adding one or more buffer layers between the substrate and the device layer is a way. Although III-V materials are often susceptible to process conditions, care must be taken to avoid such conditions during certain stages of the manufacturing process. However, in many applications, it cannot be avoided directly. Sensitive III-V membranes interact with potentially damaging conditions.

本發明的一或更多實施例係針對物理氣相沉積(PVD)形成的氮化鋁緩衝層。 One or more embodiments of the present invention are directed to an aluminum nitride buffer layer formed by physical vapor deposition (PVD).

在一實施例中,形成氮化鎵(GaN)系光電或電子裝置用氮化鋁(AlN)緩衝層的方法涉及反應性濺射AlN層至基板上,反應性濺射涉及使置於物理氣相沉積(PVD)腔室內的含鋁靶材與含氮氣體或以含氮氣體為基礎的電漿反應。方法進一步涉及將氧併入AlN層中。 In one embodiment, a method for forming an aluminum nitride (AlN) buffer layer for a gallium nitride (GaN) -based photovoltaic or electronic device involves reactively sputtering an AlN layer onto a substrate, and reactive sputtering involves placing a physical gas on the substrate. The aluminum-containing target in the phase deposition (PVD) chamber reacts with a nitrogen-containing gas or a plasma based on the nitrogen-containing gas. The method further involves incorporating oxygen into the AlN layer.

在另一實施例中,用於GaN系光電或電子裝置的材料堆疊包括基板和位於基板上的氮化鋁(AlN)緩衝層。AlN層的氧濃度為約1E18至1E23 cm-3In another embodiment, a material stack for a GaN-based optoelectronic or electronic device includes a substrate and an aluminum nitride (AlN) buffer layer on the substrate. The AlN layer has an oxygen concentration of about 1E18 to 1E23 cm -3 .

在又一實施例中,發光二極體(LED)裝置包括基板和位於基板上的氮化鋁(AlN)緩衝層。AlN層的氧濃度為約1E18至1E23 cm-3In yet another embodiment, a light emitting diode (LED) device includes a substrate and an aluminum nitride (AlN) buffer layer on the substrate. The AlN layer has an oxygen concentration of about 1E18 to 1E23 cm -3 .

在再一實施例中,GaN系電子裝置包括基板和位於基板上的氮化鋁(AlN)緩衝層。AlN層的氧濃度為約1E18至1E23 cm-3In still another embodiment, the GaN-based electronic device includes a substrate and an aluminum nitride (AlN) buffer layer on the substrate. The AlN layer has an oxygen concentration of about 1E18 to 1E23 cm -3 .

在另一實施例中,用於形成GaN系光電或電子裝置用氮化鋁(AlN)緩衝層的腔室包括泵送系統和腔室冷卻設計,以達到1E-7托耳或以下的高本底真空度,且高溫下有低上升率。腔室亦包括全面侵蝕磁控管陰極,以配置使AlN膜在晶圓內與晶圓間有一致的靶材侵蝕及均勻沉積載具各處。腔室亦包括處理套組和氣流設計,以配置使包括含O氣體的 製程氣體均勻分布於腔室內而得均勻的AlN組成。 In another embodiment, the chamber for forming an aluminum nitride (AlN) buffer layer for a GaN-based photovoltaic or electronic device includes a pumping system and a chamber cooling design to achieve a high cost of 1E-7 Torr or less Bottom vacuum and low rise rate at high temperature. The chamber also includes a comprehensive erosion magnetron cathode to configure the AlN film to have consistent target erosion and uniform deposition throughout the wafer within and between the wafer. The chamber also includes a treatment kit and airflow design to configure the The process gas is evenly distributed in the chamber to obtain a uniform AlN composition.

100‧‧‧叢集工具 100‧‧‧ Cluster Tool

102、104、106‧‧‧反應腔室 102, 104, 106‧‧‧ reaction chambers

108‧‧‧負載鎖定室 108‧‧‧Load Lock Room

110‧‧‧承載匣 110‧‧‧carrying case

112‧‧‧反應腔室 112‧‧‧ reaction chamber

120‧‧‧LED結構 120‧‧‧LED structure

122‧‧‧基板 122‧‧‧ substrate

124‧‧‧緩衝層 124‧‧‧ buffer layer

126‧‧‧組合層 126‧‧‧Combination layer

128‧‧‧MQW結構 128‧‧‧MQW structure

130‧‧‧氮化鋁鎵層 130‧‧‧AlGaN layer

132‧‧‧氮化鎵層 132‧‧‧GaN layer

140‧‧‧時間對沉積作圖 140‧‧‧time mapping of sediments

200‧‧‧叢集工具 200‧‧‧ cluster tools

202‧‧‧濺射腔室 202‧‧‧Sputtering chamber

204、206、208‧‧‧反應腔室 204, 206, 208‧‧‧ reaction chambers

210‧‧‧負載鎖定室 210‧‧‧Load lock chamber

212‧‧‧承載匣 212‧‧‧carrying case

214‧‧‧移送室 214‧‧‧Transfer Room

220‧‧‧LED結構 220‧‧‧LED structure

222‧‧‧基板 222‧‧‧ substrate

224‧‧‧氮化鋁層 224‧‧‧Aluminum nitride layer

226‧‧‧層 226‧‧‧Floor

228‧‧‧MQW結構 228‧‧‧MQW Structure

230‧‧‧氮化鋁鎵層 230‧‧‧AlGaN layer

232‧‧‧氮化鎵層 232‧‧‧GaN layer

240‧‧‧時間對沉積作圖 240‧‧‧time mapping of sediments

250‧‧‧溫度對時間作圖 250‧‧‧ Temperature vs. Time

252、254、256、258‧‧‧區域 252, 254, 256, 258‧‧‧ zones

300‧‧‧處理套組 300‧‧‧Processing Kit

302、304‧‧‧應接器 302, 304‧‧‧Receiver

306‧‧‧屏蔽 306‧‧‧shielded

308‧‧‧DTESC 308‧‧‧DTESC

310‧‧‧靶材 310‧‧‧ Target

312‧‧‧暗區屏蔽 312‧‧‧Dark area shielding

314‧‧‧間隔物 314‧‧‧ spacer

316‧‧‧蓋環 316‧‧‧ cover ring

318‧‧‧沉積環 318‧‧‧ sedimentary ring

350‧‧‧功率輸送源 350‧‧‧ Power Transmission Source

352‧‧‧RF匹配 352‧‧‧RF matching

354‧‧‧RF饋送 354‧‧‧RF Feed

356‧‧‧源分配板 356‧‧‧Source Distribution Board

358‧‧‧接地屏蔽 358‧‧‧ Ground Shield

360‧‧‧外殼 360‧‧‧Shell

362‧‧‧磁鐵 362‧‧‧Magnet

364‧‧‧DC濾箱 364‧‧‧DC filter box

366‧‧‧DC饋送 366‧‧‧DC feed

368‧‧‧頂板 368‧‧‧Top plate

370‧‧‧分配板 370‧‧‧ distribution board

372‧‧‧延伸塊 372‧‧‧ extension block

374‧‧‧軸 374‧‧‧axis

376‧‧‧靶材 376‧‧‧Target

420‧‧‧排氣環 420‧‧‧Exhaust ring

500‧‧‧設備 500‧‧‧ equipment

502、532‧‧‧腔室 502, 532‧‧‧ chamber

504、522、524‧‧‧管 504, 522, 524‧‧‧‧ tube

506‧‧‧噴灑頭 506‧‧‧spray head

508‧‧‧壁面 508‧‧‧wall

510‧‧‧氣源 510‧‧‧Air source

512‧‧‧能源 512‧‧‧ Energy

514‧‧‧晶座 514‧‧‧ Crystal Block

516‧‧‧基板 516‧‧‧ substrate

518‧‧‧前驅物源 518‧‧‧ precursor source

520‧‧‧加熱器 520‧‧‧heater

526‧‧‧排氣裝置 526‧‧‧Exhaust

600‧‧‧電腦系統 600‧‧‧ computer system

602‧‧‧處理器 602‧‧‧ processor

604、606、618‧‧‧記憶體 604, 606, 618‧‧‧Memory

608‧‧‧網路介面裝置 608‧‧‧ network interface device

610‧‧‧視訊顯示單元 610‧‧‧video display unit

612‧‧‧文數輸入裝置 612‧‧‧Text input device

614‧‧‧游標控制裝置 614‧‧‧ cursor control device

616‧‧‧訊號產生裝置 616‧‧‧Signal generating device

620‧‧‧網路 620‧‧‧Internet

622‧‧‧軟體 622‧‧‧Software

626‧‧‧邏輯 626‧‧‧Logic

630‧‧‧匯流排 630‧‧‧Bus

631‧‧‧電腦可存取儲存媒體 631‧‧‧Computer accessible storage media

4100‧‧‧設備 4100‧‧‧Equipment

4102‧‧‧腔室 4102‧‧‧ Chamber

4103‧‧‧主體 4103‧‧‧Subject

4104‧‧‧噴灑頭組件 4104‧‧‧Sprinkler head assembly

4105‧‧‧排氣通道 4105‧‧‧Exhaust channel

4106‧‧‧導管 4106‧‧‧ Catheter

4107‧‧‧閥系統 4107‧‧‧valve system

4108‧‧‧處理容積 4108‧‧‧Processing volume

4109‧‧‧排氣口 4109‧‧‧Exhaust port

4110‧‧‧下容積 4110‧‧‧lower volume

4112‧‧‧真空系統 4112‧‧‧Vacuum system

4114‧‧‧載具 4114‧‧‧ Vehicle

4116‧‧‧凹部 4116‧‧‧Concave

4119‧‧‧圓頂 4119‧‧‧ dome

4121A、4121B‧‧‧燈具 4121A, 4121B‧‧‧Lighting

4124‧‧‧管線 4124‧‧‧Pipeline

4125‧‧‧氣體輸送系統 4125‧‧‧Gas delivery system

4126‧‧‧遠端電漿源 4126‧‧‧Remote Plasma Source

4129‧‧‧導管 4129‧‧‧ Catheter

4130‧‧‧閥 4130‧‧‧Valve

4131-4133‧‧‧管線 4131-4133‧‧‧ Pipeline

4140‧‧‧基板 4140‧‧‧ substrate

4166‧‧‧反射器 4166‧‧‧Reflector

第1圖圖示根據本發明一或更多實施例的基準叢集工具示意圖、基準LED結構和基準時間對沉積作圖。 FIG. 1 illustrates a schematic diagram of a reference cluster tool, a reference LED structure and a reference time versus deposition according to one or more embodiments of the present invention.

第2A圖圖示根據本發明一實施例,用於LED結構製造的叢集工具示意圖和對應的溫度對時間作圖。 FIG. 2A illustrates a schematic diagram of a cluster tool for LED structure manufacturing and a corresponding temperature versus time plot according to an embodiment of the present invention.

第2B圖圖示根據本發明一實施例的發光二極體(LED)結構和對應的時間對沉積作圖。 FIG. 2B illustrates a light emitting diode (LED) structure and corresponding time versus deposition according to an embodiment of the present invention.

第3A圖至第3C圖圖示根據本發明一實施例,用於PVD腔室的處理套組截面。 3A to 3C illustrate cross-sections of a processing kit for a PVD chamber according to an embodiment of the present invention.

第3D圖圖示根據本發明一實施例,用於PVD腔室的功率輸送源截面。 Figure 3D illustrates a cross section of a power delivery source for a PVD chamber according to an embodiment of the invention.

第4圖為根據本發明一實施例,適合用於製造III族氮化物材料的MOCVD腔室截面示意圖。 FIG. 4 is a schematic cross-sectional view of a MOCVD chamber suitable for manufacturing a group III nitride material according to an embodiment of the present invention.

第5圖為根據本發明一實施例,適合用於製造III族氮化物材料的HVPE腔室截面示意圖。 FIG. 5 is a schematic cross-sectional view of an HVPE chamber suitable for manufacturing a group III nitride material according to an embodiment of the present invention.

第6圖為根據本發明一實施例的示例性電腦系統方塊圖。 FIG. 6 is a block diagram of an exemplary computer system according to an embodiment of the present invention.

茲描述利用物理氣相沉積(PVD)形成的氮化鋁(AlN)緩衝層來製造氮化鎵系光電或電子裝置。以下說明提及眾多特定細節,例如處理腔室組態和材料體系,以提供對本發明實施例更徹底的瞭解。熟諳此技術者將清楚明白本發明的實施例可不按該等特定細節實踐。在其他情況下,不詳 述諸如特定二極體組態等已知特徵結構,以免讓本發明實施例變得晦澀難懂。另外,應理解圖所示各種實施例僅為示例說明,而未必按比例繪製。此外,儘管本文所述實施例未明確揭示其他配置和組態,但仍視為落在本發明的精神和範圍內。 Describes the use of aluminum nitride (AlN) buffer layers formed by physical vapor deposition (PVD) to fabricate gallium nitride-based optoelectronic or electronic devices. The following description refers to numerous specific details, such as processing chamber configuration and material systems, to provide a more thorough understanding of embodiments of the present invention. Those skilled in the art will clearly understand that the embodiments of the present invention can be practiced without these specific details. In other cases unknown The known characteristic structures such as the specific diode configuration are described to avoid making the embodiments of the present invention obscure. In addition, it should be understood that the various embodiments shown in the figures are for illustration only and are not necessarily drawn to scale. In addition, although the embodiments described herein do not explicitly disclose other configurations and configurations, they are still considered to fall within the spirit and scope of the present invention.

一或更多實施例係針對控氧物理氣相沉積(PVD)應用的氮化鋁(AlN)緩衝層用於氮化鎵(GaN)系光電與電子裝置。實施例亦可包括金屬有機化學氣相沉積(MOCVD)製程,用以於PVD AlN層上形成層。實施例可針對發光二極體(LED)或供電裝置。對應一或更多實施例的特徵可包括或暗含藍寶石基板、圖案化藍寶石基板、Si基板、XRD、晶圓彎曲、膜應力和差排。 One or more embodiments are for aluminum nitride (AlN) buffer layers for oxygen controlled physical vapor deposition (PVD) applications for gallium nitride (GaN) based optoelectronic and electronic devices. Embodiments may also include a metal organic chemical vapor deposition (MOCVD) process for forming a layer on a PVD AlN layer. Embodiments may be directed to a light emitting diode (LED) or a power supply device. Features corresponding to one or more embodiments may include or imply a sapphire substrate, a patterned sapphire substrate, a Si substrate, XRD, wafer bending, film stress, and differential rows.

PVD AlN可用作GaN系LED與供電裝置的緩衝層,裝置生成於外來基板上,例如藍寶石或矽基板等。PVD AlN層可用於改善生成於AlN緩衝層頂部的氮化鎵(GaN)層材料品質。經改善的GaN可用於增進裝置性能(例如亮度、IQE、裝置漏電與ESD(若為LED)和高崩潰電壓(若為供電裝置))及可靠度。 PVD AlN can be used as a buffer layer for GaN-based LEDs and power supply devices. The device is generated on a foreign substrate, such as a sapphire or silicon substrate. The PVD AlN layer can be used to improve the material quality of the gallium nitride (GaN) layer generated on top of the AlN buffer layer. Improved GaN can be used to improve device performance (such as brightness, IQE, device leakage and ESD (if LED), and high breakdown voltage (if powered device)) and reliability.

為提供上下文,在典型的MOCVD生成藍寶石基板覆GaN中,PVD AlN緩衝層可用於免除基板預焙、低溫GaN緩衝層和大部分變溫操作等操作,並能獲得快速成長的薄裝置層。總之,處理時間將因節省循環時間而縮短1至3小時。對可能需要AlN層來保護矽基板免遭鎵攻擊的矽覆GaN生成而言,結合磊晶製程與腔室清洗時間,PVD AlN層可節省約 3至6小時。製程時間縮短可大幅增進系統產量。故根據本發明實施例,PVD AlN的結晶品質會直接影響生成於頂部的GaN材料品質。 To provide context, PVD AlN buffer layers can be used to eliminate substrate pre-baking, low-temperature GaN buffer layers, and most temperature-varying operations in a typical MOCVD-generated sapphire substrate-coated GaN, and can obtain rapidly growing thin device layers. In short, the processing time will be shortened by 1 to 3 hours due to saving cycle time. For the formation of silicon-on-GaN that may require an AlN layer to protect the silicon substrate from gallium attack, combining the epitaxial process and chamber cleaning time, the PVD AlN layer can save about 3 to 6 hours. Reduced process time can significantly increase system output. Therefore, according to the embodiment of the present invention, the crystal quality of PVD AlN directly affects the quality of the GaN material generated on the top.

如本文所述,本發明的一或更多實施例提供製程細節、系統、腔室和硬體組態,以達到再三獲得較佳GaN性質的AlN緩衝層。 As described herein, one or more embodiments of the present invention provide process details, systems, chambers, and hardware configurations to achieve repeatedly obtaining AlN buffer layers with better GaN properties.

更特定言之,本發明的實施例涉及在AlN沉積之前、期間或之後引入含氧氣體來改質性質,包括化學鍵、晶體結構、晶粒大小與形狀及/或AlN/基板界面、AlN塊膜和AlN表面的形貌,以使氧摻雜AlN層。在此一實施例中,不只氧濃度、還有將氧載氣引入對應PVD腔室的時間和持續時間(例如用以形成AlN)都將影響後續形成沉積於上的GaN層品質。 More specifically, embodiments of the present invention involve introducing oxygen-containing gases to modify properties before, during, or after AlN deposition, including chemical bonding, crystal structure, grain size and shape, and / or AlN / substrate interface, AlN bulk film And AlN surface morphology so that oxygen doped the AlN layer. In this embodiment, not only the oxygen concentration, but also the time and duration of introducing an oxygen carrier gas into the corresponding PVD chamber (for example, to form AlN) will affect the quality of the subsequent formation of the GaN layer deposited thereon.

在一實施例中,可應用變數視初始基板是否為平面或經圖案化而定(例如以藍寶石為例)。當最佳化氧濃度、流率、引入時間和其他參數(例如溫度、厚度等)時,可生成非常高品質的AlN膜。例如,在一特定實施例中,可沉積XRD(002)FWHM小於15弧秒且表面粗糙度小於2奈米(nm)(根均方)的AlN膜。如此,在一特定實施例中,於具此類緩衝層的外來基板上生成GaN可獲得低很多的差排密度和較窄的XRD FWHM(例如(002)<100弧秒、(102)<150弧秒)。在一特定實施例中,差排密度小於約5E8個缺陷/平方公分。在一實施例中,XRD(002)的FWHM為約50-250弧秒。在一實施例中,XRD(102)的FWHM為約70-250弧秒。本發明的 實施例亦針對最佳化硬體,使之具高沉積速率、高度精確控制溫度與氣體組成的能力,從而均勻改質AlN界面、體與表面性質,以確保晶圓內與晶圓間有同樣高品質的GaN。 In one embodiment, the applicable variable depends on whether the initial substrate is planar or patterned (for example, sapphire is used as an example). When the oxygen concentration, flow rate, introduction time, and other parameters (such as temperature, thickness, etc.) are optimized, a very high-quality AlN film can be generated. For example, in a specific embodiment, an AlN film having an XRD (002) FWHM of less than 15 arc seconds and a surface roughness of less than 2 nanometers (nm) (root mean square) can be deposited. As such, in a specific embodiment, generating GaN on a foreign substrate with such a buffer layer can achieve a much lower differential row density and a narrower XRD FWHM (e.g. (002) <100 arc seconds, (102) <150 Arc seconds). In a particular embodiment, the differential row density is less than about 5E8 defects / cm 2. In one embodiment, the FWHM of XRD (002) is about 50-250 arc seconds. In one embodiment, the FWHM of the XRD (102) is about 70-250 arc seconds. Present invention The embodiment also aims at optimizing the hardware, so that it has the ability to control the temperature and gas composition with high deposition rate and high precision, so as to uniformly modify the properties of the AlN interface, the body and the surface, so as to ensure that the wafer and the wafer have the same High-quality GaN.

LED或供電裝置製造方法可包括在基板與未摻雜及/或摻雜氮化鎵裝置層間形成氮化鎵緩衝層。在本文所述實施例中,氮化鋁緩衝層用來取代基板與未摻雜和摻雜氮化鎵裝置層間的此一氮化鎵緩衝層。氮化鋁層可由PVD製程中的濺射沉積形成。此和通常在金屬有機氣相沉積(MOCVD)腔室、分子束磊晶(MBE)腔室或氫化物氣相磊晶(HVPE)腔室中傳統製造III族氮化物緩衝層大不相同。氮化鋁層可從置於PVD腔室內的氮化鋁靶材,非反應性濺射形成,或者氮化鋁層可從置於PVD腔室內的鋁靶材,反應性濺射及與含氮氣體或以含氮氣體為基礎的電漿反應形成。 The LED or power supply device manufacturing method may include forming a gallium nitride buffer layer between the substrate and the undoped and / or doped gallium nitride device layer. In the embodiment described herein, an aluminum nitride buffer layer is used to replace the gallium nitride buffer layer between the substrate and the undoped and doped gallium nitride device layer. The aluminum nitride layer can be formed by sputtering deposition in a PVD process. This is very different from the traditional fabrication of a Group III nitride buffer layer in a metal organic vapor deposition (MOCVD) chamber, a molecular beam epitaxy (MBE) chamber, or a hydride vapor epitaxy (HVPE) chamber. The aluminum nitride layer can be formed from an aluminum nitride target placed in a PVD chamber by non-reactive sputtering, or the aluminum nitride layer can be formed from an aluminum target placed in a PVD chamber, reactively sputtered, and reacted with nitrogen. Gas or plasma reaction based on nitrogen-containing gas.

根據一或更多實施例,本文描述用於GaN系裝置的PVD AlN緩衝層的製程條件。本文所述一或更多實施例能讓用於LED或供電裝置製造的多腔室製造工具有更高產量。又,藉由加入PVD形成的氮化鋁層來取代氮化鎵緩衝層,可使未摻雜和摻雜氮化鎵裝置層整體變薄。在一特定實例中,未摻雜部分可變薄或整個消除。另外,可在和用於沉積氮化鋁層一樣的PVD沉積腔室中進行初步濺射清洗接收基板,例如藍寶石基板。此外,由於PVD氮化鋁層可在低於300℃的溫度下形成,故可減少LED或供電裝置製造的整體熱預算。反之,典型氮化鎵或氮化鋁MOCVD緩衝層係在500℃至600℃之間形成。本文所述一或更多實施例能讓諸如未摻雜及/或 n型摻雜氮化鎵等材料有更快的沉積速率,例如生成速率的兩倍。在一些實施例中,因未摻雜及/或n型摻雜氮化鎵層形成於氮化鋁(AlN)緩衝層上而提供較佳的結晶位向和形貌關係供未摻雜及/或n型摻雜氮化鎵生成於上,故可達成更快的速率。本文所述一或更多實施例可藉由形成氮化鎵至PVD形成的氮化鋁緩衝層上而改善氮化鎵結晶品質。 According to one or more embodiments, process conditions for a PVD AlN buffer layer for a GaN-based device are described herein. One or more embodiments described herein enable higher yields of multi-chamber manufacturing tools for LED or power supply device manufacturing. In addition, by replacing the gallium nitride buffer layer with an aluminum nitride layer formed by adding PVD, the entire undoped and doped gallium nitride device layer can be made thin. In a specific example, the undoped portion may be thinned or eliminated entirely. In addition, the receiving substrate, such as a sapphire substrate, can be cleaned by preliminary sputtering in the same PVD deposition chamber used to deposit the aluminum nitride layer. In addition, since the PVD aluminum nitride layer can be formed at a temperature lower than 300 ° C, the overall thermal budget for LED or power supply device manufacturing can be reduced. In contrast, a typical gallium nitride or aluminum nitride MOCVD buffer layer is formed between 500 ° C and 600 ° C. One or more embodiments described herein enable such things as undoped and / or Materials such as n-doped gallium nitride have faster deposition rates, such as twice the generation rate. In some embodiments, since the undoped and / or n-doped gallium nitride layer is formed on the aluminum nitride (AlN) buffer layer, a better crystal orientation and morphology relationship is provided for undoped and / or Or n-type doped gallium nitride is formed on it, so a faster rate can be achieved. One or more embodiments described herein can improve the crystal quality of gallium nitride by forming gallium nitride on the aluminum nitride buffer layer formed by PVD.

本發明的實施例可改善目前描述系統和方法所研發的基準系統或方法。例如,第1圖圖示根據本發明一或更多實施例的基準叢集工具示意圖、基準LED結構和基準時間對沉積作圖。 Embodiments of the present invention can improve the benchmark system or method developed by the currently described systems and methods. For example, FIG. 1 illustrates a schematic diagram of a reference cluster tool, a reference LED structure, and a reference time versus deposition according to one or more embodiments of the present invention.

參照第1圖,基準叢集工具100包括未摻雜及/或n型氮化鎵MOCVD反應腔室102(MOCVD1:u-GaN/n-GaN)、多重量子井(MQW)MOCVD反應腔室104(MOCVD2:MQW)和p型氮化鎵MOCVD反應腔室106(MOCVD3:p-GaN)。基準叢集工具100亦可包括負載鎖定室108、承載匣110和選擇性附加的未摻雜及/或n型氮化鎵MOCVD反應腔室112做為大量應用,上述腔室皆繪於第1圖。 Referring to FIG. 1, the reference cluster tool 100 includes an undoped and / or n-type gallium nitride MOCVD reaction chamber 102 (MOCVD1: u-GaN / n-GaN), a multiple quantum well (MQW) MOCVD reaction chamber 104 ( MOCVD2: MQW) and p-type gallium nitride MOCVD reaction chamber 106 (MOCVD3: p-GaN). The reference cluster tool 100 may also include a load lock chamber 108, a carrier cassette 110, and optionally additional undoped and / or n-type gallium nitride MOCVD reaction chamber 112 for a large number of applications, all of which are depicted in Figure 1 .

基準LED結構120包括各種材料層堆疊,許多材料層包括III-V材料。例如,基準LED結構120包括矽或藍寶石基板122(基板:藍寶石、Si)、20奈米厚的緩衝層124(LT緩衝層)和約4微米厚的未摻雜/n型氮化鎵組合層126(u-GaN/n-GaN)。緩衝層124可為以較低處理溫度形成的氮化鎵層。緩衝層124和未摻雜/n型氮化鎵組合層126係在基準叢集工具100的未摻雜及/或n型氮化鎵MOCVD反應腔室 102中形成。基準LED結構120亦包括厚度為30-500奈米的MQW結構128。MQW結構128係在基準叢集工具100的MQW MOCVD反應腔室104中形成。基準LED結構120亦包括約20奈米厚的p型氮化鋁鎵層130(p-AlGaN)和厚度為50-200奈米的p型氮化鎵層132(p-GaN)。p型氮化鋁鎵層130和p型氮化鎵層132係在基準叢集工具100的p型氮化鎵MOCVD反應腔室106中形成。 The reference LED structure 120 includes a stack of various material layers, many of which include III-V materials. For example, the reference LED structure 120 includes a silicon or sapphire substrate 122 (substrate: sapphire, Si), a 20 nm thick buffer layer 124 (LT buffer layer), and an approximately 4 micron thick undoped / n-type gallium nitride composite layer 126 (u-GaN / n-GaN). The buffer layer 124 may be a gallium nitride layer formed at a lower processing temperature. The buffer layer 124 and the undoped / n-type gallium nitride combination layer 126 are in the undoped and / or n-type gallium nitride MOCVD reaction chamber of the reference cluster tool 100 Formed in 102. The reference LED structure 120 also includes an MQW structure 128 having a thickness of 30-500 nanometers. The MQW structure 128 is formed in the MQW MOCVD reaction chamber 104 of the reference cluster tool 100. The reference LED structure 120 also includes a p-type aluminum gallium nitride layer 130 (p-AlGaN) with a thickness of about 20 nm and a p-type gallium nitride layer 132 (p-GaN) with a thickness of 50-200 nm. The p-type aluminum gallium nitride layer 130 and the p-type gallium nitride layer 132 are formed in the p-type gallium nitride MOCVD reaction chamber 106 of the reference cluster tool 100.

基準時間對沉積作圖140表示基準叢集工具100的腔室使用。在MQW MOCVD反應腔室104中形成MQW結構128的生成時間為約2小時。並且,在p型氮化鎵MOCVD反應腔室106中形成p型氮化鋁鎵層130和p型氮化鎵層132的生成時間為約1小時。同時,在未摻雜及/或n型氮化鎵MOCVD反應腔室102中形成緩衝層124和未摻雜/n型氮化鎵組合層126的生成時間為約3.5小時。另需約1小時做為腔室102的腔室清洗。故總體而言,在基準叢集工具100中製造基準LED結構120的循環時間受制於未摻雜及/或n型氮化鎵MOCVD反應腔室102的循環時間,此約4.5小時。應理解清洗時間可(但未必)包括關機時間、加上清洗時間、加上回復時間。亦應理解以上僅代表平均值,因為每次腔室使用之間可能不會進行清洗。 The reference time versus deposition plot 140 represents the use of the chamber of the reference cluster tool 100. The generation time for forming the MQW structure 128 in the MQW MOCVD reaction chamber 104 is about 2 hours. In addition, the generation time for forming the p-type aluminum gallium nitride layer 130 and the p-type gallium nitride layer 132 in the p-type gallium nitride MOCVD reaction chamber 106 is about 1 hour. Meanwhile, the formation time of forming the buffer layer 124 and the undoped / n-type gallium nitride combined layer 126 in the undoped and / or n-type gallium nitride MOCVD reaction chamber 102 is about 3.5 hours. It takes about 1 hour for the chamber cleaning of the chamber 102. Therefore, in general, the cycle time for manufacturing the reference LED structure 120 in the reference cluster tool 100 is limited by the cycle time of the undoped and / or n-type GaN MOCVD reaction chamber 102, which is about 4.5 hours. It should be understood that the cleaning time may (but not necessarily) include shutdown time, plus cleaning time, plus response time. It should also be understood that the above represent only average values, as cleaning may not occur between each chamber use.

如第1圖所示,以下提供用於LED材料沉積的基準時序,該時序特定於在未摻雜及/或n型氮化鎵MOCVD反應腔室102中形成緩衝層124和未摻雜/n型氮化鎵組合層126。例如,約3.5小時的生成時間可分成10分鐘的高溫處理藍寶 石基板、5分鐘的低溫形成緩衝層、10分鐘的緩衝層退火操作、30分鐘的生成回復操作、2小時的未摻雜/n型氮化鎵組合層形成操作和30分鐘的變溫與穩定操作(例如以2℃-3℃/秒變溫)。 As shown in Figure 1, the following provides a reference timing for LED material deposition, which is specific to the formation of the buffer layer 124 and the undoped / n Type gallium nitride combination layer 126. For example, the generation time of about 3.5 hours can be divided into 10 minutes of high-temperature treatment Sapphire Stone substrate, 5 minute low-temperature formation buffer layer, 10 minutes buffer layer annealing operation, 30 minutes generation recovery operation, 2 hours undoped / n-type gallium nitride composite layer formation operation, and 30 minutes temperature changing and stable operation (E.g. temperature change at 2 ° C-3 ° C / second).

參照第1圖所述基準系統和方法,基準方式會致使LED的各功能層有不平衡的時間流。例如,在未摻雜及/或n型氮化鎵MOCVD反應腔室102中形成緩衝層124和未摻雜/n型氮化鎵組合層126為3.5小時,在MQW MOCVD反應腔室104中形成MQW結構128為2小時,在p型氮化鎵MOCVD反應腔室106中形成p型氮化鋁鎵層130和p型氮化鎵層132為1小時。另外,如上所述,在未摻雜及/或n型氮化鎵MOCVD反應腔室102中,每次游程間另需約1小時的腔室清洗(也許包括泵送時間)。要額外清洗腔室係為了避免基板污染。如此,以三個MOCVD腔室漸次生成結構120將造成MQW MOCVD反應腔室104和p型氮化鎵MOCVD反應腔室106有大量閒置時間,以致降低系統100的整體產量。 Referring to the reference system and method described in FIG. 1, the reference method causes an unbalanced time flow in each functional layer of the LED. For example, the buffer layer 124 and the undoped / n-type gallium nitride composite layer 126 are formed in the undoped and / or n-type gallium nitride MOCVD reaction chamber 102 for 3.5 hours, and are formed in the MQW MOCVD reaction chamber 104. The MQW structure 128 is 2 hours, and the p-type aluminum gallium nitride layer 130 and the p-type gallium nitride layer 132 are formed in the p-type gallium nitride MOCVD reaction chamber 106 for 1 hour. In addition, as described above, in the undoped and / or n-type gallium nitride MOCVD reaction chamber 102, another one hour of chamber cleaning (perhaps including pumping time) is required between each run. Additional cleaning of the chamber is necessary to avoid substrate contamination. As such, gradually generating structures 120 from three MOCVD chambers will cause the MQW MOCVD reaction chamber 104 and p-type gallium nitride MOCVD reaction chamber 106 to have a large amount of idle time, thereby reducing the overall yield of the system 100.

在本發明的一態樣中,藉由以PVD濺射沉積能力或操作取代上述MOCVD材料生成能力或操作之一或一部分,可增進用於製造LED或供電裝置結構的叢集系統產量。例如,第2A圖圖示根據本發明一實施例,用於LED結構製造的叢集工具示意圖和對應的溫度對時間作圖。第2B圖圖示根據本發明一實施例的LED結構和對應的時間對沉積作圖。 In one aspect of the present invention, by replacing one or a part of the MOCVD material generating capability or operation with a PVD sputtering deposition capability or operation, the yield of a cluster system for manufacturing an LED or a power supply device structure can be increased. For example, FIG. 2A illustrates a schematic diagram of a cluster tool for manufacturing LED structures and corresponding temperature versus time according to an embodiment of the present invention. FIG. 2B illustrates an LED structure and corresponding time versus deposition according to an embodiment of the present invention.

參照第2A圖,叢集工具200包括PVD氮化鋁濺射腔室202(PVD AlN)、未摻雜及/或n型氮化鎵MOCVD反 應腔室204(MOCVD1:u-GaN/n-GaN)、多重量子井(MQW)MOCVD反應腔室206(MOCVD2:MQW)和p型氮化鎵MOCVD反應腔室208(MOCVD3:p-GaN)。叢集工具200亦可包括負載鎖定室210、承載匣212和移送室214,上述腔室皆繪於第2A圖。 Referring to FIG. 2A, the cluster tool 200 includes a PVD aluminum nitride sputtering chamber 202 (PVD AlN), undoped and / or n-type gallium nitride MOCVD reaction Stress chamber 204 (MOCVD1: u-GaN / n-GaN), multiple quantum well (MQW) MOCVD reaction chamber 206 (MOCVD2: MQW), and p-type gallium nitride MOCVD reaction chamber 208 (MOCVD3: p-GaN) . The cluster tool 200 may also include a load lock chamber 210, a carrier cassette 212, and a transfer chamber 214. The above-mentioned chambers are all depicted in FIG. 2A.

故根據本發明一實施例,多腔室系統包括具有金屬或複合鋁靶材的PVD腔室和適於沉積未摻雜及/或n型氮化鎵或二者的腔室。在一實施例中,PVD腔室的靶材係由氮化鋁組成。在此一實施例中,不必使用反應性濺射,因為靶材係由和所欲沉積一樣的材料組成。然在一替代實施例中,係使用由鋁組成的靶材,及利用或在存有氮源的情況下從鋁靶材反應性濺射氮化鋁。在一實施例中,如第2A圖所示,適於沉積未摻雜或n型氮化鎵的腔室係MOCVD腔室。然在一替代實施例中,適於沉積未摻雜或n型氮化鎵的腔室係氫化物氣相磊晶(HVPE)腔室。在一實施例中,如第2A圖所示,PVD腔室和適於沉積未摻雜或n型氮化鎵的腔室包括在叢集工具配置內。然在一替代實施例中,PVD腔室和適於沉積未摻雜或n型氮化鎵的腔室包括在線內工具配置內。本文所述PVD應用沉積製程可在約標準室溫的溫度下進行,或可在更高溫度下進行。 Therefore, according to an embodiment of the present invention, the multi-chamber system includes a PVD chamber having a metal or composite aluminum target and a chamber suitable for depositing undoped and / or n-type gallium nitride or both. In one embodiment, the target of the PVD chamber is composed of aluminum nitride. In this embodiment, it is not necessary to use reactive sputtering, because the target is composed of the same material as the one to be deposited. However, in an alternative embodiment, a target composed of aluminum is used, and aluminum nitride is reactively sputtered from the aluminum target using or in the presence of a nitrogen source. In one embodiment, as shown in FIG. 2A, the chamber suitable for depositing undoped or n-type gallium nitride is a MOCVD chamber. However, in an alternative embodiment, the chamber suitable for depositing undoped or n-type gallium nitride is a hydride vapor phase epitaxy (HVPE) chamber. In one embodiment, as shown in FIG. 2A, a PVD chamber and a chamber suitable for depositing undoped or n-type gallium nitride are included in the cluster tool configuration. However, in an alternative embodiment, the PVD chamber and the chamber suitable for depositing undoped or n-type gallium nitride are included in an in-line tool configuration. The PVD application deposition process described herein can be performed at about standard room temperature, or can be performed at higher temperatures.

參照第2B圖,LED結構220包括各種材料層堆疊,許多材料層包括III-V材料。例如,LED結構220包括矽或藍寶石基板222(基板:藍寶石、Si)和厚度約10-200奈米的氮化鋁層224(AlN)。氮化鋁層224係在叢集工具200的PVD 氮化鋁濺射腔室202中濺射沉積形成。LED結構220亦包括約4微米厚的未摻雜/n型氮化鎵組合或n型氮化鎵唯一層226(n-GaN)。未摻雜/n型氮化鎵組合或n型氮化鎵唯一層226係在叢集工具200的未摻雜及/或n型氮化鎵MOCVD反應腔室204中形成。LED結構220亦包括厚度為30-500奈米的MQW結構228。MQW結構228係在叢集工具200的MQW MOCVD反應腔室206中形成。在一實施例中,MQW結構228係由InGaN井/GaN阻障材料層的一或複數個場對(field pair)組成。LED結構220亦包括約20奈米厚的p型氮化鋁鎵層230(p-AlGaN)和厚度為50-200奈米的p型氮化鎵層232(p-GaN)。p型氮化鋁鎵層230和p型氮化鎵層232係在叢集工具200的p型氮化鎵MOCVD反應腔室208中形成。應理解上述厚度或厚度範圍僅為示例性實施例,其他適當厚度或厚度範圍亦視為落在本發明實施例的精神和範圍內。 Referring to FIG. 2B, the LED structure 220 includes a stack of various material layers, and many material layers include III-V materials. For example, the LED structure 220 includes a silicon or sapphire substrate 222 (substrate: sapphire, Si) and an aluminum nitride layer 224 (AlN) having a thickness of about 10-200 nm. The aluminum nitride layer 224 is based on the PVD of the cluster tool 200 The aluminum nitride sputtering chamber 202 is formed by sputtering deposition. The LED structure 220 also includes an undoped / n-type gallium nitride combination or an n-type GaN only layer 226 (n-GaN) that is about 4 microns thick. The undoped / n-type gallium nitride combination or the n-type gallium nitride unique layer 226 is formed in the undoped and / or n-type gallium nitride MOCVD reaction chamber 204 of the cluster tool 200. The LED structure 220 also includes an MQW structure 228 having a thickness of 30-500 nanometers. The MQW structure 228 is formed in the MQW MOCVD reaction chamber 206 of the cluster tool 200. In one embodiment, the MQW structure 228 is composed of one or more field pairs of an InGaN well / GaN barrier material layer. The LED structure 220 also includes a p-type aluminum gallium nitride layer 230 (p-AlGaN) with a thickness of about 20 nanometers and a p-type gallium nitride layer 232 (p-GaN) with a thickness of 50-200 nanometers. The p-type aluminum gallium nitride layer 230 and the p-type gallium nitride layer 232 are formed in the p-type gallium nitride MOCVD reaction chamber 208 of the cluster tool 200. It should be understood that the above thicknesses or thickness ranges are merely exemplary embodiments, and other appropriate thicknesses or thickness ranges are also considered to fall within the spirit and scope of the embodiments of the present invention.

時間對沉積作圖240表示叢集工具200的腔室使用。在MQW MOCVD反應腔室206中形成MQW結構228的生成時間為約2小時。在p型氮化鎵MOCVD反應腔室208中形成p型氮化鋁鎵層230和p型氮化鎵層232的生成時間為約1小時。並且根據本發明一實施例,在未摻雜及/或n型氮化鎵MOCVD反應腔室204中形成未摻雜/n型氮化鎵組合或n型氮化鎵唯一層226的生成時間僅為約2小時。另需約1小時做為腔室204的腔室清洗。然應理解清洗時間可包括關機時間、加上清洗時間、加上回復時間。亦應理解以上僅代表平均值,因為每次腔室使用之間可能不會進行清洗。 The time vs. deposition map 240 represents the chamber use of the cluster tool 200. The generation time for forming the MQW structure 228 in the MQW MOCVD reaction chamber 206 is about 2 hours. The formation time of forming the p-type aluminum gallium nitride layer 230 and the p-type gallium nitride layer 232 in the p-type gallium nitride MOCVD reaction chamber 208 is about 1 hour. According to an embodiment of the present invention, the generation time of forming the undoped / n-type gallium nitride combination or the n-type gallium nitride unique layer 226 in the undoped and / or n-type gallium nitride MOCVD reaction chamber 204 is only For about 2 hours. It takes about 1 hour for the chamber cleaning of the chamber 204. However, it should be understood that the cleaning time may include shutdown time, plus cleaning time, and response time. It should also be understood that the above represent only average values, as cleaning may not occur between each chamber use.

故並不在用於形成氮化鎵層126的MOCVD腔室中形成緩衝層,例如第1圖緩衝層124,反而係包括氮化鋁緩衝層224且在另一腔室形成,特別係PVD氮化鋁濺射腔室202。雖然排除泵送時間(從約400托耳至約10-8托耳),AlN生成可能歷經約5分鐘,但在不同於MOCVD腔室1的腔室中形成可增加叢集工具200的產量。例如,總體而言,在叢集工具200中製造LED結構220的循環時間再次受制於未摻雜及/或n型氮化鎵MOCVD反應腔室204的循環時間,對應基準系統的4.5小時,此減為約3小時。如此,除三個MOCVD腔室外,並以一個PVD腔室漸次生成結構220將使MQW MOCVD反應腔室206和p型氮化鎵MOCVD反應腔室208的閒置時間少很多,從而增進系統200的整體產量。例如,在一實施例中,工具產量從每天約5.3次游程增加至每天約8次游程,表示產量可增進約50%。 Therefore, a buffer layer is not formed in the MOCVD chamber for forming the gallium nitride layer 126, such as the buffer layer 124 in FIG. 1, but instead includes an aluminum nitride buffer layer 224 and is formed in another chamber, especially PVD nitride. Aluminum sputtering chamber 202. Although excluding pumping time (from about 400 Torr to about 10 -8 Torr), AlN generation may take about 5 minutes, but formation in a chamber different from MOCVD chamber 1 may increase the yield of cluster tool 200. For example, in general, the cycle time for manufacturing the LED structure 220 in the cluster tool 200 is again limited by the cycle time of the undoped and / or n-type gallium nitride MOCVD reaction chamber 204, which corresponds to 4.5 hours of the reference system. For about 3 hours. In this way, excluding the three MOCVD chambers and gradually generating the structure 220 with one PVD chamber, the idle time of the MQW MOCVD reaction chamber 206 and the p-type gallium nitride MOCVD reaction chamber 208 is much less, thereby improving the overall system 200 Yield. For example, in one embodiment, the tool output is increased from about 5.3 runs per day to about 8 runs per day, indicating that the output can be increased by about 50%.

再次參照第2A圖,圖提供用於在叢集工具200中製造LED結構的代表性溫度對時間作圖250。作圖250的區域252特定於在未摻雜及/或n型氮化鎵MOCVD反應腔室204中形成未摻雜/n型氮化鎵組合或n型氮化鎵唯一層226。在此區域只需變溫一次(從約1100℃降溫至約400℃)。此單一變溫事件要求與上述用於在未摻雜及/或n型氮化鎵MOCVD反應腔室102中形成緩衝層124和未摻雜/n型氮化鎵組合層126的時序成了鮮明對比。在此情況下,腔室始於高溫供基板處理、降溫供緩衝層製造、回升溫度供氮化鎵沉積及最後再次降溫以達穩定。然應注意在兩種情況下,作圖250中特定 於形成MQW與p-GaN的區域254、256將近乎相同。在一實施例中,參照作圖250的區域258,用於PVD形成氮化鋁的溫度對時間作圖可涵蓋高溫(HT)或低溫(LT)製程,大約為20℃至1200℃。 Referring again to Figure 2A, the figure provides a representative temperature versus time plot 250 for fabricating LED structures in the cluster tool 200. The region 252 of the drawing 250 is specific to forming an undoped / n-type gallium nitride combination or n-type gallium nitride unique layer 226 in the undoped and / or n-type gallium nitride MOCVD reaction chamber 204. It is only necessary to change the temperature once in this area (from about 1100 ° C to about 400 ° C). This single temperature change event requirement is in stark contrast to the timing described above for forming the buffer layer 124 and the undoped / n-type gallium nitride composite layer 126 in the undoped and / or n-type GaN MOCVD reaction chamber 102. . In this case, the chamber starts with high temperature for substrate processing, cooling for buffer layer manufacturing, rising temperature for gallium nitride deposition, and finally cooling again to achieve stability. It should be noted that in both cases, the specific The regions 254 and 256 for forming MQW and p-GaN are almost the same. In one embodiment, referring to the area 258 of the drawing 250, the temperature versus time for PVD formation of aluminum nitride can cover a high temperature (HT) or low temperature (LT) process, which is approximately 20 ° C to 1200 ° C.

除增進叢集工具200的產量外,一個PVD腔室加上三個MOCVD腔室的工具配置還有附加優勢。例如,由於只需較少的反應氣體輸送到第一MOCVD腔室,故可節省成本。相較於像基準叢集工具100的腔室102一樣專用於緩衝層與裝置層的MOCVD腔室的建構時間和複雜度,PVD腔室的加工與設計較簡單。在上述製程能減少裝置層226中未摻雜氮化鎵部分厚度的情況下,可進行更簡單徹底的回蝕製程。此亦可節省材料與操作成本,同時縮短循環時間。又,藉由用氮化鋁緩衝層取代氮化鎵緩衝層,可減少裝置(例如LED裝置或供電裝置)的主動層的缺陷率。 In addition to increasing the yield of the cluster tool 200, the tool configuration of one PVD chamber plus three MOCVD chambers has additional advantages. For example, since less reactive gas needs to be delivered to the first MOCVD chamber, costs can be saved. Compared with the construction time and complexity of the MOCVD chamber dedicated to the buffer layer and the device layer like the chamber 102 of the reference cluster tool 100, the processing and design of the PVD chamber is simpler. In the case where the above process can reduce the thickness of the undoped gallium nitride portion in the device layer 226, a simpler and complete etch-back process can be performed. This also saves material and operating costs while reducing cycle time. In addition, by replacing the gallium nitride buffer layer with an aluminum nitride buffer layer, the defect rate of the active layer of a device (such as an LED device or a power supply device) can be reduced.

故根據本發明一實施例,多腔室系統包括具有氮化鋁靶材的PVD腔室和用於沉積未摻雜或n型氮化鎵的第一MOCVD腔室。多腔室系統亦包括用於沉積多重量子井(MQW)結構的第二MOCVD腔室和用於沉積p型氮化鋁鎵或p型氮化鎵或二者的第三MOCVD腔室。在一實施例中,具氮化鋁靶材的PVD腔室係用於非反應性濺射氮化鋁。在此一特定實施例中,PVD腔室係用於以約20℃至200℃的低溫或略高溫度來非反應性濺射氮化鋁。在此另一特定實施例中,PVD腔室係用於以約200℃至1200℃的高溫來非反應性濺射氮化鋁。在一替代實施例中,PVD腔室係用於使用含氮 氣體或衍生自含氮氣體的電漿,反應性濺射鋁靶材。 Therefore, according to an embodiment of the present invention, the multi-chamber system includes a PVD chamber having an aluminum nitride target and a first MOCVD chamber for depositing undoped or n-type gallium nitride. The multi-chamber system also includes a second MOCVD chamber for depositing a multiple quantum well (MQW) structure and a third MOCVD chamber for depositing p-type aluminum gallium nitride or p-type gallium nitride or both. In one embodiment, a PVD chamber with an aluminum nitride target is used for non-reactive sputtering of aluminum nitride. In this particular embodiment, the PVD chamber is used to non-reactively sputter aluminum nitride at a low or slightly higher temperature of about 20 ° C to 200 ° C. In another specific embodiment, the PVD chamber is used for non-reactive sputtering of aluminum nitride at a high temperature of about 200 ° C to 1200 ° C. In an alternative embodiment, the PVD chamber is for use with nitrogen Gas or plasma derived from nitrogen-containing gas, reactive sputtering aluminum target.

無論沉積溫度為何,適於包括在LED結構220內的PVD沉積氮化鋁層在某些時候可能需接觸約400℃至1400℃的高溫,例如約900℃,以達成必要材料性質(例如適當缺陷密度、晶粒大小、結晶定向等)。根據本發明一實施例,在製造附加層至氮化鋁層上前,進行快速熱處理(RTP)製程處理PVD沉積氮化鋁層。RTP腔室則在某種程度上與上述LED結構220的製造製程有關。在一實施例中,工具(例如包括PVD與三個MOCVD腔室的叢集工具或線內工具)亦包括RTP腔室。然在一替代實施例中,RTP製程係在PVD腔室中進行。在另一替代實施例中,雷射退火能力與上述LED結構220的製造製程有關。 Regardless of the deposition temperature, the PVD-deposited aluminum nitride layer suitable for inclusion in the LED structure 220 may at some times need to be exposed to a high temperature of about 400 ° C to 1400 ° C, such as about 900 ° C, to achieve the necessary material properties (such as appropriate defects) Density, grain size, crystal orientation, etc.). According to an embodiment of the present invention, before manufacturing the additional layer on the aluminum nitride layer, a rapid thermal processing (RTP) process is performed to process the PVD deposited aluminum nitride layer. The RTP chamber is related to the manufacturing process of the LED structure 220 to some extent. In one embodiment, the tool (such as a cluster tool or an in-line tool including PVD and three MOCVD chambers) also includes an RTP chamber. However, in an alternative embodiment, the RTP process is performed in a PVD chamber. In another alternative embodiment, the laser annealing capability is related to the manufacturing process of the LED structure 220 described above.

接著在本發明的一態樣中,描述用於形成物理氣相沉積(PVD)氮化鋁(AlN)緩衝層的製程條件。此緩衝層可包括在如GaN系裝置內。在一實施例中,提供參數製程窗口,以沉積具某些特性與性質的AlN。 Next, in one aspect of the present invention, process conditions for forming a physical vapor deposition (PVD) aluminum nitride (AlN) buffer layer are described. This buffer layer may be included in, for example, a GaN-based device. In one embodiment, a parametric process window is provided to deposit AlN with certain characteristics and properties.

以發光二極體(LED)製造為例,製程通常包括利用金屬有機化學氣相沉積(MOCVD)形成低溫緩衝層至基板上。接在利用MOCVD沉積緩衝層後通常為形成主動裝置層,例如未摻雜、Si摻雜之n型MQW和Mg摻雜之p型GaN層。基板預焙一般係在高溫下進行(例如高於約1050℃)。反之,沉積緩衝層一般係在低溫下進行(例如約500℃-600℃)。製程可計及總體MOCVD製程時間的約10%-30%。利用異地沉積緩衝層,可增進MOCVD產量。故在一實施例中,如後所 詳述,描述以PVD形成的異地沉積AlN緩衝層。在一實施例中,PVD製程係在不同腔室中進行。 Taking light-emitting diode (LED) manufacturing as an example, the manufacturing process usually includes forming a low-temperature buffer layer on a substrate by using metal organic chemical vapor deposition (MOCVD). After the buffer layer is deposited by MOCVD, an active device layer is usually formed, such as an undoped, Si-doped n-type MQW, and a Mg-doped p-type GaN layer. The substrate pre-baking is generally performed at a high temperature (for example, higher than about 1050 ° C). In contrast, the buffer layer is generally deposited at a low temperature (for example, about 500 ° C to 600 ° C). The process can account for about 10% -30% of the overall MOCVD process time. Using off-site deposition of the buffer layer can increase the yield of MOCVD. So in one embodiment, as described later In detail, an off-site deposited AlN buffer layer formed by PVD is described. In one embodiment, the PVD process is performed in different chambers.

在一實施例中,提供製程條件,以形成具有AlN緩衝層(模板)的基板,該基板適合用於GaN裝置製造。在此一實施例中,進行控氧沉積PVD AlN緩衝層。 In one embodiment, process conditions are provided to form a substrate having an AlN buffer layer (template), which is suitable for use in GaN device manufacturing. In this embodiment, oxygen-controlled deposition of a PVD AlN buffer layer is performed.

在一實施例中,AlN緩衝層係藉由從置於PVD腔室內的含鋁靶材來反應性濺射及與含氮氣體或以含氮氣體為基礎的電漿反應而形成。在一實施例中,亦進行氧併入。在一示例性實施例中,以一或更多(或組合)下列操作和條件來進行氧併入:(1)使含氧氣體流入PVD腔室,例如O2、H2O、CO、CO2、NO、NO2、O3,或上述氣體組合物,但不以此為限;(2)在開啟電漿進行沉積之前、期間及/或之後,流入含氧氣體,以使用吸收氧預調理腔室、處理套組和靶材,及/或具有最佳氧量併入AlN/基板界面處、AlN塊膜中和AlN表面,使之適合高品質的GaN生成;及(3)精確控制含氧氣體流量、引入時間與持續時間,以確保均勻改質AlN與外來基板間界面、AlN膜和AlN表面。在一特定實施例中,併入AlN膜的氧(O)量為約1E18至1E23個原子/立方公分。在一實施例中,具AlN沉積於上的基板例如為藍寶石、矽(Si)、碳化矽(SiC)、鑽石覆矽、氧化鋅(ZnO)、氧化鋁鋰(LiAlO2)、氧化鎂(MgO)、砷化鎵(GaAs)、銅和鎢(W)等,但不以此為限。基板可為平面或預先圖案化。 In one embodiment, the AlN buffer layer is formed by reactive sputtering from an aluminum-containing target placed in a PVD chamber and reacting with a nitrogen-containing gas or a plasma based on a nitrogen-containing gas. In one embodiment, oxygen incorporation is also performed. In an exemplary embodiment, oxygen incorporation is performed with one or more (or a combination) of the following operations and conditions: (1) flowing an oxygen-containing gas into the PVD chamber, such as O 2 , H 2 O, CO, CO 2. NO, NO 2 , O 3 , or the above gas composition, but not limited to this; (2) Before, during and / or after turning on the plasma for deposition, inflow of oxygen-containing gas to use the oxygen absorption Conditioning chambers, processing kits and targets, and / or having the optimal amount of oxygen incorporated into the AlN / substrate interface, the AlN block film and the AlN surface, making it suitable for high-quality GaN generation; and (3) precise control Oxygen-containing gas flow rate, introduction time and duration to ensure uniform modification of the interface between AlN and foreign substrates, AlN film and AlN surface. In a specific embodiment, the amount of oxygen (O) incorporated into the AlN film is about 1E18 to 1E23 atoms / cubic centimeter. In one embodiment, the substrate with AlN deposited thereon is, for example, sapphire, silicon (Si), silicon carbide (SiC), diamond-on-silicon, zinc oxide (ZnO), lithium aluminum oxide (LiAlO 2 ), or magnesium oxide (MgO). ), Gallium arsenide (GaAs), copper and tungsten (W), but not limited to this. The substrate can be planar or pre-patterned.

在一實施例中,用於控氧沉積PVD AlN緩衝層的最佳化硬體包括一或更多下列組態:(1)泵送系統、腔室真空整 合設計和腔室冷卻設計,以協同達到高本底真空度(例如1E-7托耳或以下),且高溫下(例如350℃或以上)有低真空洩漏與壓力上升率(例如2500奈托耳/分鐘或以下);(2)全面侵蝕磁控管陰極,以確保AlN膜在晶圓內與晶圓間有一致的靶材侵蝕及均勻沉積樣品載具各處;(3)處理套組和氣流設計,以確保包括含O氣體的製程氣體均勻分布於腔室內而均勻得到最佳AlN組成;(4)高溫可偏壓靜電夾盤,以確保快速及均勻加熱晶圓;及(5)內含摻雜氧的Al靶材,使最佳氧量均勻併入沉積的AlN膜中,以確保高品質的GaN生成於頂部(例如在一實施例中,鋁靶材的摻雜氧濃度為約1ppm至10000ppm)。在一實施例中,腔室通過製程用於確保均勻、充分調理處理套組和靶材,及在通過循環之間提供具再現性的PVD AlN性質。應理解在此一實施例中,通過循環次數可視每游程至每靶材或處理套組壽命而定。上述一或更多態樣將參照第3A圖至第3D圖描述於後。 In one embodiment, the optimized hardware for the oxygen-controlled deposition of PVD AlN buffer layers includes one or more of the following configurations: (1) pumping system, chamber vacuum conditioning Design and chamber cooling design to achieve high background vacuum (for example, 1E-7 Torr or below), and low vacuum leakage and pressure rise rate (for example, 2500 Nato) at high temperature (for example, 350 ° C or above) Ears / minute or less); (2) comprehensively erode the magnetron cathode to ensure that the AlN film has consistent target erosion within the wafer and between wafers and uniformly deposits all over the sample carrier; (3) processing kit And airflow design to ensure that the process gas including O gas is evenly distributed in the chamber to obtain the optimal AlN composition; (4) high temperature biased electrostatic chucks to ensure rapid and uniform heating of the wafer; and (5) Oxygen-doped Al target material, so that the optimal amount of oxygen is uniformly incorporated into the deposited AlN film to ensure that high-quality GaN is generated on top (for example, in one embodiment, the doped oxygen concentration of the aluminum target material is (About 1 ppm to 10000 ppm). In one embodiment, the chamber process is used to ensure uniform and adequate conditioning of the processing kit and target, and to provide reproducible PVD AlN properties between passes. It should be understood that in this embodiment, the number of pass cycles can be determined from each run to the life of each target or processing kit. The one or more aspects will be described later with reference to FIGS. 3A to 3D.

在此一實施例中,利用上述條件與硬體來沉積高品質AlN,以達成批次間、晶圓間的高製程再現性和晶圓內的高均勻度。高品質GaN(XRD(002)的FWHM<100弧秒,及/或XRD(102)的FWHM<150弧秒)可生成於AlN頂部,該製程證實具再現性。在一特定實施例中,GaN的差排密度小於約5E8個缺陷/平方公分。在一實施例中,XRD(002)的FWHM為約50-250弧秒。在一實施例中,XRD(102)的FWHM為約70-250弧秒。在一實施例中,上述獨特的硬體和製程可提供超高品質的AlN與GaN且具高產量和再現性。 In this embodiment, the above conditions and hardware are used to deposit high-quality AlN to achieve high process reproducibility between batches and wafers and high uniformity within the wafer. High-quality GaN (FWHM of XRD (002) <100 arc-seconds, and / or FWHM of XRD (102) <150 arc-seconds) can be generated on top of AlN, a process that proves reproducible. In a specific embodiment, the differential row density of GaN is less than about 5E8 defects / cm 2. In one embodiment, the FWHM of XRD (002) is about 50-250 arc seconds. In one embodiment, the FWHM of the XRD (102) is about 70-250 arc seconds. In one embodiment, the above unique hardware and process can provide ultra-high quality AlN and GaN with high yield and reproducibility.

適合容納PVD腔室和三個MOCVD腔室的示例性工具平臺實施例包括OpusTM AdvantEdgeTM系統或CenturaTM系統,二者皆由美國加州聖克拉拉的應用材料公司所販售。本發明的實施例進一步包括整合測量(IM)腔室做為多腔室處理平臺的部件。IM腔室可提供控制訊號,以容許適當控制整合沉積製程,例如多段濺射或如本文所述的磊晶成長製程。IM腔室可包括測量設備,該設備適於測量各種膜性質,例如厚度、粗糙度、組成,且能進一步在真空下以自動方式描繪光柵參數特徵,例如關鍵尺寸(CD)、側壁角度(SWA)、特徵高度(HT)。實例包括光學技術,例如反射與散射,但不以此為限。在特別有利的實施例中,採用真空內光學CD(OCD)技術,其中隨著濺射及/或磊晶成長進行,監測形成於起始材料中的光柵屬性。在其他實施例中,測量操作係在處理腔室中進行,例如原位在處理腔室、而非在不同的IM腔室中進行。 Exemplary tool platform embodiments suitable for accommodating a PVD chamber and three MOCVD chambers include the Opus AdvantEdge system or the Centura system, both of which are sold by Applied Materials, Inc. of Santa Clara, California, USA. Embodiments of the invention further include an integrated measurement (IM) chamber as a component of a multi-chamber processing platform. The IM chamber can provide control signals to allow proper control of integrated deposition processes, such as multi-segment sputtering or epitaxial growth processes as described herein. The IM chamber may include measuring equipment suitable for measuring various film properties, such as thickness, roughness, composition, and can further characterize grating parameters in an automated manner under vacuum, such as critical dimension (CD), sidewall angle (SWA ), Feature height (HT). Examples include, but are not limited to, optical techniques such as reflection and scattering. In a particularly advantageous embodiment, in-vacuum optical CD (OCD) technology is employed, in which the properties of the gratings formed in the starting material are monitored as sputtering and / or epitaxial growth proceeds. In other embodiments, the measurement operation is performed in the processing chamber, such as in situ in the processing chamber, rather than in a different IM chamber.

多腔室處理平臺(例如叢集工具200)可進一步包括選擇性基板對準室和支承匣的負載鎖定室,二者耦接至包括機器搬運機的移送室。在本發明一實施例中,控制器適當控制多腔室處理平臺200。控制器可為任一型式的通用資料處理系統,以用於工業設定來控制各種子處理器和子控制器。通常,控制器包括中央處理單元(CPU),CPU在其他共用部件間與記憶體和輸入/輸出(I/O)電路通信連接。在一實例中,控制器可進行或以其他方式初始化本文所述任何方法/製程的一或更多操作。任何進行及/或初始化操作的電腦程式碼 可體現成電腦程式產品。本文所述各電腦程式產品可由電腦可讀取媒體(例如軟碟、光碟、DVD、硬碟機、隨機存取記憶體等)執行。 A multi-chamber processing platform (such as the cluster tool 200) may further include a selective substrate alignment chamber and a load lock chamber of a support cassette, both of which are coupled to a transfer chamber including a machine handler. In an embodiment of the present invention, the controller appropriately controls the multi-chamber processing platform 200. The controller can be any type of general-purpose data processing system for industrial settings to control various sub-processors and sub-controllers. Generally, a controller includes a central processing unit (CPU) that is communicatively connected to memory and input / output (I / O) circuits among other common components. In an example, the controller may perform or otherwise initialize one or more operations of any of the methods / processes described herein. Any computer code that performs and / or initializes Can be embodied as a computer program product. The computer program products described herein can be executed by computer-readable media (such as floppy disks, optical disks, DVDs, hard drives, random access memory, etc.).

適合用於本文所含製程和工具組態的PVD腔室可包括美國加州聖克拉拉的應用材料公司販售的Endura® ImpulseTM PVD系統。Endura PVD系統提供較佳的電遷移抗性與表面形貌和低所有權成本與高系統可靠度。系統內可以必要壓力和適當的靶材至晶圓距離在處理腔體中產生定向沉積物種通量而完成PVD製程的進行。與線內系統相容的腔室(例如ARISTO腔室)亦由美國加州聖克拉拉的應用材料公司所販售,並且提供自動裝載及卸載能力和磁性載具運輸系統,以大幅縮短循環時間。AKT-PiVot 55KV PVD系統亦由美國加州聖克拉拉的應用材料公司所販售,並且具有用於濺射沉積的垂直平臺。AKT-PiVot系統的模組構造給予更快的循環時間且能配置多種組態而最大化生產效率。不像傳統線內系統,AKT-PiVot的平行處理能力可消除各膜層有不同製程時間所造成的瓶頸。系統的類叢集配置亦容許在個別模組維修期間連續操作。含括旋轉陰極技術能使靶材利用率比習知系統高約3倍。PiVot系統的沉積模組特徵為預濺射單元,該單元只使用一個基板來調理靶材,而非其他系統要達到相同結果時需要高達50個基板。 Suitable PVD chambers for the processes and tool configurations included herein may include the Endura® Impulse PVD system sold by Applied Materials, Inc. of Santa Clara, California. Endura PVD system provides better electromigration resistance and surface topography, low cost of ownership and high system reliability. The PVD process can be completed in the system by generating the flux of directional deposited species in the processing chamber with the necessary pressure and appropriate target-to-wafer distance. Chambers compatible with in-line systems (such as ARISTO chambers) are also sold by Applied Materials, Inc. of Santa Clara, California, and provide automatic loading and unloading capabilities and magnetic carrier transport systems to significantly reduce cycle times. The AKT-PiVot 55KV PVD system is also sold by Applied Materials, Inc. of Santa Clara, California, and has a vertical platform for sputter deposition. The modular structure of the AKT-PiVot system gives faster cycle times and can be configured with multiple configurations to maximize production efficiency. Unlike traditional in-line systems, AKT-PiVot's parallel processing capabilities can eliminate bottlenecks caused by different process times for each film layer. The cluster-like configuration of the system also allows continuous operation during individual module maintenance. Including the rotating cathode technology can make the target utilization rate about 3 times higher than the conventional system. The PiVot system's deposition module features a pre-sputtering unit that uses only one substrate to condition the target, while other systems require up to 50 substrates to achieve the same result.

在本發明的一態樣中,設計適當處理套組對PVD處理腔室的脈衝式DC或RF腔室功能性而言很重要。在一實例中,第3A圖至第3C圖圖示根據本發明一實施例,用於PVD 腔室的處理套組截面。第3D圖圖示根據本發明一實施例,用於PVD腔室的功率輸送源截面。 In one aspect of the invention, designing a suitable processing kit is important to the pulsed DC or RF chamber functionality of the PVD processing chamber. In an example, FIGS. 3A to 3C illustrate a method for PVD according to an embodiment of the present invention. Section of the chamber's processing kit. Figure 3D illustrates a cross section of a power delivery source for a PVD chamber according to an embodiment of the invention.

參照第3A圖至第3C圖,用於PVD腔室的處理套組300包括第一部分(第3A圖),第一部分具有上應接器302、下應接器304、下屏蔽306和DTESC 308。用於PVD腔室的處理套組300亦包括第二部分(第3B圖),第二部分具有靶材310、暗區屏蔽312和Al分隔物314。用於PVD腔室的處理套組300亦包括第三部分(第3C圖),第三部分具有蓋環316和沉積環318。 Referring to FIGS. 3A to 3C, the processing kit 300 for a PVD chamber includes a first part (FIG. 3A), the first part having an upper responder 302, a lower responder 304, a lower shield 306, and a DTESC 308. The processing kit 300 for a PVD chamber also includes a second part (FIG. 3B), which has a target 310, a dark area shield 312, and an Al partition 314. The processing kit 300 for a PVD chamber also includes a third portion (FIG. 3C), which has a cover ring 316 and a deposition ring 318.

參照第3D圖,用於PVD腔室的功率輸送源350包括RF匹配352和RF饋送354。源分配板356(例如鋁源分配板)與接地屏蔽358(例如鋁金屬片)和金屬外殼360與環狀磁鐵362亦包括在內。功率輸送源350亦包括DC濾箱364和DC饋送366。頂板368與分配板370和延伸塊372、軸374與靶材376亦包括在內。 Referring to FIG. 3D, a power delivery source 350 for a PVD chamber includes an RF match 352 and an RF feed 354. A source distribution board 356 (such as an aluminum source distribution board) and a ground shield 358 (such as an aluminum metal sheet) and a metal housing 360 and a ring magnet 362 are also included. The power transmission source 350 also includes a DC filter box 364 and a DC feed 366. The top plate 368 and the distribution plate 370 and the extension block 372, the shaft 374 and the target 376 are also included.

適合用作上述一或更多MOCVD腔室204、206或208的MOCVD沉積腔室一例將參照第4圖說明。第4圖為根據本發明一實施例的MOCVD腔室截面圖。 An example of a MOCVD deposition chamber suitable for use as one or more of the MOCVD chambers 204, 206, or 208 will be described with reference to FIG. FIG. 4 is a cross-sectional view of a MOCVD chamber according to an embodiment of the present invention.

第4圖所示設備4100包含腔室4102、氣體輸送系統4125、遠端電漿源4126和真空系統4112。腔室4102包括腔室主體4103,腔室主體4103圍住處理容積4108。噴灑頭組件4104設在處理容積4108的一端,基板載具4114設在處理容積4108的另一端。下圓頂4119設在下容積4110的一端,基板載具4114設在下容積4110的另一端。基板載具4114係 圖示為位於處理位置,但基板載具4114可移動到更低位置,以如裝載或卸載基板4140。排氣環420可設置圍繞基板載具4114周圍,以助於防止在下容積4110中沉積,並助於將排氣從腔室4102引導至排氣口4109。下圓頂4119可由透明材料製成,例如高純度石英,以讓光通過而輻射加熱基板4140。輻射加熱可由設在下圓頂4119下方的複數個內部燈具4121A和外部燈具4121B提供,反射器4166可用於協助控制腔室4102曝照內部與外部燈具4121A、4121B提供的輻射能。附加燈具環亦可用於微控基板4140的溫度。 The apparatus 4100 shown in FIG. 4 includes a chamber 4102, a gas delivery system 4125, a remote plasma source 4126, and a vacuum system 4112. The chamber 4102 includes a chamber body 4103 that surrounds the processing volume 4108. The spray head assembly 4104 is provided at one end of the processing volume 4108, and the substrate carrier 4114 is provided at the other end of the processing volume 4108. The lower dome 4119 is provided at one end of the lower volume 4110, and the substrate carrier 4114 is provided at the other end of the lower volume 4110. Substrate carrier 4114 series It is shown in the processing position, but the substrate carrier 4114 can be moved to a lower position, such as loading or unloading the substrate 4140. An exhaust ring 420 may be provided around the substrate carrier 4114 to help prevent deposition in the lower volume 4110 and help guide exhaust from the chamber 4102 to the exhaust port 4109. The lower dome 4119 may be made of a transparent material, such as high-purity quartz, to allow light to pass through and radiantly heat the substrate 4140. Radiation heating can be provided by a plurality of internal lamps 4121A and external lamps 4121B provided under the lower dome 4119. The reflector 4166 can be used to assist the control chamber 4102 to expose the radiant energy provided by the internal and external lamps 4121A, 4121B. The additional lamp ring can also be used to control the temperature of the substrate 4140.

基板載具4114可包括一或更多凹部4116,處理時,一或更多基板4140可放在凹部4116內。基板載具4114可承載六個或更多基板4140。在一實施例中,基板載具4114承載八個基板4140。應理解基板載具4114上可承載更多或更少個基板4140。典型基板4140可包括藍寶石、碳化矽(SiC)、矽或氮化鎵(GaN)。應理解亦可處理其他類型的基板4140,例如玻璃基板4140。基板4140的直徑可為50毫米(mm)至100mm或更大。基板載具4114的尺寸可為200mm至750mm。基板載具4114可由各種材料製成,包括SiC或SiC塗覆石墨。應理解根據本文所述製程,腔室4102內也可處理其他尺寸的基板4140。比起傳統MOCVD腔室,噴灑頭組件4104容許更均勻地沉積遍及更多基板4140及/或更大基板4140,進而提高產量及降低每基板4140的處理成本。 The substrate carrier 4114 may include one or more recesses 4116, and one or more substrates 4140 may be placed in the recesses 4116 during processing. The substrate carrier 4114 may carry six or more substrates 4140. In one embodiment, the substrate carrier 4114 carries eight substrates 4140. It should be understood that more or fewer substrates 4140 can be carried on the substrate carrier 4114. A typical substrate 4140 may include sapphire, silicon carbide (SiC), silicon, or gallium nitride (GaN). It should be understood that other types of substrates 4140 can also be processed, such as glass substrates 4140. The substrate 4140 may have a diameter of 50 millimeters (mm) to 100 mm or more. The size of the substrate carrier 4114 may be 200 mm to 750 mm. The substrate carrier 4114 may be made of a variety of materials, including SiC or SiC-coated graphite. It should be understood that other sizes of substrates 4140 can be processed within the chamber 4102 according to the processes described herein. Compared to a conventional MOCVD chamber, the showerhead assembly 4104 allows for more uniform deposition over more substrates 4140 and / or larger substrates 4140, thereby increasing throughput and reducing processing costs per substrate 4140.

處理期間,基板載具4114可繞軸旋轉。在一實施例中,基板載具4114的轉速為約2RPM(每分鐘轉數)至約100 RPM。在另一實施例中,基板載具4114的轉速為約30RPM。基板載具4114旋轉有助於提供基板4140均勻加熱,及使處理氣體均勻接觸各基板4140。 During processing, the substrate carrier 4114 can be rotated about an axis. In one embodiment, the rotation speed of the substrate carrier 4114 is about 2 RPM (revolutions per minute) to about 100 RPM. In another embodiment, the rotation speed of the substrate carrier 4114 is about 30 RPM. The rotation of the substrate carrier 4114 helps to provide uniform heating of the substrates 4140 and uniform contact of the processing gas with each substrate 4140.

複數個內部和外部燈具4121A、4121B可排列成同心圓或區(未圖示),每一燈具區可個別供電。在一實施例中,一或更多溫度感測器(例如高溫計(未圖示))可設在噴灑頭組件4104內,以測量基板4140和基板載具4114的溫度,溫度資料可發送到控制器(未圖示),控制器可調整各燈具區的功率,以維持預定溫度分佈遍及基板載具4114。在另一實施例中,可調整各燈具區的功率,以補償前驅物流或前驅物濃度的不均勻性。例如,若基板載具4114在外部燈具區附近區域的前驅物濃度較低,則可調整施予外部燈具區的功率,以助於補償此區域的前驅物耗乏。 A plurality of internal and external lamps 4121A, 4121B can be arranged in concentric circles or zones (not shown), and each lamp zone can be individually powered. In one embodiment, one or more temperature sensors (such as a pyrometer (not shown)) may be provided in the spray head assembly 4104 to measure the temperature of the substrate 4140 and the substrate carrier 4114, and the temperature data may be sent to A controller (not shown). The controller can adjust the power of each lamp area to maintain a predetermined temperature distribution throughout the substrate carrier 4114. In another embodiment, the power of each lamp area can be adjusted to compensate for non-uniformity in the precursor stream or precursor concentration. For example, if the substrate carrier 4114 has a low concentration of precursors in the area near the external lamp area, the power applied to the external lamp area can be adjusted to help compensate for the precursor depletion in this area.

內部和外部燈具4121A、4121B可加熱基板4140達約400℃至約1200℃的溫度。應理解本發明不限於使用內部與外部燈具4121A、4121B陣列。任何適合的加熱源皆可用於確保適當施加合宜溫度至腔室4102和內含基板4140。例如,在另一實施例中,加熱源可包括電阻式加熱元件(未圖示),該元件可熱接觸基板載具4114。 The internal and external lamps 4121A, 4121B can heat the substrate 4140 to a temperature of about 400 ° C to about 1200 ° C. It should be understood that the present invention is not limited to the use of an array of internal and external light fixtures 4121A, 4121B. Any suitable heating source can be used to ensure proper application of a suitable temperature to the chamber 4102 and the contained substrate 4140. For example, in another embodiment, the heating source may include a resistive heating element (not shown), which may be in thermal contact with the substrate carrier 4114.

氣體輸送系統4125可包括多個氣源,或者視運作製程而定,某些來源可為液體源、而非氣體,在此情況下,氣體輸送系統可包括液體注入系統或其他裝置(例如起泡器)使液體蒸發。在輸送到腔室4102前,蒸汽接著與載氣混合。諸如前驅物氣體、載氣、淨化氣體、清洗/蝕刻氣體或其他等 不同氣體可從氣體輸送系統4125供應到個別供應管線4131、4132、4133而至噴灑頭組件4104。供應管線4131、4132、4133可包括關閉閥和質量流量控制器或其他類型的控制器,以監測及調節或關閉各管線的氣流。 The gas delivery system 4125 may include multiple gas sources, or depending on the operating process, some sources may be liquid sources rather than gases. In this case, the gas delivery system may include a liquid injection system or other device (such as foaming) Device) to evaporate the liquid. The steam is then mixed with the carrier gas before being delivered to the chamber 4102. Such as precursor gas, carrier gas, purge gas, cleaning / etching gas, etc. Different gases can be supplied from the gas delivery system 4125 to individual supply lines 4131, 4132, 4133 and to the sprinkler head assembly 4104. The supply lines 4131, 4132, and 4133 may include shut-off valves and mass flow controllers or other types of controllers to monitor and regulate or close the air flow of each line.

導管4129可接收來自遠端電漿源4126的清洗/蝕刻氣體。遠端電漿源4126可經由供應管線4124接收來自氣體輸送系統4125的氣體,閥4130可設在噴灑頭組件4104與遠端電漿源4126之間。可打開閥4130讓清洗及/或蝕刻氣體或電漿經由供應管線4133流入噴灑頭組件4104,供應管線4133適於當作電漿用導管。在另一實施例中,設備4100不包括遠端電漿源4126,清洗/蝕刻氣體可利用替代供應管線組態,從非電漿清洗及/或蝕刻用氣體輸送系統4125輸送到噴灑頭組件4104。 The catheter 4129 may receive a cleaning / etching gas from a remote plasma source 4126. The remote plasma source 4126 may receive gas from the gas delivery system 4125 via a supply line 4124, and a valve 4130 may be provided between the sprinkler head assembly 4104 and the remote plasma source 4126. The valve 4130 can be opened to allow the cleaning and / or etching gas or plasma to flow into the sprinkler head assembly 4104 via the supply line 4133, which is suitable as a plasma conduit. In another embodiment, the device 4100 does not include a remote plasma source 4126, and the cleaning / etching gas may be configured using an alternative supply line from a non-plasma cleaning and / or etching gas delivery system 4125 to the sprinkler head assembly 4104. .

遠端電漿源4126可為適於腔室4102清洗及/或基板4140蝕刻的射頻或微波電漿源。清洗及/或蝕刻氣體可經由供應管線4124供應到遠端電漿源4126,以產生電漿物種,電漿物種經由導管4129和供應管線4133散播通過噴灑頭組件4104而進入腔室4102。做為清洗應用的氣體可包括氟、氯或其他反應元素。 The remote plasma source 4126 may be a radio frequency or microwave plasma source suitable for cleaning the chamber 4102 and / or etching the substrate 4140. The cleaning and / or etching gas may be supplied to a remote plasma source 4126 via a supply line 4124 to generate a plasma species, which is disseminated via the conduit 4129 and the supply line 4133 through the sprinkler head assembly 4104 into the chamber 4102. Gases used as cleaning applications may include fluorine, chlorine, or other reactive elements.

在另一實施例中,氣體輸送系統4125和遠端電漿源4126經適當改造,以將前驅物氣體供應到遠端電漿源4126而產生電漿物種,電漿物種經散播通過噴灑頭組件4104而於如基板4140上沉積CVD層,例如III-V族膜。通常,呈物質狀態的電漿係藉由將電能或電磁波(例如射頻波、微波)輸 送到製程氣體(例如前驅物氣體),促使製程氣體至少部分分解形成電漿物種而產生,例如離子、電子和中性粒子(例如自由基)。在一實例中,電漿係藉由以小於約100千兆赫(GHz)的頻率輸送電磁能而在電漿源4126的內部區域產生。在另一實例中,電漿源4126配置以約0.4千赫(kHz)至約200兆赫(MHz)的頻率輸送電磁能,例如頻率約162兆赫(MHz),功率層級小於約4千瓦(kW)。咸信形成電漿可加強前驅物氣體的形成和活性,使沉積製程期間抵達基板表面的活化氣體得快速反應而形成具改善物性與電性的膜層。 In another embodiment, the gas delivery system 4125 and the remote plasma source 4126 are appropriately modified to supply precursor gas to the remote plasma source 4126 to generate plasma species, and the plasma species are dispersed through the sprinkler head assembly 4104 and deposit a CVD layer, such as a III-V film, on the substrate 4140, for example. Generally, the plasma in the state of matter is transmitted by electric energy or electromagnetic waves (e.g. radio frequency waves, microwaves). The process gas (such as precursor gas) is sent to cause the process gas to be at least partially decomposed to form plasma species, such as ions, electrons, and neutral particles (such as free radicals). In one example, the plasma is generated in the inner region of the plasma source 4126 by transmitting electromagnetic energy at a frequency of less than about 100 gigahertz (GHz). In another example, the plasma source 4126 is configured to deliver electromagnetic energy at a frequency of about 0.4 kilohertz (kHz) to about 200 megahertz (MHz), such as a frequency of about 162 megahertz (MHz) and a power level of less than about 4 kilowatts (kW) . The formation of a plasma can enhance the formation and activity of the precursor gas, so that the activated gas that reaches the substrate surface during the deposition process can react quickly to form a film layer with improved physical and electrical properties.

淨化氣體(例如氮氣)可從噴灑頭組件4104及/或從設在基板載具4114下方和腔室主體4103底部附近的入口埠或管(未圖示)輸送到腔室4102內。淨化氣體進入腔室4102的下容積4110,並往上流過基板載具4114和排氣環420而進入多個排氣口4109,排氣口4109設置圍繞環狀排氣通道4105。排氣導管4106連接環狀排氣通道4105和真空系統4112,真空系統4112包括真空泵(未圖示)。腔室4102的壓力係利用閥系統4107控制,閥系統4107控制排氣抽出環狀排氣通道4105的速率。 Purge gas (such as nitrogen) may be delivered into the chamber 4102 from the sprinkler head assembly 4104 and / or from an inlet port or pipe (not shown) provided below the substrate carrier 4114 and near the bottom of the chamber body 4103. The purge gas enters the lower volume 4110 of the chamber 4102, and flows upward through the substrate carrier 4114 and the exhaust ring 420 to enter a plurality of exhaust ports 4109. The exhaust ports 4109 are provided around the annular exhaust channel 4105. The exhaust duct 4106 connects the annular exhaust passage 4105 and the vacuum system 4112. The vacuum system 4112 includes a vacuum pump (not shown). The pressure of the chamber 4102 is controlled by a valve system 4107, which controls the rate at which exhaust gas is drawn out of the annular exhaust passage 4105.

適合用作上述腔室204的替代實施例(或其他腔室的替代實施例)的HVPE腔室204的HVPE沉積腔室一例將參照第5圖說明。第5圖為根據本發明一實施例,適合用於製造III族氮化物材料的HVPE腔室500截面圖。 An example of the HVPE deposition chamber of the HVPE chamber 204 suitable for use as an alternative embodiment of the above-mentioned chamber 204 (or an alternative embodiment of other chambers) will be described with reference to FIG. 5. FIG. 5 is a cross-sectional view of an HVPE chamber 500 suitable for manufacturing a group III nitride material according to an embodiment of the present invention.

設備500包括被蓋子504圍住的腔室502。出自第 一氣源510的處理氣體經由氣體分配噴灑頭506輸送到腔室502。在一實施例中,氣源510包括含氮化合物。在另一實施例中,氣源510包括氨氣。在一實施例中,也可經由氣體分配噴灑頭506或經由腔室502的壁面508引入鈍氣,例如氦氣或氮氣。能源512可設在氣源510與氣體分配噴灑頭506之間。在一實施例中,能源512包括加熱器。能源512可分解出自氣源510的氣體(例如氨氣),使含氮氣體中的氮更具反應性。 The device 500 includes a chamber 502 surrounded by a cover 504. From the first The processing gas from a gas source 510 is delivered to the chamber 502 via a gas distribution spray head 506. In one embodiment, the gas source 510 includes a nitrogen-containing compound. In another embodiment, the gas source 510 includes ammonia gas. In an embodiment, inert gas, such as helium or nitrogen, may also be introduced via the gas distribution spray head 506 or via the wall surface 508 of the chamber 502. The energy source 512 may be provided between the gas source 510 and the gas distribution spray head 506. In one embodiment, the energy source 512 includes a heater. The energy source 512 can decompose a gas (such as ammonia) from the gas source 510, making the nitrogen in the nitrogen-containing gas more reactive.

為與出自第一來源510的氣體反應,可由一或更多第二來源518輸送前驅物材料。藉著讓反應氣體流過及/或流經前驅物源518的前驅物,可輸送前驅物至腔室502。在一實施例中,反應氣體包括含氯氣體,例如氯氣。含氯氣體可與前驅物源反應而形成氯化物。為提高含氯氣體與前驅物反應的效力,含氯氣體可蛇行通過腔室532的船區,並以電阻式加熱器520加熱。藉由增加含氯氣體蛇行通過腔室532的滯留時間,可控制含氯氣體的溫度。藉由提高含氯氣體的溫度,氯可更快與前驅物反應。換言之,溫度係氯與前驅物反應的催化劑。 To react with the gas from the first source 510, the precursor material may be delivered from one or more second sources 518. The precursor can be delivered to the chamber 502 by passing a reactive gas through and / or through the precursor of the precursor source 518. In one embodiment, the reaction gas includes a chlorine-containing gas, such as chlorine gas. Chlorine-containing gases can react with precursor sources to form chlorides. In order to increase the effectiveness of the reaction of the chlorine-containing gas with the precursor, the chlorine-containing gas may snake through the boat area of the chamber 532 and be heated by the resistance heater 520. By increasing the residence time of the chlorine-containing gas to snake through the chamber 532, the temperature of the chlorine-containing gas can be controlled. By increasing the temperature of the chlorine-containing gas, chlorine can react with the precursor faster. In other words, the temperature is a catalyst for the reaction of chlorine with the precursor.

為提高前驅物的反應性,可以船中第二腔室532內的電阻式加熱器520加熱前驅物。接著將氯化物反應產物輸送到腔室502。氯化物反應產物先進入管522,在此氯化物反應產物均勻分散於管522內。管522連接至另一管524。氯化物反應產物於第一管522內均勻分散後即進入第二管524。氯化物反應產物接著進入腔室502,在此氯化物反應產物與含氮 氣體混合而於基板516上形成氮化物層,基板516放在晶座514上。在一實施例中,晶座514包括碳化矽。氮化物層例如包括n型氮化鎵。諸如氮和氯等其他反應產物經由排氣裝置526排放。 In order to improve the reactivity of the precursor, the resistive heater 520 in the second chamber 532 in the ship may be used to heat the precursor. The chloride reaction product is then delivered to the chamber 502. The chloride reaction product first enters the tube 522, where the chloride reaction product is uniformly dispersed in the tube 522. The tube 522 is connected to another tube 524. After the chloride reaction product is uniformly dispersed in the first tube 522, it enters the second tube 524. The chloride reaction product then enters the chamber 502, where the chloride reaction product reacts with nitrogen The gases are mixed to form a nitride layer on the substrate 516, and the substrate 516 is placed on the crystal base 514. In one embodiment, the base 514 includes silicon carbide. The nitride layer includes, for example, n-type gallium nitride. Other reaction products, such as nitrogen and chlorine, are emitted via an exhaust device 526.

LED和相關裝置可由如III-V族膜等層製成,特別係III族氮化物膜。本發明的一些實施例係關於在製造工具的專用腔室中,例如在專用MOCVD腔室中,形成氮化鎵(GaN)層。在本發明一些實施例中,GaN係二元GaN膜,但在其他實施例中,GaN係三元膜(例如InGaN、AlGaN)或四元膜(例如InAlGaN)。在至少一些實施例中,III族氮化物材料層係磊晶形成。III族氮化物材料層可直接形成在基板上或置於基板上的緩衝層上。其他涵蓋實施例包括p型摻雜氮化鎵層,該層直接沉積於PVD形成的緩衝層上,例如PVD形成的氮化鋁。 LEDs and related devices can be made of layers such as III-V films, especially III-nitride films. Some embodiments of the present invention relate to forming a gallium nitride (GaN) layer in a dedicated chamber for manufacturing tools, such as a dedicated MOCVD chamber. In some embodiments of the present invention, the GaN-based binary GaN film, but in other embodiments, the GaN-based ternary film (such as InGaN, AlGaN) or the quaternary film (such as InAlGaN). In at least some embodiments, the group III nitride material layer is epitaxially formed. The III-nitride material layer can be formed directly on the substrate or on a buffer layer placed on the substrate. Other covered embodiments include a p-type doped gallium nitride layer, which is directly deposited on a buffer layer formed by PVD, such as aluminum nitride formed by PVD.

應理解本發明實施例不限於上述在選定基板上形成層。其他實施例可包括使用任何適合的未圖案化或圖案化單晶基板,高品質氮化鋁層例如以非反應性PVD方式濺射沉積於基板上。基板例如為藍寶石(Al2O3)基板、矽(Si)基板、碳化矽(SiC)基板、鑽石覆矽(SOD)基板、石英(SiO2)基板、玻璃基板、氧化鋅(ZnO)基板、氧化鎂(MgO)基板和氧化鋁鋰(LiAlO2)基板,但不以此為限。諸如遮蔽及蝕刻等任何已知方法可用於在平面基板形成特徵結構(例如支柱)而製造圖案化基板。然在一特定實施例中,使用具(0001)定向的圖案化藍寶石基板(PSS)。圖案化藍寶石基板十分適 合用於製造特定類型的LED,因為圖案化藍寶石基板可提高光萃取效率,此對製造新一代固態發光裝置極為有用。基板選擇準則可包括晶格匹配以減緩缺陷形成和熱膨脹係數(CTE)匹配以減輕熱應力。 It should be understood that embodiments of the present invention are not limited to the above-mentioned formation of a layer on a selected substrate. Other embodiments may include using any suitable unpatterned or patterned single crystal substrate, and a high quality aluminum nitride layer is sputter deposited on the substrate, for example, in a non-reactive PVD manner. The substrate is, for example, a sapphire (Al 2 O 3 ) substrate, a silicon (Si) substrate, a silicon carbide (SiC) substrate, a diamond-on-silicon (SOD) substrate, a quartz (SiO 2 ) substrate, a glass substrate, a zinc oxide (ZnO) substrate, A magnesium oxide (MgO) substrate and a lithium aluminum oxide (LiAlO 2 ) substrate are not limited thereto. Any known method such as masking and etching can be used to form a patterned substrate by forming a feature structure (for example, a pillar) on a flat substrate. However, in a specific embodiment, a patterned sapphire substrate (PSS) with a (0001) orientation is used. The patterned sapphire substrate is very suitable for manufacturing a specific type of LED, because the patterned sapphire substrate can improve light extraction efficiency, which is extremely useful for manufacturing a new generation of solid-state light-emitting devices. The substrate selection criteria may include lattice matching to slow down defect formation and thermal expansion coefficient (CTE) matching to reduce thermal stress.

如上所述,可摻雜III族氮化物膜。III族氮化物膜可使用任何p型摻質進行p型摻雜,例如鎂(Mg)、鈹(Be)、鈣(Ca)、鍶(Sr)或任何具兩個價電子的I族或II族元素,但不以此為限。III族氮化物膜可經p型摻雜成具1×1016至1×1020個原子/立方公分的導電度層級。III族氮化物膜可使用任何n型摻質進行n型摻雜,例如矽或氧或任何適合的IV族或VI族元素,但不以此為限。III族氮化物膜可經n型摻雜成具1×1016至1×1020個原子/立方公分的導電度層級。 As described above, a group III nitride film can be doped. Group III nitride films can be p-type doped using any p-type dopant, such as magnesium (Mg), beryllium (Be), calcium (Ca), strontium (Sr), or any group I or II with two valence electrons Family elements, but not limited to this. The group III nitride film can be p-type doped to have a conductivity level of 1 × 10 16 to 1 × 10 20 atoms / cm 3. The group III nitride film may be n-type doped using any n-type dopant, such as silicon or oxygen or any suitable group IV or VI element, but is not limited thereto. The group III nitride film can be n-type doped to have a conductivity level of 1 × 10 16 to 1 × 10 20 atoms / cm 3.

應理解上述製程可在叢集工具的專用腔室或其他具多個腔室的工具中進行,例如配置成具有專用腔室來製造LED各層的線內工具。亦應理解本發明實施例不必限於LED製造。例如,在另一實施例中,可以本文所述方式來製造除LED裝置外的裝置,例如場效電晶體(FET)裝置,但不以此為限。在此類實施例中,層結構頂部可能不需要p型材料。反之,n型或未摻雜材料可用來取代p型層。亦應理解可在單一處理腔室中進行多個操作,例如沉積及/或熱退火的各種組合。 It should be understood that the above process may be performed in a dedicated chamber of a cluster tool or other tools with multiple chambers, such as an in-line tool configured to have a dedicated chamber for manufacturing each layer of the LED. It should also be understood that embodiments of the present invention are not necessarily limited to LED manufacturing. For example, in another embodiment, devices other than LED devices, such as field-effect transistor (FET) devices, can be manufactured in the manner described herein, but not limited to this. In such embodiments, a p-type material may not be needed on top of the layer structure. Conversely, n-type or undoped materials can be used instead of p-type layers. It should also be understood that multiple operations, such as various combinations of deposition and / or thermal annealing, can be performed in a single processing chamber.

本發明的實施例可提供做為電腦程式產品或軟體,電腦程式產品可包括內含儲存指令的機器可讀取媒體,用以程式化電腦系統(或其他電子裝置)而進行根據本發明的製 程。機器可讀取媒體包括任何用來儲存或傳遞機器(例如電腦)可讀取形式資訊的機構。例如,機器可讀取(例如電腦可讀取)媒體包括機器(例如電腦)可讀取儲存媒體(例如唯讀記憶體(ROM)、隨機存取記憶體(RAM)、磁碟儲存媒體、光學儲存媒體、快閃記憶裝置等)、機器(例如電腦)可讀取傳輸媒體(電子、光學、聲音或其他形式的傳播訊號(例如紅外線訊號、數位訊號等))等。 Embodiments of the present invention may be provided as a computer program product or software. The computer program product may include a machine-readable medium containing storage instructions for programming a computer system (or other electronic device) to perform manufacturing according to the present invention. Cheng. Machine-readable media include any mechanism for storing or transmitting information in a form readable by a machine, such as a computer. For example, machine-readable (e.g., computer-readable) media includes machine (e.g., computer-readable) storage media (e.g., read-only memory (ROM), random access memory (RAM), disk storage media, optical Storage media, flash memory devices, etc.), machines (such as computers) can read transmission media (electronic, optical, sound, or other forms of transmission signals (such as infrared signals, digital signals, etc.)).

第6圖為示例性電腦系統600的機器示意圖,電腦系統600可執行指令集,以促使機器進行本文所述任一或更多方法。在替代實施例中,機器可連接(例如網路聯結)至區域網路(LAN)、企業內部網路、企業外部網路或網際網路中的其他機器。機器可由主從網路環境中的伺服器或客戶機操作,或當作同級間(或分散式)網路環境中的同級點機器。機器可為個人電腦(PC)、平板PC、機上盒(STB)、個人數位助理(PDA)、手機、網路設備、伺服器、網路路由器、交換機或橋接器,或任何能(循序或按其他方式)執行指令集的機器,指令集指定機器執行動作。另外,雖然只圖示單一機器,但「機器」一詞亦應視同包括任何機器(例如電腦)的集合,該等機器個別或共同執行一組(或多組)指令,以進行本文所述任一或更多方法。在一實施例中,電腦系統600適合用作第1圖、第2A圖、第3A圖、第3B圖、第4圖或第5圖所述相關設備的電腦裝置。 FIG. 6 is a machine schematic diagram of an exemplary computer system 600 that can execute a set of instructions to cause the machine to perform any one or more of the methods described herein. In alternative embodiments, the machine may be connected (e.g., a network connection) to a local area network (LAN), a corporate intranet, a corporate extranet, or other machines in the Internet. The machine can be operated by a server or client in a master-slave network environment or as a peer machine in a peer-to-peer (or decentralized) network environment. The machine can be a personal computer (PC), tablet PC, set-top box (STB), personal digital assistant (PDA), mobile phone, network device, server, network router, switch, or bridge, or any device (sequential or sequential (Otherwise) the machine that executes the instruction set, which specifies the machine to perform the action. In addition, although only a single machine is illustrated, the term "machine" should also be treated as including a collection of any machines (such as computers) that individually or collectively execute a set (or sets) of instructions to perform the purposes described herein Any one or more methods. In one embodiment, the computer system 600 is suitable for use as a computer device for related equipment described in FIG. 1, FIG. 2A, FIG. 3A, FIG. 3B, FIG. 4, or FIG. 5.

示例性電腦系統600包括處理器602、主記憶體604(例如唯讀記憶體(ROM)、快閃記憶體、諸如同步DRAM (SDRAM)或Rambus DRAM(RDRAM)等動態隨機存取記憶體(DRAM))、靜態記憶體606(例如快閃記憶體、靜態隨機存取記憶體(SRAM)等)和次記憶體618(例如資料儲存裝置),處理器602、記憶體604、606、618透過匯流排630互相通信連接。 The exemplary computer system 600 includes a processor 602, main memory 604 (e.g., read-only memory (ROM), flash memory, such as synchronous DRAM (SDRAM) or Rambus DRAM (RDRAM) such as dynamic random access memory (DRAM)), static memory 606 (such as flash memory, static random access memory (SRAM), etc.) and secondary memory 618 (such as Data storage device), the processor 602, the memories 604, 606, 618 communicate with each other through a bus 630.

處理器602代表一或更多通用處理裝置,例如微處理器、中央處理單元等。更特別地,處理器602可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字組(VLIW)微處理器、實施其他指令集的處理器或實施指令集組合的處理器。處理器602亦可為一或更多特殊用途處理裝置,例如特殊應用積體電路(ASIC)、場可程式閘陣列(FPGA)、數位訊號處理器(DSP)、網路處理器等。處理器602配置以執行處理邏輯626,以進行本文所述操作。 The processor 602 represents one or more general-purpose processing devices, such as a microprocessor, a central processing unit, and the like. More specifically, the processor 602 may be a complex instruction set computing (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction set (VLIW) microprocessor, or a processor implementing other instruction sets Or a processor that implements a combination of instruction sets. The processor 602 may also be one or more special-purpose processing devices, such as a special application integrated circuit (ASIC), a field programmable gate array (FPGA), a digital signal processor (DSP), a network processor, and the like. The processor 602 is configured to execute processing logic 626 to perform the operations described herein.

電腦系統600可進一步包括網路介面裝置608。電腦系統600亦可包括視訊顯示單元610(例如液晶顯示器(LCD)或陰極射線管(CRT))、文數輸入裝置612(例如鍵盤)、游標控制裝置614(例如滑鼠)和訊號產生裝置616(例如揚聲器)。 The computer system 600 may further include a network interface device 608. The computer system 600 may also include a video display unit 610 (such as a liquid crystal display (LCD) or a cathode ray tube (CRT)), a text input device 612 (such as a keyboard), a cursor control device 614 (such as a mouse), and a signal generating device 616. (Such as speakers).

次記憶體618可包括機器可存取儲存媒體(或更特定言之為電腦可讀取儲存媒體)631,機器可存取儲存媒體631儲存收錄所述任一或更多方法或功能的一或更多組指令(例如軟體622)。軟體622亦可完全或至少部分常駐在主記憶體604及/或處理器602內,電腦系統600執行軟體622時,主 記憶體604和處理器602亦構成機器可讀取儲存媒體。軟體622可進一步透過網路介面裝置608在網路620上傳送或接收。 The secondary memory 618 may include a machine-accessible storage medium (or more specifically, a computer-readable storage medium) 631, and the machine-accessible storage medium 631 stores one or more of any one or more of the methods or functions described. More sets of instructions (such as software 622). The software 622 may also reside entirely or at least partially in the main memory 604 and / or the processor 602. When the computer system 600 executes the software 622, the main The memory 604 and the processor 602 also constitute a machine-readable storage medium. The software 622 may further be transmitted or received on the network 620 through the network interface device 608.

雖然在一示例性實施例中,機器可存取儲存媒體631係顯示為單一媒體,但「機器可讀取儲存媒體」一詞應視同包括單一媒體或多個媒體(例如集中式或分散式資料庫及/或相關高速緩衝儲存器和伺服器),用以儲存一或更多組指令。「機器可讀取儲存媒體」一詞亦應視同包括任何能儲存或編碼機器執行的指令集而使機器進行本發明之任一或更多方法的媒體。因此,「機器可讀取儲存媒體」一詞宜視同包括固態記憶體和光學與磁性媒體,但不以此為限。 Although in an exemplary embodiment, the machine-accessible storage medium 631 is shown as a single medium, the term "machine-readable storage medium" should be considered to include a single medium or multiple media (e.g., centralized or decentralized) Database and / or associated caches and servers) to store one or more sets of instructions. The term "machine-readable storage medium" shall also be deemed to include any medium capable of storing or encoding a set of instructions executed by the machine to cause the machine to perform any one or more of the methods of the present invention. Therefore, the term "machine-readable storage medium" should be considered to include, but not be limited to, solid-state memory and optical and magnetic media.

根據本發明一實施例,非暫時性機器可存取儲存媒體具有儲存指令,用以促使資料處理系統進行以控氧方式形成GaN系光電與電子裝置用PVD AlN緩衝層的方法。 According to an embodiment of the present invention, the non-transitory machine-accessible storage medium has a storage instruction for urging the data processing system to perform a method of forming a PVD AlN buffer layer for GaN-based photovoltaic and electronic devices in an oxygen-controlled manner.

故揭示用於GaN系光電與電子裝置的控氧PVD AlN緩衝層。 Therefore, an oxygen-controlled PVD AlN buffer layer for GaN-based optoelectronic and electronic devices is disclosed.

Claims (23)

一種形成氮化鎵(GaN)系光電或電子裝置用之一氮化鋁(AlN)緩衝層的方法,該方法包含下列步驟:反應性濺射一AlN層至一基板上,該反應性濺射包含使置於一物理氣相沉積(PVD)腔室內的一含鋁靶材與一含氮氣體或以一含氮氣體為基礎的一電漿反應;及將氧併入該AlN層中,其中將氧併入該AlN層中的該步驟包含將介於1E18與1E23cm-3之間的一氧濃度併入該AlN層中。A method for forming an aluminum nitride (AlN) buffer layer for a gallium nitride (GaN) -based optoelectronic or electronic device. The method includes the following steps: reactively sputtering an AlN layer onto a substrate, and the reactive sputtering Comprising reacting an aluminum-containing target placed in a physical vapor deposition (PVD) chamber with a nitrogen-containing gas or a plasma based on a nitrogen-containing gas; and incorporating oxygen into the AlN layer, wherein The step of incorporating oxygen into the AlN layer includes incorporating an oxygen concentration between 1E18 and 1E23 cm -3 into the AlN layer. 如請求項1所述之方法,其中將氧併入的該步驟進行係使一含氧氣體流入該PVD腔室中,該含氧氣體選自由O2、H2O、CO、CO2、NO、NO2、O3和上述物質組合物所組成的群組。The method according to claim 1, wherein the step of incorporating oxygen is performed by flowing an oxygen-containing gas into the PVD chamber, the oxygen-containing gas being selected from the group consisting of O 2 , H 2 O, CO, CO 2 , NO , NO 2 , O 3 and the above composition. 如請求項1所述之方法,其中將氧併入的該步驟進行係在該含鋁靶材與該含氮氣體或以一含氮氣體為基礎的該電漿反應前,流入一含氧氣體。The method according to claim 1, wherein the step of incorporating oxygen is performed by flowing an oxygen-containing gas before the aluminum-containing target reacts with the nitrogen-containing gas or the plasma based on a nitrogen-containing gas. . 如請求項1所述之方法,其中將氧併入的該步驟進行係流入一含氧氣體,同時使該含鋁靶材與該含氮氣體或以一含氮氣體為基礎的該電漿反應。The method according to claim 1, wherein the step of incorporating oxygen is performed by flowing an oxygen-containing gas, and simultaneously reacting the aluminum-containing target with the nitrogen-containing gas or the plasma based on the nitrogen-containing gas. . 如請求項1所述之方法,其中將氧併入的該步驟進行係在該含鋁靶材與該含氮氣體或以一含氮氣體為基礎的該電漿反應後,流入一含氧氣體。The method according to claim 1, wherein the step of incorporating oxygen is performed after the aluminum-containing target reacts with the nitrogen-containing gas or the plasma based on a nitrogen-containing gas, and then flows into an oxygen-containing gas. . 一種用於GaN系光電或電子裝置的材料堆疊,該材料堆疊包含:一基板;及一氮化鋁(AlN)緩衝層,該AlN緩衝層位於該基板上,該AlN層的一氧濃度為介於1E18與1E23cm-3之間。A material stack for a GaN-based optoelectronic or electronic device. The material stack includes: a substrate; and an aluminum nitride (AlN) buffer layer, the AlN buffer layer is located on the substrate, and an oxygen concentration of the AlN layer is Between 1E18 and 1E23cm -3 . 如請求項6所述之材料堆疊,其中一部分的該氧係包括在一AlN/基板界面處。The material stack according to claim 6, wherein a part of the oxygen system is included at an AlN / substrate interface. 如請求項6所述之材料堆疊,其中一部分的該氧係包括在該AlN緩衝層的一最外層表面。The material stack according to claim 6, wherein a part of the oxygen system is included on an outermost surface of the AlN buffer layer. 如請求項6所述之材料堆疊,進一步包含:一高品質GaN層,該高品質GaN層位於該AlN緩衝層上,該高品質GaN層的XRD(002)FWHM小於100弧秒且XRD(102)FWHM小於150弧秒。The material stack according to claim 6, further comprising: a high-quality GaN layer on the AlN buffer layer, the XRD (002) FWHM of the high-quality GaN layer is less than 100 arc seconds and the XRD (102 ) FWHM is less than 150 arc seconds. 如請求項6所述之材料堆疊,其中該基板選自由藍寶石、Si、SiC、鑽石覆Si、ZnO、LiAlO2、MgO、GaAs、銅和W所組成的群組。The material stack according to claim 6, wherein the substrate is selected from the group consisting of sapphire, Si, SiC, diamond-coated Si, ZnO, LiAlO 2 , MgO, GaAs, copper, and W. 一種發光二極體(LED)裝置,包含:一基板;及一氮化鋁(AlN)緩衝層,該AlN緩衝層位於該基板上,該AlN層的一氧濃度為介於1E18與1E23cm-3之間。A light emitting diode (LED) device includes: a substrate; and an aluminum nitride (AlN) buffer layer, the AlN buffer layer is located on the substrate, and an oxygen concentration of the AlN layer is between 1E18 and 1E23cm -3 between. 如請求項11所述之LED裝置,其中一部分的該氧係包括在一AlN/基板界面處。The LED device according to claim 11, wherein a part of the oxygen system includes an AlN / substrate interface. 如請求項11所述之LED裝置,其中一部分的該氧係包括在該AlN緩衝層的一最外層表面。The LED device according to claim 11, wherein a part of the oxygen system is included on an outermost surface of the AlN buffer layer. 如請求項11所述之LED裝置,進一步包含:一高品質GaN層,該高品質GaN層位於該AlN緩衝層上,該高品質GaN層的XRD(002)FWHM小於100弧秒且XRD(102)FWHM小於150弧秒。The LED device according to claim 11, further comprising: a high-quality GaN layer, the high-quality GaN layer is located on the AlN buffer layer, and the XRD (002) FWHM of the high-quality GaN layer is less than 100 arc seconds and the XRD (102 ) FWHM is less than 150 arc seconds. 一種GaN系電子裝置,包含:一基板;及一氮化鋁(AlN)緩衝層,該AlN緩衝層位於該基板上,該AlN層的一氧濃度為介於1E18與1E23cm-3之間。A GaN-based electronic device includes: a substrate; and an aluminum nitride (AlN) buffer layer, the AlN buffer layer is located on the substrate, and an oxygen concentration of the AlN layer is between 1E18 and 1E23cm -3 . 如請求項15所述之GaN系電子裝置,其中該裝置為選自由一場效電晶體(FET)和一供電裝置所組成群組的一者。The GaN-based electronic device according to claim 15, wherein the device is one selected from the group consisting of a field effect transistor (FET) and a power supply device. 如請求項15所述之GaN系電子裝置,其中一部分的該氧係包括在一AlN/基板界面處。The GaN-based electronic device according to claim 15, wherein a part of the oxygen system includes an AlN / substrate interface. 如請求項15所述之GaN系電子裝置,其中一部分的該氧係包括在該AlN緩衝層的一最外層表面。The GaN-based electronic device according to claim 15, wherein a part of the oxygen system is included on an outermost surface of the AlN buffer layer. 如請求項15所述之GaN系電子裝置,進一步包含:一高品質GaN層,該高品質GaN層位於該AlN緩衝層上,該高品質GaN層的XRD(002)FWHM小於100弧秒且XRD(102)FWHM小於150弧秒。The GaN-based electronic device according to claim 15, further comprising: a high-quality GaN layer on the AlN buffer layer, and the XRD (002) FWHM of the high-quality GaN layer is less than 100 arc seconds and XRD (102) FWHM is less than 150 arc seconds. 一種用於形成GaN系光電或電子裝置用之一氮化鋁(AlN)緩衝層的腔室,該腔室包含:一泵送系統和腔室冷卻設計,以達到1E-7托耳或以下的高本底真空度,且高溫下有低上升率;一全面侵蝕磁控管陰極,配置使一AlN膜在晶圓內與晶圓間有一致的靶材侵蝕及均勻沉積載具各處;一處理套組和氣流設計,配置使包括含O氣體的製程氣體均勻分布於該腔室內而得均勻的AlN組成;及一摻雜氧的Al靶材。A chamber for forming an aluminum nitride (AlN) buffer layer for a GaN-based optoelectronic or electronic device, the chamber includes: a pumping system and a chamber cooling design to achieve 1E-7 Torr or less High background vacuum, and low rise rate at high temperature; a comprehensive erosion of the magnetron cathode, configured to allow an AlN film to have consistent target erosion and uniform deposition throughout the wafer; The processing kit and the airflow design are configured so that the process gas including the O-containing gas is uniformly distributed in the chamber to obtain a uniform AlN composition; and an oxygen-doped Al target. 如請求項20所述之腔室,進一步包含:一高溫可偏壓靜電夾盤,該高溫可偏壓靜電夾盤配置以快速及均勻加熱多個晶圓。The chamber of claim 20, further comprising: a high-temperature biasable electrostatic chuck configured to rapidly and uniformly heat a plurality of wafers. 如請求項20所述之腔室,其中該腔室的真空上升率為2500奈托耳/分鐘或以下。The chamber according to claim 20, wherein a vacuum rise rate of the chamber is 2500 Nato / min or less. 如請求項20所述之腔室,其中該高溫為約或高於350℃。The chamber of claim 20, wherein the high temperature is about or higher than 350 ° C.
TW102127333A 2013-03-14 2013-07-30 Oxygen controlled pvd a1n buffer for gan-based optoelectronic and electronic devices TWI624963B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361785128P 2013-03-14 2013-03-14
US61/785,128 2013-03-14
US13/947,857 US9929310B2 (en) 2013-03-14 2013-07-22 Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US13/947,857 2013-07-22

Publications (2)

Publication Number Publication Date
TW201436283A TW201436283A (en) 2014-09-16
TWI624963B true TWI624963B (en) 2018-05-21

Family

ID=51523604

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102127333A TWI624963B (en) 2013-03-14 2013-07-30 Oxygen controlled pvd a1n buffer for gan-based optoelectronic and electronic devices

Country Status (6)

Country Link
US (6) US9929310B2 (en)
JP (1) JP6325647B2 (en)
KR (4) KR102455498B1 (en)
CN (1) CN105121693A (en)
TW (1) TWI624963B (en)
WO (1) WO2014143141A1 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
EP3243212B1 (en) * 2015-01-09 2021-06-09 Swegan AB Semiconductor device structure and methods of its production
JP6465785B2 (en) * 2015-10-14 2019-02-06 クアーズテック株式会社 Compound semiconductor substrate
CN105633233B (en) * 2015-12-31 2018-01-12 华灿光电(苏州)有限公司 Semiconductor devices in AlN templates, the preparation method of AlN templates and AlN templates
CN105470357B (en) * 2015-12-31 2018-05-22 华灿光电(苏州)有限公司 Semiconductor devices in AlN templates, the preparation method of AlN templates and AlN templates
CN105609603A (en) 2016-03-02 2016-05-25 厦门乾照光电股份有限公司 Nitride buffer layer with composite structure
CN105590839B (en) * 2016-03-22 2018-09-14 安徽三安光电有限公司 Nitride bottom, light emitting diode and bottom preparation method
CN106025026B (en) * 2016-07-15 2018-06-19 厦门乾照光电股份有限公司 It is a kind of for AlN buffer layers of light emitting diode and preparation method thereof
JP6847610B2 (en) * 2016-09-14 2021-03-24 株式会社Screenホールディングス Heat treatment equipment
JP6810406B2 (en) * 2016-12-06 2021-01-06 株式会社サイオクス Nitride semiconductor template manufacturing method
EP3642168A1 (en) * 2017-06-23 2020-04-29 Sinmat, Inc. Film for applying compressive stress to ceramic materials
JP7123322B2 (en) 2017-08-31 2022-08-23 東芝マテリアル株式会社 Semiconductor light emitting device and manufacturing method thereof
CN109671819B (en) * 2018-11-30 2020-05-19 华灿光电(浙江)有限公司 GaN-based light emitting diode epitaxial wafer and preparation method thereof
US11557473B2 (en) 2019-04-19 2023-01-17 Applied Materials, Inc. System and method to control PVD deposition uniformity
TW202104628A (en) * 2019-04-19 2021-02-01 美商應用材料股份有限公司 System and method to control pvd deposition uniformity
JP7491683B2 (en) * 2019-11-22 2024-05-28 住友化学株式会社 III-nitride laminate substrate and semiconductor light-emitting device
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US11901484B2 (en) * 2021-06-11 2024-02-13 Applied Materials, Inc. Methods and systems for UV LED structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100219445A1 (en) * 2007-09-27 2010-09-02 Yasunori Yokoyama Group iii nitride semiconductor light-emitting device, method for manufacturing the same, and lamp
US20110171758A1 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. Reclamation of scrap materials for led manufacturing
US20120175589A1 (en) * 2009-08-24 2012-07-12 Dowa Electronics Materials Co., Ltd. Nitride semiconductor device and method of producing the same

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US193014A (en) * 1877-07-10 Improvement in burial-caskets
JP2593960B2 (en) 1990-11-29 1997-03-26 シャープ株式会社 Compound semiconductor light emitting device and method of manufacturing the same
EP0576566B1 (en) 1991-03-18 1999-05-26 Trustees Of Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
KR100188454B1 (en) 1991-05-28 1999-06-01 이노우에 아키라 Substrate processing apparatus
US5652176A (en) 1995-02-24 1997-07-29 Motorola, Inc. Method for providing trench isolation and borderless contact
JPH09143708A (en) 1995-11-28 1997-06-03 Applied Materials Inc Target of puttering apparatus
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US6533874B1 (en) 1996-12-03 2003-03-18 Advanced Technology Materials, Inc. GaN-based devices using thick (Ga, Al, In)N base layers
JPH11340165A (en) 1998-05-20 1999-12-10 Applied Materials Inc Sputtering device and magnetron unit
US6423984B1 (en) 1998-09-10 2002-07-23 Toyoda Gosei Co., Ltd. Light-emitting semiconductor device using gallium nitride compound semiconductor
US6426512B1 (en) 1999-03-05 2002-07-30 Toyoda Gosei Co., Ltd. Group III nitride compound semiconductor device
US6737240B1 (en) 1999-05-25 2004-05-18 Rigel Pharmaceuticals, Inc. Methods of screening for a multi-drug resistance conferring peptide
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
JP3963068B2 (en) 2000-07-19 2007-08-22 豊田合成株式会社 Method for producing group III nitride compound semiconductor device
ATE528421T1 (en) 2000-11-30 2011-10-15 Univ North Carolina State METHOD FOR PRODUCING GROUP III METAL NITRIDE MATERIALS
JP4710139B2 (en) 2001-01-15 2011-06-29 豊田合成株式会社 Group III nitride compound semiconductor device
US7968362B2 (en) 2001-03-27 2011-06-28 Ricoh Company, Ltd. Semiconductor light-emitting device, surface-emission laser diode, and production apparatus thereof, production method, optical module and optical telecommunication system
US7501023B2 (en) * 2001-07-06 2009-03-10 Technologies And Devices, International, Inc. Method and apparatus for fabricating crack-free Group III nitride semiconductor materials
JP4875806B2 (en) 2001-07-31 2012-02-15 アプライド マテリアルズ インコーポレイテッド Heating plate mounting structure and semiconductor manufacturing apparatus
JP3785970B2 (en) * 2001-09-03 2006-06-14 日本電気株式会社 Method for manufacturing group III nitride semiconductor device
KR20040049302A (en) * 2001-10-25 2004-06-11 마츠시타 덴끼 산교 가부시키가이샤 Method of forming film on optical disk
JP2004168622A (en) 2002-11-22 2004-06-17 Kyocera Corp Single crystal sapphire substrate and its manufacturing method
JP4117376B2 (en) 2003-05-16 2008-07-16 独立行政法人産業技術総合研究所 Laminated body including wurtzite crystal layer and method for producing the same
US7642693B2 (en) 2003-05-15 2010-01-05 National Institute Of Advanced Industrial Science And Technology Wurtzite thin film, laminate containing wurtzite crystalline layer and their manufacturing methods
JP4468744B2 (en) * 2004-06-15 2010-05-26 日本電信電話株式会社 Method for producing nitride semiconductor thin film
JP4514584B2 (en) * 2004-11-16 2010-07-28 富士通株式会社 Compound semiconductor device and manufacturing method thereof
JP2006347776A (en) 2005-06-13 2006-12-28 Sumitomo Metal Mining Co Ltd Sapphire substrate and its manufacturing method
JP2007150259A (en) * 2005-11-02 2007-06-14 Sharp Corp Nitride semiconductor light-emitting element, and method for manufacturing same
US8435879B2 (en) * 2005-12-12 2013-05-07 Kyma Technologies, Inc. Method for making group III nitride articles
KR100714629B1 (en) 2006-03-17 2007-05-07 삼성전기주식회사 Nitride semiconductor single crystal substrate, and methods of fabricating the same and a vertical nitride semiconductor light emitting diode using the same
JP4637781B2 (en) 2006-03-31 2011-02-23 昭和電工株式会社 GaN-based semiconductor light emitting device manufacturing method
JP5222281B2 (en) * 2006-04-06 2013-06-26 アプライド マテリアルズ インコーポレイテッド Reactive sputtering of zinc oxide transparent conductive oxide on large area substrates
KR100707215B1 (en) 2006-04-25 2007-04-13 삼성전자주식회사 Method for forming highly-orientated silicon film, method for fabricating 3d semiconductor device, and 3d semiconductor device
US20070251819A1 (en) 2006-05-01 2007-11-01 Kardokus Janine K Hollow cathode magnetron sputtering targets and methods of forming hollow cathode magnetron sputtering targets
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
JP5272361B2 (en) 2006-10-20 2013-08-28 豊田合成株式会社 Sputter deposition apparatus and backing plate for sputter deposition apparatus
JP2008135463A (en) 2006-11-27 2008-06-12 Showa Denko Kk Manufacturing method of group iii nitride semiconductor, manufacturing method of group iii nitride semiconductor light-emitting element, group iii nitride semiconductor light-emitting element and lamp
GB0702560D0 (en) 2007-02-09 2007-03-21 Univ Bath Production of Semiconductor devices
JP4191227B2 (en) 2007-02-21 2008-12-03 昭和電工株式会社 Group III nitride semiconductor light emitting device manufacturing method, group III nitride semiconductor light emitting device, and lamp
JP5346189B2 (en) * 2007-08-27 2013-11-20 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Polycrystalline monolithic magnesium aluminate spinel
JP5018423B2 (en) 2007-11-20 2012-09-05 住友電気工業株式会社 Group III nitride semiconductor crystal substrate and semiconductor device
KR101281684B1 (en) 2008-01-25 2013-07-05 성균관대학교산학협력단 Fabrication method of nitride semiconductor substrate
CA2720324C (en) * 2008-04-03 2016-08-23 The Regents Of The University Of California Ex-vivo multi-dimensional system for the separation and isolation of cells, vesicles, nanoparticles and biomarkers
WO2009129353A1 (en) 2008-04-15 2009-10-22 Purdue Research Foundation Metallized silicon substrate for indium gallium nitride light-emitting diode
JP2009277882A (en) 2008-05-14 2009-11-26 Showa Denko Kk Method of manufacturing group iii nitride semiconductor light emitting element, group iii nitride semiconductor light emitting element, and lamp
EP2338164A4 (en) 2008-08-29 2012-05-16 Veeco Instr Inc Wafer carrier with varying thermal resistance
WO2010038740A1 (en) 2008-10-03 2010-04-08 昭和電工株式会社 Method for manufacturing semiconductor light-emitting element
JP5232972B2 (en) * 2008-10-20 2013-07-10 豊田合成株式会社 Semiconductor light emitting device and method for manufacturing semiconductor light emitting device
US8643113B2 (en) 2008-11-21 2014-02-04 Texas Instruments Incorporated Structure and method for metal gate stack oxygen concentration control using an oxygen diffusion barrier layer and a sacrificial oxygen gettering layer
KR20120003493A (en) 2009-04-24 2012-01-10 어플라이드 머티어리얼스, 인코포레이티드 Substrate pretreatment for subsequent high temperature group iii depositions
US20100308300A1 (en) 2009-06-08 2010-12-09 Siphoton, Inc. Integrated circuit light emission device, module and fabrication process
US8507304B2 (en) * 2009-07-17 2013-08-13 Applied Materials, Inc. Method of forming a group III-nitride crystalline film on a patterned substrate by hydride vapor phase epitaxy (HVPE)
JP5743893B2 (en) * 2009-09-28 2015-07-01 株式会社トクヤマ Manufacturing method of laminate
US8704239B2 (en) * 2009-11-10 2014-04-22 Tokuyama Corporation Production method of a layered body
JP2011108422A (en) 2009-11-13 2011-06-02 Hitachi Maxell Ltd Battery pack
US20110117376A1 (en) 2009-11-17 2011-05-19 Mingwei Zhu Method of Gallium Nitride growth over metallic substrate using Vapor Phase Epitaxy
JP5937297B2 (en) * 2010-03-01 2016-06-22 キヤノンアネルバ株式会社 Metal nitride film, semiconductor device using the metal nitride film, and method for manufacturing the semiconductor device
US8647904B2 (en) * 2010-03-01 2014-02-11 Sharp Kabushiki Kaisha Method for manufacturing nitride semiconductor device, nitride semiconductor light-emitting device, and light-emitting apparatus
KR101410598B1 (en) * 2010-03-02 2014-06-24 도호쿠 다이가쿠 Laminate, method for producing same, and functional element using same
US20110244663A1 (en) 2010-04-01 2011-10-06 Applied Materials, Inc. Forming a compound-nitride structure that includes a nucleation layer
KR101484658B1 (en) 2010-04-30 2015-01-21 캐논 아네르바 가부시키가이샤 Epitaxial film forming method, vacuum processing apparatus, semiconductor light emitting element manufacturing method, semiconductor light emitting element, and illuminating device
JP5627929B2 (en) * 2010-05-28 2014-11-19 富士フイルム株式会社 Method for manufacturing amorphous oxide thin film and method for manufacturing field effect transistor
US20120043198A1 (en) * 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
JP5578025B2 (en) 2010-10-27 2014-08-27 王子ホールディングス株式会社 Absorbent articles
US8895115B2 (en) * 2010-11-09 2014-11-25 Southwest Research Institute Method for producing an ionized vapor deposition coating
US8409895B2 (en) 2010-12-16 2013-04-02 Applied Materials, Inc. Gallium nitride-based LED fabrication with PVD-formed aluminum nitride buffer layer
JP5661452B2 (en) 2010-12-27 2015-01-28 キヤノンアネルバ株式会社 Sputtering method
WO2012090818A1 (en) * 2010-12-29 2012-07-05 シャープ株式会社 Nitride semiconductor structure, nitride semiconductor light-emitting element, nitride semiconductor transistor element, method for manufacturing nitride semiconductor structure, and method for manufacturing nitride semiconductor element
US9303318B2 (en) * 2011-10-20 2016-04-05 Applied Materials, Inc. Multiple complementary gas distribution assemblies
US9396933B2 (en) * 2012-04-26 2016-07-19 Applied Materials, Inc. PVD buffer layers for LED fabrication
CN104428441B (en) * 2012-07-02 2017-04-12 应用材料公司 Aluminum-nitride buffer and active layers by physical vapor deposition
US8946775B2 (en) * 2012-08-22 2015-02-03 Industrial Technology Research Institute Nitride semiconductor structure
US9929310B2 (en) * 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US9177796B2 (en) * 2013-05-03 2015-11-03 Applied Materials, Inc. Optically tuned hardmask for multi-patterning applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100219445A1 (en) * 2007-09-27 2010-09-02 Yasunori Yokoyama Group iii nitride semiconductor light-emitting device, method for manufacturing the same, and lamp
US20120175589A1 (en) * 2009-08-24 2012-07-12 Dowa Electronics Materials Co., Ltd. Nitride semiconductor device and method of producing the same
US20110171758A1 (en) * 2010-01-08 2011-07-14 Applied Materials, Inc. Reclamation of scrap materials for led manufacturing

Also Published As

Publication number Publication date
US9929310B2 (en) 2018-03-27
TW201436283A (en) 2014-09-16
US20160035937A1 (en) 2016-02-04
US11081623B2 (en) 2021-08-03
US10546973B2 (en) 2020-01-28
WO2014143141A1 (en) 2014-09-18
KR20210010655A (en) 2021-01-27
US20140264363A1 (en) 2014-09-18
KR20200020024A (en) 2020-02-25
KR20210156356A (en) 2021-12-24
US20190172973A1 (en) 2019-06-06
CN105121693A (en) 2015-12-02
US10236412B2 (en) 2019-03-19
KR102207804B1 (en) 2021-01-26
JP2016518697A (en) 2016-06-23
US20180261720A1 (en) 2018-09-13
KR102455498B1 (en) 2022-10-14
JP6325647B2 (en) 2018-05-16
US11575071B2 (en) 2023-02-07
KR102342796B1 (en) 2021-12-22
US20210328104A1 (en) 2021-10-21
US20200127164A1 (en) 2020-04-23
KR102080926B1 (en) 2020-02-24
KR20150131217A (en) 2015-11-24
US10193014B2 (en) 2019-01-29

Similar Documents

Publication Publication Date Title
TWI624963B (en) Oxygen controlled pvd a1n buffer for gan-based optoelectronic and electronic devices
JP6507211B2 (en) Fabrication of Gallium Nitride Based LEDs with Aluminum Nitride Buffer Layer Formed by PVD
US11011676B2 (en) PVD buffer layers for LED fabrication
US10439099B2 (en) UV light emitting devices and systems and methods for production