TWI618189B - 金屬互連件裝置及形成金屬互連件的方法 - Google Patents

金屬互連件裝置及形成金屬互連件的方法 Download PDF

Info

Publication number
TWI618189B
TWI618189B TW104138450A TW104138450A TWI618189B TW I618189 B TWI618189 B TW I618189B TW 104138450 A TW104138450 A TW 104138450A TW 104138450 A TW104138450 A TW 104138450A TW I618189 B TWI618189 B TW I618189B
Authority
TW
Taiwan
Prior art keywords
barrier layer
conductive member
layer
trench
barrier
Prior art date
Application number
TW104138450A
Other languages
English (en)
Other versions
TW201712803A (zh
Inventor
楊士億
李明翰
眭曉林
郭子駿
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201712803A publication Critical patent/TW201712803A/zh
Application granted granted Critical
Publication of TWI618189B publication Critical patent/TWI618189B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種製造半導體裝置的方法。該方法包含在基板上形成第一導電部件,在該第一導電部件上形成介電層,在該介電層中形成通路溝槽,在該通路溝槽中形成第一阻障層。因此,該第一阻障具有安置於該介電層上的第一部分和安置於該第一導電部件上的第二部分,應用熱處理以將該阻障層的該第一部分轉換到第二阻障層,且在將該第二阻障層的一部分安置在該介電層上時暴露該通路溝槽中的該第一導電部件。

Description

金屬互連件裝置及形成金屬互連件的方法
本發明是有關於一種半導體裝置,特別係有關於一種金屬互連件。
半導體積體電路(IC)產業已經歷快速成長。IC設計和材料的技術進展已生產數代IC,其中每一代具有比先前數代小且複雜的電路。在IC的演進過程中,功能密度(即,每晶片區域的互連裝置的數目)已大體上增加,而幾何形狀大小(即,可使用製造製程創造的最小元件(或線路)已減小。
此按比例縮小過程通常藉由增加生產效率和降低相關聯成本來提供益處。此按比例縮小還增加了IC加工和製造的複雜性。為了實現這些進展,需要IC加工和製造的類似發展。一個區域是電晶體與其它裝置之間的佈線或互連。儘管製造IC裝置的現有方法大體上對於其意欲用途已足夠,但其尚未在所有方面完全令人滿意。舉例而言,引起了開發用於形成具有低電阻的金屬互連件的穩固製程的難題。
在一實施例中,一種方法包括在基板上形成第一導電部件;在該第一導電部件上形成介電層;在該介電層中形成通路溝槽,其中該第一導電部件暴露於該通路溝槽內;在該通路溝槽中形成第一阻障層,其中該第一阻障具有安置在該介電層上的第 一部分和安置在該第一導電部件上的第二部分;應用熱處理以將該阻障層的該第一部分轉換到第二阻障層;以及在將該第二阻障層的一部分安置在該介電層上時,暴露該通路溝槽中的該第一導電部件。
在另一實施例中,一種方法包括在安置在基板上的第一導電部件上形成介電層;在該介電層中形成溝槽,其中該第一導電部件暴露於該溝槽內;在該溝槽中形成第一阻障層,其中該第一阻障的第一部分沿著由該介電層界定的該溝槽的側壁表面形成,且該第一阻障層的第二部分沿著由該第一導電部件界定的該溝槽的底表面形成;將該第一阻障層的該第一部分轉換成第二阻障層,其中該第二阻障層由與該第一阻障層不同的材料形成;在將該第二阻障層的一部分安置在該介電層上時,暴露該溝槽中的該第一導電部件;以及在該溝槽中形成第二導電部件。
在又另一實施例中,一種裝置包括第一導電部件、第二導電部件、第一阻障層、介電層。第一導電部件安置在基板上。第二導電部件安置在該第一導電部件上。第二導電部件的底部與該第一導電部件的頂部部分物理接觸。第一阻障層沿著該第二導電部件的側壁安置。介電層沿著該第一阻障層安置。該介電層與該第一阻障層的背向該第二導電部件的一側物理接觸。
205‧‧‧初始結構
210‧‧‧基板
220‧‧‧介電層
214‧‧‧導電部件
216‧‧‧阻障層
310‧‧‧圖案化硬遮罩
320‧‧‧開口
410‧‧‧通路
415‧‧‧側壁
416‧‧‧底部
510‧‧‧第一阻障層
510D‧‧‧第一部分
510M‧‧‧第二部分
610‧‧‧第二阻障層
620‧‧‧第三阻障層
710‧‧‧導電層
720‧‧‧導電互連件
當結合附圖閱讀時,自以下詳細描述最佳理解本發明的方面。應注意,根據行業中的標準實踐,圖式中的各種特徵未按比例繪製。實際上,出於論述的清晰起見,可任意增大或減小所說明的特徵的尺寸。
圖1為用於製造根據一些實施例建構的半導體裝置的實例方法的流程圖。
圖2、3、4、5、6、7、8A和8B為根據一些實施例的例示性半導體裝置的橫截面圖。
以下揭示內容提供用於實施本發明的不同特徵的許多不同實施例或實例。以下描述元件和佈置的特定實例以簡化本發明。當然,這些只是實例且並不意欲為限制性。例如,在以下描述中,第一特徵在第二特徵上方或上的形成可包含第一特徵和第二特徵直接接觸地形成的實施例,且還可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵和第二特徵可不直接接觸的實施例。此外,本發明可在各種實例中重複參考數位和/或字母。此重複是出於簡化和清晰的目的且本身並不指示所論述的各種實施例和/或配置之間的關係。
另外,例如“在……下”、“在……下方”、“下部”、“在……上方”、“上部”及類似者的空間相對術語本文中為易於描述而使用,以描述如圖中所說明的一個元件或特徵與另一元件或特徵的關係。除圖中所描繪的定向以外,空間相對術語意欲涵蓋在使用或操作中的裝置的不同定向。設備可以其它方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可相應地進行解釋。
圖1說明根據一些實施例的製造一或多個半導體裝置的流程圖100。以下參照在圖2中展示的半導體裝置200的初始結構205詳細論述方法100。圖3、4、5、6、7、8A和8B說明在一個或多個實施例中的在各種製造階段和根據本發明的各種方面建構的半導體裝置200的截面圖。以下參看圖1到8A和8B共同描述方法100和半導體裝置200。可在方法100前、期間及後提供額外步驟,且對於該方法的額外實施例,描述的步驟中的一些可被替換或消除。接下來的論述說明可根據圖1的方法100製造的半導體裝置200的各種實施例。
參看圖1和2,方法100開始於在步驟102提供初始結構 205。初始結構205包括基板210,其可包含矽。替代地或另外,基板210可包含其它元素半導體,例如,鍺。基板210還可包含化合物半導體,例如,碳化矽、砷化鎵、砷化銦和磷化銦。基板210可包含合金半導體,例如,矽鍺、碳化矽鍺、磷化鎵砷和磷化鎵銦。在一個實施例中,基板210包含外延層。例如,基板可具有上覆塊狀半導體的外延層。此外,基板210可包含絕緣體上半導體(semiconductor-on-insulator,SOI)結構。例如,基板210可包含通過例如注入氧分離(implanted oxygen,SIMOX)的製程或其它合適的技術(例如,晶片結合和研磨)形成的埋入氧化物(buried oxide,BOX)層。
基板210還包含各種由例如離子注入和/或擴散的製程實施的p型摻雜區及/或n型摻雜區。那些摻雜區包含n井、p井、光摻雜區(light doped region,LDD)、重摻雜源極和汲極(source and drain,S/D),和經配置以形式各種積體電路(integrated circuit,IC)裝置(諸如,互補金屬氧化物半導體場效應電晶體(complimentary metal-oxide-Semiconductor field-effect transistor,CMOSFET)、成像感測器和/或發光二極體(light emitting diode,LED))的各種通道摻雜分佈。基板210可進一步包含其它功能特徵,例如,在基板中和上形成的電阻器或電容器。基板210可進一步包含經提供以分開基板210中形成的各種裝置的側向隔離部件。在一個實施例中,將淺溝槽隔離(shallow trench isolation,STI)特徵用於側向隔離。各種IC裝置可進一步包含其它部件,例如,安置在S/D上的矽化物和覆蓋在通道上的閘極堆疊。
初始結構205還可包含多個介電層和導電部件,該多個介電層和導電部件經積體以形成經配置以將各種p型和n型摻雜區與其他功能特徵(例如,閘極電極)耦合的互連結構,從而產生功能積體電路。在一個實例中,初始結構205可包含互連結構的一部分,且共同地被稱作基板210。稍後進一步描述互連結構。
如上所指出,基板210包含互連結構。互連結構包含多層互連(multi-layer interconnect,MLI)結構和與MLI結構集成的層間介電質(inter-level dielectric,ILD),從而提供電佈線以將基板210中的各種裝置耦合到輸入/輸出電力和信號。互連結構包含各種金屬線、接點和通路特徵(或通路插塞)。金屬線提供水準電佈線。接點在矽基板與金屬線之間提供垂直連接,而通路特徵在不同金屬層中的金屬線之間提供垂直連接。
圖2中展示例示性導電部件214以供說明。在一個實施例中,導電部件214包含互連結構的一部分。舉例而言,導電部件214包含接點、金屬通路和/或金屬線。導電部件214可包含鋁(Al)、銅(Cu)和/或鎢(W)。在另一實施例中,導電部件214包含電容器的電極、電阻器或電阻器的一部分。替代地,導電部件214包含摻雜區(例如,源極或汲極),或閘極電極。在另一實例中,導電部件214為安置在各別源極、汲極或閘極電極上的矽化物特徵。該矽化物特徵可通過自對準矽化物(自對準矽化物(salicide))技術形成。
在一些實施例中,導電部件214可進一步由阻障層216包圍以防止擴散和/或提供材料粘著力。阻障層216可包含氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(WN)、氮化矽鈦(TiSiN)和/或氮化矽鉭(TaSiN)。導電部件214和阻障層216可通過包含光學微影、蝕刻和沉積的工序形成。光學微影製程的實例可包含塗佈、曝光、曝光後烘烤和顯影製程。蝕刻製程可包含濕式蝕刻、乾式蝕刻和/或其組合。沉積技術可包含物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、金屬有機化學氣相沉積(metal-organic chemical vapor deposition,MOCVD)和原子層沉積(atomic layer deposition,ALD)和/或其它合適的技術。
初始結構205還包含沉積在基板210上(包括在導電部件 214上)的介電層220。介電層220可為一個介電材料層,例如,氧化矽、氮化矽、具有低於熱氧化矽的介電常數(k)的介電材料層(因此被稱作低k介電材料層)或其它合適的介電材料層。在各種實例中,作為實例,低k介電材料可包含氟化二氧化矽玻璃(FSG)、摻雜碳的氧化矽、Black Diamond®(聖克拉拉塗覆材料,加利福尼亞)、幹凝膠、氣凝膠、非晶形氟化碳、聚對二甲苯基、BCB(雙-苯並環丁烯)、SiLK(唐氏化學,米德蘭,密西根)、聚醯亞胺和/或其它材料。在另一實例中,低k介電材料可包含極低k介電材料(XLK)。在另一實例中,低k介電材料層包含叫作FOX(可流動氧化物)的現有道康寧介電材料的多孔型式,其基於三氧化矽烷。形成第一介電材料層220的製程可利用旋塗式塗佈或CVD。在一個實例中,化學機械拋光(chemical mechanical polishing,CMP)製程可用以進一步使第一介電材料層220的頂表面平面化。
參看圖1和3,一旦接收到初始結構205,方法100繼續進行到步驟104,在介電層220上形成圖案化硬遮罩(hard mask,HM)310。圖案化HM 310包含界定用於通路的介電層220的部分且暴露那些部分以用於隨後蝕刻的各種開口320。如所展示,開口320與相應的導電部件214對準。
在一個實施例中,圖案化HM 310為通過包含塗佈、曝露、曝光、曝光後烘烤和顯影的工序形成的圖案化光阻層。在另一實施例中,第二HM 310是通過在介電層220上沉積子HM層、在子HM層上沉積光阻層、圖案化光阻層、接著通過圖案化光阻層蝕刻子HM層以圖案化子HM層且接著通過圖案化子HM層蝕刻第二HM 310以在第二HM 310中形成第一開口320來形成。
參看圖1和4,方法100繼續進行到步驟106,將圖案化HM 310用作蝕刻遮罩通過開口320蝕刻介電層220,從而產生一或多個通路410。如所展示,通路410延伸穿過介電層220以暴露相應的導電部件 214。通路蝕刻可包含選擇性濕式蝕刻、選擇性乾式蝕刻和/或其組合。作為實例,通路蝕刻包含使用基於氟的化學(例如,CF4、SF6、CH2F2、CHF3和/或C2F6)的等離子乾式蝕刻製程。可借助於各種蝕刻參數(例如,使用的蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、蝕刻劑流動速率和/或其它合適參數)來調諧相應的蝕刻製程。
在形成通路410後,通過蝕刻製程去除圖案化HM 310。在第二HM 310為光阻圖案的一個實例中,其通過濕式剝離和/或等離子灰化來去除。
參看圖1和5,方法100繼續進行到步驟108,在通路410中以及在介電層220的頂部上沉積第一阻障層510。在一些實施例中,第一阻障層510包含導電但不准許介電層220與待在通路410中填充的金屬層之間的層間擴散和反應的金屬。第一阻障層510可包含錳(Mn)、氮化錳(MnN)、鈦(Ti)、鉭(Ta)、鈷(Co)、鈷鎢(CoW)、鉬(Mo)和/或其它合適傳導材料。第一阻障層510可通過ALD、PVD、CVD、MOCVD和/或電鍍來沉積。在一些實施例中,第一阻障510通過ALD沉積以達成具有相當薄厚度的良好步階覆蓋。作為實例,第一阻障層510包含通過ALD沉積的MnN層。
在本實施例中,第一阻障層510沿著通路410的側壁415和底部416一致地沉積且實體接觸通路410的側壁415和底部416。因此,第一阻障層510的沿著側壁415延伸的第一部分實體上接觸介電層220,而第一阻障層510的沿著通路的底部延伸的第二部分實體上接觸導電部件214。為清晰且簡單起見,用參考標號510D標明第一部分,而用參考標號510M標明第二部分。
沉積在通路410的底部上的底部阻障層的電阻通常比在通路410中沉積在此底部阻障層上的金屬層的電阻高得多。因此,底部阻障層的電阻比由底部阻障層和金屬層的組合形成的導電互連件的電 阻佔優勢。此電阻被稱作通路電阻。在本實施例中,方法100提供無底部阻障方案。
參看圖1和6,方法100繼續進行到步驟110,執行熱處理以將第一部分510D和第二部分510M變換(或轉換)成不同阻障層。在一些實施例中,在熱處理期間,第一部分510D與介電層220反應以由此變換成第二阻障層610,而第二部分510M與導電部件214反應以由此變換成第三阻障層620(或底部阻障層)。在此實施例中,第二阻障層610由與第三阻障層620不同的材料形成。
或者,在一些實施例中,在執行熱處理以將第一部分510D變換(或轉換)成第二阻障610期間,第二部分510M保持完好且第三阻障層620由與第一阻障510相同的材料形成。
在本實施例中,相較於隨後蝕刻中的第三阻障層620,第二阻障層610具有實質上不同的蝕刻選擇率。第一阻障層510和介電層220經選擇使得第二阻障610形成有防止介電層220與待在通路410中填充的金屬層之間的層間擴散和反應的能力。作為實例,第一阻障層510包含MnN,而介電層220包含氧化矽。在熱處理後,第一部分510D轉換到MnSixOyNz,而第二部分510M幾乎不具有與導電部件214的反應,且因此第三阻障層620保持作為MnN層510M。此處,x表示按原子百分比計的Si組成,y表示按原子百分比計的氧組成,且z表示按原子百分比計的氮組成。
通過熱處理,第二阻障層610和第三阻障層620形成有自選擇性形成本質,其提供製程簡單性且放鬆製程約束。尤其,通過熱處理的轉換,第二阻障層610可承載第一阻障層510的薄膜特性(例如,在薄厚度內的良好步階覆蓋),其提供針對待在通路410中填充的金屬層的良好側壁保護且避免懸垂物的形成。
熱處理可包括迅速熱退火(rapid thermal anneal,RTA)、 鐳射退火、鍋爐退火和/或閃光燈退火。作為實例,通過使用例如氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、氙(Xe)和氮(N2)的惰性氣體來執行熱處理,溫度範圍從100℃到400℃。作為另一實例,在真空環境中執行熱處理。
參看圖1和7,方法100繼續進行到步驟112,去除第三阻障層620。在本實施例中,執行選擇性蝕刻,使得該蝕刻製程蝕刻第三阻障層620,而不實質上蝕刻第二阻障層610和導電部件214。選擇性蝕刻製程提供製程簡單性且放鬆製程約束。選擇性蝕刻可包含選擇性濕式蝕刻、選擇性乾式蝕刻和/或其組合。如上文所論述,在一些實施例中,第三阻障層620由與第一阻障層510相同的材料(即,MnN)形成,而第二阻障層610為MnSixOyNz,且第一導電部件為Cu。在此實施例中,不實質上蝕刻MnSixOyNz阻障層610,通過用弱酸溶液(pH值小於7)的含水濕式清潔製程去除MnN底部阻障層620。含水濕式清潔製程將簡單性添加至製造製程,且還將對第一導電部件214的製程誘發的損害最小化。
在本實施例中,在去除第三阻障層620後,導電部件214暴露於通路410內。第二阻障層610充當用於待填充於通路410中的金屬層的阻障層。
參看圖1和8A,方法100繼續進行到步驟114,在通路410中沉積導電層710。導電層710可包含金屬層,例如,Cu、Co、W、Ru、Ag、AU、CoW、CoF、CoSi或其它合適傳導材料。導電層710可通過PVD、CVD、MOCVD和/或電鍍來沉積。在一個實施例中,導電層710包含通過PVD沉積的銅層。在一個實施例中,導電層710包含通過PVD沉積的銅種子層和通過電鍍沉積的塊狀銅層。在各種其它實例中,銅沉積可通過例如PVD、CVD、MOCVD或電鍍的其它技術實施。可添加Cu回焊製程以增強Cu填充分佈。
如所展示,第二阻障層610沿著通路410的側壁415將導電層710與介電層220分開,以充當阻障層。其通過限制與到底層介電層內的金屬擴散相關聯的電子遷移(electron migration,EM)和時間相關介電擊穿(time-dependent dielectric breakdown,TDDB)來改善裝置可靠性。在通路410的底部416,導電層710沉積於導電部件214上且直接接觸導電部件214。換句話說,導電層710形成有無底部阻障結構。
在一些實施例中,執行CMP製程以去除過多第二導電層710,且通路410中的其餘第二導電層710形成導電互連件720,如圖8B中所展示。在介電層220上的第二阻障層610也是通過CMP製程去除。在一個實施例中,導電互連件720為Cu互連件。在本實施例中,通過無底部阻障結構,導電互連件720的通路電阻減小,且通過將第二屏障610作為傳導連接件720的側壁阻障層,與EM和TDDB有關的裝置可靠性得以改善。
可在方法100前、期間和後提供額外步驟,且可替換、消除,或圍繞方法100的額外實施例移動所描述的一些步驟。
半導體裝置200可包含可通過隨後加工形成的額外部件。例如,各種通路/線和多層互連部件(例如,金屬層和層間電介質)形成於基板210上。例如,多層互連件包含垂直互連件(例如,習知通路或接點)和水平互連件(例如,金屬線)。各種互連特徵可實施包含銅、鎢和/或矽化物的各種傳導材料。在一個實例中,使用鑲嵌和/或雙重鑲嵌製程形成銅有關多層互連結構。
雖然並不希望為限制性,但本發明的一或多個實施例對半導體裝置和其形成提供許多益處。基於上文,可見,本發明提供形成無底部阻障金屬互連件以供達成低通路電阻的方法。該方法使用將熱處理應用於阻障層以將阻障層的一部分變換為與阻障層的另一部分不同。該方法還使用選擇性蝕刻去除阻障層的部分中的一者,例如, 阻障層的底部部分。該方法提供具有選擇性形成和選擇性蝕刻的穩固金屬互連件形成製程,以放鬆製程約束且獲得製程簡單性。
本發明提供製造半導體裝置的許多不同實施例,該實施例提供較之現有方法的一或多個改善。在一個實施例中,一種用於製造半導體裝置的方法包含在基板上形成第一導電部件,在第一導電部件上形成介電層,在介電層中形成通路溝槽。第一導電部件暴露於通路溝槽內。該方法還包含在通路溝槽中形成第一阻障層。因此,第一阻障具有安置於介電層上的第一部分和安置於第一導電部件上的第二部分,應用熱處理以將阻障層的第一部分轉換到第二阻障層,且在將第二阻障層的一部分安置在介電層上時暴露通路溝槽中的第一導電部件。
在又一實施例中,一種方法包含在安置在基板上的第一導電部件上形成介電層,在介電層中形成溝槽,其中第一導電部件暴露於在溝槽中形成第一阻障層的溝槽內。第一阻障的第一部分沿著由介電層界定的溝槽的側壁表面形成,且第一阻障層的第二部分沿著由第一導電部件界定的溝槽的底表面形成。該方法還包含將第一阻障層的第一部分轉換成第二阻障層。第二阻障層由與第一阻障層不同的材料形成。該方法還包含在將第二阻障層的一部分安置在介電層上時暴露在溝槽中的第一導電部件,和在溝槽中形成第二導電部件。
在又一實施例中,半導體裝置包含安置在基板上的第一導電部件、安置在第一導電部件上的第二導電部件。第二導電部件的底部與第一導電部件的頂部部分物理接觸。裝置還包含沿著第二導電部件的側壁安置的第一阻障層和沿著第一阻障層安置的介電層,其仲介電層與第一阻障層的背向第二導電部件的一側物理接觸。
前文概述若干實施例的特徵,使得所屬領域的技術人員可更好地理解本發明的各方面。所屬領域的技術人員應瞭解,其可以 易於使用本發明作為設計或修改用於進行本文中所介紹的實施例的相同目的和/或獲得相同優勢的其它製程和結構的基礎。所屬領域的技術人員也應認識到,此類等效構造並不脫離本發明的精神和範圍,且其可在不脫離本發明的精神和範圍的情況下在本文中進行各種改變、取代和更改。
102-116‧‧‧步驟

Claims (6)

  1. 一種形成金屬互連件的方法,其包括:在基板上形成第一導電部件,其中該第一導電部件具有一第一側壁和與該第一側壁相對的一第二側壁,及一第一阻障層沿著該第一側壁和該第二側壁安置,以藉由該第一阻障層使該第一導電部件與該基板隔離;在該第一導電部件和該第一阻障層上形成介電層;在該介電層中形成通路溝槽,其中該第一導電部件的部分和該第一阻障層的部分暴露於該通路溝槽內;在該通路溝槽中直接在該介電層、該第一阻障層的該部分、和該第一導電部件的該部分上形成第二阻障層,其中該第二阻障層具有直接安置在該介電層上的第一部分和直接安置在該第一導電部件的該部分和該第一阻障層的該部分上的第二部分,其中該第二阻障層包括氮化錳;應用熱處理以將該第二阻障層的該第一部分轉換成第三阻障層,其中該第三阻障層和該氮化錳具有不同的蝕刻選擇率;藉由移除該第二阻障層的該第二部分,暴露該通路溝槽中的該第一導電部件的該部分;以及直接在該第一阻障層的該部分和該第一導電部件上形成一第二導電部件,以使在該第一導電部件和該第二導電部件之間的一界面沒有氮化錳。
  2. 如請求項1所述之方法,其中在應用該熱處理以將該第一部分轉換成該第三阻障層後,該第二阻障層的該第二部分保持相同,使得該第三阻障層由與該第二阻障層的該第二部分不同 的材料形成。
  3. 如請求項1所述之方法,其中應用該熱處理以將該第一部分轉換成該第三阻障層包含將該熱處理應用到該第二阻障層的該第二部分以化學性地改變該第二阻障層的該第二部分的一組成,以使該第二阻障層的該第二部分不同於該第三阻障層。
  4. 如請求項1所述之方法,其中應用該熱處理以將該第二阻障層的該第一部分轉換成該第三阻障層包含將該氮化錳轉換成MnSixOyNz,其中,x表示按原子百分比計的矽組成,y表示按原子百分比計的氧組成,且z表示按原子百分比計的氮組成。
  5. 一種形成金屬互連件的方法,其包括:在安置在基板上的第一導電部件上形成介電層,其中在該基板上該第一導電部件被該第一阻障層圍繞;在該介電層中形成溝槽,其中該第一導電部件的部分和該第一阻障層的部分暴露於該溝槽內;在該溝槽中直接在該介電層、該第一阻障層的該部分、和該第一導電部件的該部分上形成第二阻障層,其中該第二阻障的第一部分沿著由該介電層界定的該溝槽的側壁表面形成,且該第二阻障層的第二部分沿著由該第一導電部件界定的該溝槽的底表面形成,該第二阻障層包含氮化錳;將該第二阻障層的該第一部分轉換成第三阻障層,其中該第三阻障層和該氮化錳具有不同的蝕刻選擇率;由移除該第二阻障層的該第二部分,暴露該溝槽中的該第一導電部件;以及 在該溝槽中直接在該第一阻障層的該部分和該第一導電部件上形成第二導電部件,以使在該第一導電部件和該第二導電部件之間的一界面沒有氮化錳。
  6. 一種金屬互連件裝置,其包括:第一導電部件,其安置在基板上且具有一第一側壁和與該第一側壁相對的一第二側壁;第一阻障層,其沿著該第一側壁和該第二側壁安置,以隔離該第一導電部件與該基板;介電層,其形成在該基板、該第一導電部件和該第一阻障層上;第二導電部件,其安置在該第一導電部件上且位於該介電層中,其中該第二導電部件的底部與該第一導電部件的頂部部分物理接觸;以及第三阻障層,其形成在該第二導電部件的側壁,以隔離該介電層和該第二導電部件,其中該第三阻障層包含MnSixOyNz,其中x表示按原子百分比計的矽組成,y表示按原子百分比計的氧組成,且z表示按原子百分比計的氮組成。
TW104138450A 2015-09-28 2015-11-20 金屬互連件裝置及形成金屬互連件的方法 TWI618189B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/867,872 2015-09-28
US14/867,872 US9972529B2 (en) 2015-09-28 2015-09-28 Method of forming metal interconnection

Publications (2)

Publication Number Publication Date
TW201712803A TW201712803A (zh) 2017-04-01
TWI618189B true TWI618189B (zh) 2018-03-11

Family

ID=58406679

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138450A TWI618189B (zh) 2015-09-28 2015-11-20 金屬互連件裝置及形成金屬互連件的方法

Country Status (3)

Country Link
US (1) US9972529B2 (zh)
CN (1) CN106558535B (zh)
TW (1) TWI618189B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10438847B2 (en) * 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10297496B2 (en) * 2017-03-15 2019-05-21 Tokyo Electron Limited Method for processing target objection
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
US10978337B2 (en) 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
US11251118B2 (en) 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11036911B2 (en) 2019-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Charging prevention method and structure
US11127684B2 (en) 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
US11264326B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact via formation
US11450609B2 (en) 2020-05-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Electro-migration reduction
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11742210B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
US11817491B2 (en) 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11387331B2 (en) 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11652149B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
US11658215B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100078818A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US20110163062A1 (en) * 2009-10-23 2011-07-07 Gordon Roy G Self-aligned barrier and capping layers for interconnects

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
KR102021884B1 (ko) * 2012-09-25 2019-09-18 삼성전자주식회사 후면 본딩 구조체를 갖는 반도체 소자
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9455178B2 (en) * 2014-03-14 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100078818A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US20110163062A1 (en) * 2009-10-23 2011-07-07 Gordon Roy G Self-aligned barrier and capping layers for interconnects

Also Published As

Publication number Publication date
TW201712803A (zh) 2017-04-01
US20170092536A1 (en) 2017-03-30
CN106558535A (zh) 2017-04-05
US9972529B2 (en) 2018-05-15
CN106558535B (zh) 2020-03-03

Similar Documents

Publication Publication Date Title
TWI618189B (zh) 金屬互連件裝置及形成金屬互連件的方法
US11715689B2 (en) Method of forming metal interconnection
US11088020B2 (en) Structure and formation method of interconnection structure of semiconductor device
US9224643B2 (en) Structure and method for tunable interconnect scheme
CN107068555B (zh) 形成沟槽的方法
US9496224B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
US9911645B2 (en) Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
KR20160141687A (ko) 다마신 구조물의 구조물 및 형성방법
US10332787B2 (en) Formation method of interconnection structure of semiconductor device
US11404367B2 (en) Method for forming semiconductor device with self-aligned conductive features
TWI595597B (zh) 形成金屬內連接之方法
TW201436101A (zh) 形成無凹陷連線結構的方法
US20200091055A1 (en) Interconnect structure with low resistivity and method for forming the same
US10074731B2 (en) Method for forming semiconductor device structure
US10510671B2 (en) Method for forming semiconductor device structure with conductive line
US20140120717A1 (en) Method of Semiconductor Integrated Circuit Fabrication