TWI599272B - Adjustment of power and frequency based on three or more states - Google Patents

Adjustment of power and frequency based on three or more states Download PDF

Info

Publication number
TWI599272B
TWI599272B TW102133223A TW102133223A TWI599272B TW I599272 B TWI599272 B TW I599272B TW 102133223 A TW102133223 A TW 102133223A TW 102133223 A TW102133223 A TW 102133223A TW I599272 B TWI599272 B TW I599272B
Authority
TW
Taiwan
Prior art keywords
state
power
generator
level
primary
Prior art date
Application number
TW102133223A
Other languages
Chinese (zh)
Other versions
TW201427496A (en
Inventor
小約翰C 微寇爾
布萊佛J 琳戴克
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/016,841 external-priority patent/US9462672B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201427496A publication Critical patent/TW201427496A/en
Application granted granted Critical
Publication of TWI599272B publication Critical patent/TWI599272B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2223/00Details of transit-time tubes of the types covered by group H01J2225/00
    • H01J2223/16Circuit elements, having distributed capacitance and inductance, structurally associated with the tube and interacting with the discharge
    • H01J2223/18Resonators
    • H01J2223/20Cavity resonators; Adjustment or tuning thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Description

根據三個或更多狀態之功率及頻率調整 Adjust based on power and frequency of three or more states

本實施例有關改善對電漿阻抗變化的反應時間,尤其關於基於三個或更多狀態來調整功率和頻率的設備、方法、及電腦程式。 This embodiment relates to improving the reaction time to changes in plasma impedance, and more particularly to apparatus, methods, and computer programs for adjusting power and frequency based on three or more states.

在一些電漿處理系統中,將多數射頻(RF)信號提供至電漿腔室內的一或更多電極。RF信號有助於在電漿腔室內產生電漿。電漿係用於各種操作,例如清理設置在下電極之上的基板、蝕刻該基板等等。 In some plasma processing systems, a plurality of radio frequency (RF) signals are provided to one or more electrodes within the plasma chamber. The RF signal helps generate plasma in the plasma chamber. The plasma is used for various operations such as cleaning a substrate disposed over the lower electrode, etching the substrate, and the like.

本揭露內容中所敘述之實施例正是在此情況下產生。 The embodiment described in the present disclosure is produced in this case.

本揭露內容之實施例提供了基於三個或更多狀態來調整功率和頻率的設備、方法及電腦程式。應瞭解到可以許多方式來實現該等實施例,例如步驟、設備、系統、裝置、或電腦可讀媒體上之方法。以下描述數個實施例。 Embodiments of the present disclosure provide apparatus, methods, and computer programs for adjusting power and frequency based on three or more states. It will be appreciated that the embodiments can be implemented in many ways, such as steps, devices, systems, apparatuses, or methods on a computer readable medium. Several embodiments are described below.

在一些實施例中,敘述了電漿處理系統。電漿系統包括主要產生器,該主要產生器包括三主要電力控制器。各主要電力控制器係配置以一預定功率設定。電漿系統包括次要產生器,該次要產生器包括三次要電力控制器。各次要電力控制器係配置以一預定功率設定。電漿系統包括一控制電路,作為輸入至各主要及次要產生器的介面。控制電路係配置成產生脈衝信號,該脈衝信號係定義成包括三狀態,該等狀態定義一循環, 於操作期間重複該循環複數次。各狀態係定義成選擇三主要電力控制器其中之第一、或第二、或第三主要電力控制器,同時也選擇三次要電力控制器其中之第一、或第二、或第三次要電力控制器。 In some embodiments, a plasma processing system is described. The plasma system includes a primary generator that includes three primary power controllers. Each primary power controller is configured to be set at a predetermined power. The plasma system includes a secondary generator that includes three power controllers. Each secondary power controller is configured to be set at a predetermined power. The plasma system includes a control circuit as an interface to the primary and secondary generators. The control circuit is configured to generate a pulse signal, the pulse signal being defined to include three states, the states defining a cycle, This cycle is repeated a plurality of times during the operation. Each state is defined as selecting the first, second, or third primary power controller of the three primary power controllers, and also selecting the first, second, or third secondary of the power controllers three times. Power controller.

在一實施例中,描述了一種配置成基於多數狀態而操作之電 漿系統。電漿系統包括用以接收脈衝信號之主要射頻(RF)產生器。脈衝信號具有三或更多狀態。該等三或更多狀態包括第一狀態、第二狀態、及第三狀態。主要RF產生器係配置成經由阻抗匹配電路而耦合至電漿腔室。 電漿系統更包括用以接收脈衝信號之次要RF產生器。次要RF產生器係配置成經由阻抗匹配電路而耦合至電漿腔室。主要RF產生器及次要RF產生器之每一者係配置成判斷是否脈衝信號處於第一狀態、或第二狀態、或第三狀態。主要RF產生器係配置成將具有第一主要定量位準之RF信號提供至阻抗匹配電路,以回應脈衝信號處於第一狀態之判斷。次要RF產生器係配置成將具有第一次要定量位準之RF信號提供至阻抗匹配電路,以回應脈衝信號處於第一狀態之判斷。主要RF產生器係配置成將具有第一主要定量位準之RF信號提供至阻抗匹配電路,以回應脈衝信號處於第二狀態之判斷。次要RF產生器係配置成將具有第二次要定量位準之RF信號提供至阻抗匹配電路,以回應脈衝信號處於第二狀態之判斷。主要RF產生器係配置成將具有第二主要定量位準之RF信號提供至阻抗匹配電路,以回應脈衝信號處於第三狀態之判斷。次要RF產生器係配置成將具有第三次要定量位準之RF信號提供至阻抗匹配電路,以回應脈衝信號處於第三狀態之判斷。 In an embodiment, a power configured to operate based on a majority of states is described Pulp system. The plasma system includes a primary radio frequency (RF) generator for receiving pulsed signals. The pulse signal has three or more states. The three or more states include a first state, a second state, and a third state. The primary RF generator is configured to be coupled to the plasma chamber via an impedance matching circuit. The plasma system further includes a secondary RF generator for receiving a pulse signal. The secondary RF generator is configured to be coupled to the plasma chamber via an impedance matching circuit. Each of the primary RF generator and the secondary RF generator is configured to determine whether the pulse signal is in the first state, or the second state, or the third state. The primary RF generator is configured to provide an RF signal having a first primary quantitative level to the impedance matching circuit in response to the determination that the pulse signal is in the first state. The secondary RF generator is configured to provide an RF signal having a first secondary quantitative level to the impedance matching circuit in response to the determination that the pulse signal is in the first state. The primary RF generator is configured to provide an RF signal having a first primary quantitative level to the impedance matching circuit in response to the determination that the pulse signal is in the second state. The secondary RF generator is configured to provide an RF signal having a second secondary quantitative level to the impedance matching circuit in response to the determination that the pulse signal is in the second state. The primary RF generator is configured to provide an RF signal having a second primary quantitative level to the impedance matching circuit in response to the determination that the pulse signal is in the third state. The secondary RF generator is configured to provide an RF signal having a third secondary quantitative level to the impedance matching circuit in response to the determination that the pulse signal is in the third state.

在數個實施例中,描述了一種配置成基於多數狀態而操作之 電漿系統。電漿系統包括用以接收脈衝信號之主要射頻(RF)產生器,脈衝信號具有三或更多狀態。該等三或更多狀態包括第一狀態、第二狀態、及第三狀態。主要RF產生器係配置成經由阻抗匹配電路耦合至電漿腔室。 主要RF產生器係配置成判斷是否脈衝信號處於第一狀態、或第二狀態、或第三狀態。主要RF產生器係配置成將具有第一主要定量位準之RF信號提供至電漿腔室以激發電漿,以回應脈衝信號處於第一狀態之判斷;該主要RF產生器係配置成將具有第一主要定量位準之RF信號提供至電漿腔室,以回應脈衝信號處於第二狀態之判斷;並且該主要RF產生器係配置成將具 有第二主要定量位準之RF信號提供至電漿腔室,以回應脈衝信號處於第三狀態之判斷。電漿系統包括次要RF產生器,該次要RF產生器係配置成經由阻抗匹配電路耦合至電漿腔室。次要RF產生器判斷是否與電漿相關之參數超過第一臨界值。次要RF產生器係配置成提供具有第一次要定量位準之RF信號,以回應與電漿相關之參數不超過第一臨界值之判斷;並且該次要RF產生器係配置成提供具有第二次要定量位準之RF信號,以回應與電漿相關之參數超過第一臨界值之判斷。 In several embodiments, a configuration is described that operates based on a majority state Plasma system. The plasma system includes a primary radio frequency (RF) generator for receiving a pulse signal having three or more states. The three or more states include a first state, a second state, and a third state. The primary RF generator is configured to be coupled to the plasma chamber via an impedance matching circuit. The primary RF generator is configured to determine if the pulse signal is in the first state, or the second state, or the third state. The primary RF generator is configured to provide an RF signal having a first primary quantitative level to the plasma chamber to excite the plasma in response to a determination that the pulse signal is in a first state; the primary RF generator is configured to have The first primary quantitative level RF signal is provided to the plasma chamber in response to the determination that the pulse signal is in the second state; and the primary RF generator is configured to An RF signal having a second primary quantitative level is provided to the plasma chamber in response to a determination that the pulse signal is in a third state. The plasma system includes a secondary RF generator configured to be coupled to the plasma chamber via an impedance matching circuit. The secondary RF generator determines if the parameter associated with the plasma exceeds the first threshold. The secondary RF generator is configured to provide an RF signal having a first quasi-quantitative level in response to a determination that the plasma-related parameter does not exceed a first threshold; and the secondary RF generator is configured to provide The second time, the level of the RF signal is quantified in response to a determination that the plasma-related parameter exceeds the first threshold.

在一些實施例中,電漿方法包括接收脈衝信號。接收脈衝信 號之操作係由主要處理器所執行。電漿方法更包括接收脈衝信號。接收脈衝信號之操作係由次要處理器所執行。該方法包括判斷是否脈衝信號處於第一狀態、或第二狀態、或第三狀態。此判斷是否脈衝信號處於第一狀態、或第二狀態、或第三狀態之操作係由主要處理器所執行。該方法包括判斷是否脈衝信號處於第一狀態、或第二狀態、或第三狀態。此判斷是否脈衝信號處於第一狀態、或第二狀態、或第三狀態之操作係由次要處理器所執行。該方法更包括將第一射頻(RF)信號之第一主要定量位準提供至主要電源,以回應脈衝信號處於第一狀態之判斷。提供第一主要定量位準之操作係由主要處理器所執行。該方法包括將第二RF信號之第一次要定量位準提供至次要電源,以回應脈衝信號處於第一狀態之判斷。提供第一次要定量位準之操作係由次要處理器所執行。 In some embodiments, the plasma method includes receiving a pulse signal. Receiving pulse letter The operation of the number is performed by the main processor. The plasma method further includes receiving a pulse signal. The operation of receiving the pulse signal is performed by the secondary processor. The method includes determining if the pulse signal is in a first state, or a second state, or a third state. The operation of determining whether the pulse signal is in the first state, or the second state, or the third state is performed by the primary processor. The method includes determining if the pulse signal is in a first state, or a second state, or a third state. The operation of determining whether the pulse signal is in the first state, or the second state, or the third state is performed by the secondary processor. The method further includes providing a first primary quantitative level of the first radio frequency (RF) signal to the primary power source in response to the determination that the pulse signal is in the first state. The operation that provides the first primary quantitative level is performed by the primary processor. The method includes providing a first secondary quantitative level of the second RF signal to the secondary power source in response to the determination that the pulse signal is in the first state. The operation that provides the first quantification level is performed by the secondary processor.

在這些實施例中,電漿方法包括將第一RF信號之第一主要 定量位準提供至主要電源,以回應脈衝信號處於第二狀態之判斷。提供第一主要定量位準之操作係由主要處理器所執行。該方法包括將第二RF信號之第二次要定量位準提供至次要電源,以回應脈衝信號處於第二狀態之判斷。提供第二次要定量位準之操作係由次要處理器所執行。該方法包括將第一RF信號之第二主要定量位準提供至主要電源,以回應脈衝信號處於第三狀態之判斷。提供第二主要定量位準之操作係由主要處理器所執行。該方法包括將第二RF信號之第三次要定量位準提供至次要電源,以回應脈衝信號處於第三狀態之判斷。提供第三次要定量位準之操作係由次要處理器所執行。 In these embodiments, the plasma method includes the first primary of the first RF signal The quantitative level is provided to the primary power source in response to the determination that the pulse signal is in the second state. The operation that provides the first primary quantitative level is performed by the primary processor. The method includes providing a second secondary quantitative level of the second RF signal to the secondary power source in response to the determination that the pulse signal is in the second state. The operation that provides the second quantification level is performed by the secondary processor. The method includes providing a second primary quantitative level of the first RF signal to the primary power source in response to the determination that the pulse signal is in the third state. The operation that provides the second primary quantitative level is performed by the primary processor. The method includes providing a third quasi-quantitative level of the second RF signal to the secondary power source in response to the determination that the pulse signal is in the third state. The operation that provides the third quantification level is performed by the secondary processor.

上述實施例的一些優點包括縮短對電漿腔室內之電漿阻抗 變化反應的反應時間。例如,當使用一脈衝信號(例如電晶體-電晶體邏輯(TTL)信號等等)來控制由多數RF電源所供應之頻率及/或功率時,第一個RF電源不需要時間來對第二個RF電源之功率及/或頻率的改變做出反應。通常,當輸入至第一RF電源的頻率及/或功率改變時,則電漿阻抗會有變化,並且第一RF電源對阻抗變化做出反應。此反應需要時間,這對發生在電漿腔室內的製程(例如蝕刻、沉積、清理等等)產生負面影響。當RF電源對具有預定頻率及/或預定功率之狀態信號的狀態改變做出反應時,對電漿阻抗變化反應的時間便縮短。此時間縮短導致通常對製程產生負面影響的時間縮短。 Some of the advantages of the above embodiments include shortening the plasma impedance to the plasma chamber Change the reaction time of the reaction. For example, when using a pulse signal (such as a transistor-transistor logic (TTL) signal, etc.) to control the frequency and/or power supplied by most RF power sources, the first RF power source does not require time to align with the second A change in the power and/or frequency of the RF power source reacts. Typically, when the frequency and/or power input to the first RF power source changes, the plasma impedance will change and the first RF power source will react to the impedance change. This reaction takes time, which has a negative impact on processes that occur within the plasma chamber (eg, etching, deposition, cleaning, etc.). When the RF power source reacts to a state change of a state signal having a predetermined frequency and/or a predetermined power, the time to react to changes in the plasma impedance is shortened. This shortening of time results in a shorter time that usually has a negative impact on the process.

上述實施例的一些額外優點包括提供準確的功率及/或頻率 位準以使電漿穩定,例如降低來源與負載阻抗之間的差異。當功率及/或頻率位準係基於電漿阻抗變化而產生時,則頻率及/或功率位準便是準確的。 例如,對複數電壓和複數電流進行測量,並利用其產生電漿阻抗變化。判斷是否電漿阻抗變化超過一臨界值;若超過時,則改變功率及/或頻率位準以使電漿穩定。 Some additional advantages of the above embodiments include providing accurate power and/or frequency Level to stabilize the plasma, for example to reduce the difference between source and load impedance. When the power and/or frequency level is generated based on changes in plasma impedance, the frequency and/or power level is accurate. For example, the complex voltage and the complex current are measured and used to generate a plasma impedance change. It is judged whether the plasma impedance change exceeds a critical value; if it is exceeded, the power and/or frequency level is changed to stabilize the plasma.

實施例的其他優點包括縮短電漿達到穩定的時間量。訓練例 行工作係用以決定施加至驅動器及放大器系統的頻率及/或功率位準。對應至電漿阻抗變化的功率及/或頻率位準亦於訓練例行工作期間決定。訓練例行工作節省了生產時間,例如清理基板的時間、處理基板的時間、蝕刻基板的時間、在基板上沉積材料的時間等等。例如,於生產期間,當判斷電漿阻抗變化超過一臨界值時,便將功率及/或頻率位準施加至電源,而不需調整功率及/或頻率位準。 Other advantages of the embodiments include shortening the amount of time that the plasma reaches a stable state. Training example The line operation is used to determine the frequency and/or power level applied to the driver and amplifier system. The power and/or frequency level corresponding to the change in plasma impedance is also determined during the training routine. Training routines save production time, such as time to clean the substrate, time to process the substrate, time to etch the substrate, time to deposit material on the substrate, and the like. For example, during production, when it is determined that the plasma impedance change exceeds a threshold, the power and/or frequency level is applied to the power source without adjusting the power and/or frequency level.

其他實施態樣從以下配合附圖的詳細說明將變得顯而易見。 Other embodiments will become apparent from the following detailed description of the drawings.

100‧‧‧系統 100‧‧‧ system

102‧‧‧脈衝信號 102‧‧‧ pulse signal

104‧‧‧電漿腔室 104‧‧‧The plasma chamber

106‧‧‧阻抗匹配電路 106‧‧‧ impedance matching circuit

120‧‧‧下電極 120‧‧‧ lower electrode

122‧‧‧上電極 122‧‧‧Upper electrode

124‧‧‧基板 124‧‧‧Substrate

126‧‧‧上表面 126‧‧‧ upper surface

130、132、134、138、141、142‧‧‧自動頻率調諧器 130, 132, 134, 138, 141, 142‧‧ Automatic frequency tuner

140、153‧‧‧數位信號處理器 140, 153‧‧‧ digital signal processor

144、146、148、150、152、154‧‧‧電力控制器 144, 146, 148, 150, 152, 154‧‧‧ power controller

151‧‧‧工具使用者介面 151‧‧‧Tool user interface

160、162‧‧‧電源 160, 162‧‧‧ power supply

180、182‧‧‧RF電纜 180, 182‧‧‧RF cable

184‧‧‧RF傳輸線 184‧‧‧RF transmission line

190、201‧‧‧圖表 190, 201‧‧‧ charts

200‧‧‧系統 200‧‧‧ system

210、212‧‧‧感測器 210, 212‧‧‧ sensor

220、222、224‧‧‧自動頻率調諧器 220, 222, 224‧‧‧Automatic frequency tuner

221、223‧‧‧類比數位轉換器 221, 223‧‧‧ analog digital converter

226‧‧‧選擇邏輯 226‧‧‧Selection logic

228‧‧‧時脈源 228‧‧‧ clock source

232‧‧‧電源 232‧‧‧Power supply

250‧‧‧表格 250‧‧‧Form

260‧‧‧系統 260‧‧‧ system

270‧‧‧數位信號處理器 270‧‧‧Digital Signal Processor

272‧‧‧感測器 272‧‧‧ sensor

302、304、306、308‧‧‧圖表 302, 304, 306, 308‧‧‧ charts

310、312、314、316‧‧‧圖表 310, 312, 314, 316‧‧‧ charts

320、322、324、326‧‧‧圖表 320, 322, 324, 326‧‧‧ charts

328、330、332、334‧‧‧圖表 328, 330, 332, 334‧‧‧ charts

336、338、340、342‧‧‧圖表 336, 338, 340, 342‧‧‧ charts

344、346、348、350‧‧‧圖表 344, 346, 348, 350‧‧‧ charts

352、354、356、358‧‧‧圖表 352, 354, 356, 358‧‧‧ charts

360、362、364、366‧‧‧圖表 360, 362, 364, 366‧‧‧ charts

368、370、372、374‧‧‧圖表 368, 370, 372, 374‧‧‧ charts

376、378、380、382‧‧‧圖表 376, 378, 380, 382‧‧‧ charts

384、386、388、390‧‧‧圖表 384, 386, 388, 390‧‧‧ charts

392、394、396、398‧‧‧圖表 392, 394, 396, 398‧‧‧ charts

402、404、406、408‧‧‧圖表 402, 404, 406, 408‧‧‧ charts

410、412、414、416‧‧‧圖表 410, 412, 414, 416‧‧‧ charts

418、420、422、424‧‧‧圖表 418, 420, 422, 424‧‧‧ charts

426、428、430、432‧‧‧圖表 426, 428, 430, 432‧‧‧ charts

S1、S2、S3‧‧‧狀態 S1, S2, S3‧‧‧ Status

藉由配合附圖參考以下敘述可最有效地瞭解實施例。 The embodiments are most effectively understood by reference to the following description in conjunction with the drawings.

圖1係依據本揭露內容所述之實施例之系統的方塊圖,該系統基於脈衝信號的多個狀態來調整射頻(RF)產生器的功率及/或頻率。 1 is a block diagram of a system in accordance with an embodiment of the present disclosure that adjusts the power and/or frequency of a radio frequency (RF) generator based on a plurality of states of a pulse signal.

圖2係依據本揭露內容所述之實施例之圖表,該圖表顯示狀態S1、S2、及S3。 2 is a diagram of an embodiment in accordance with the present disclosure showing states S1, S2, and S3.

圖3係依據本揭露內容所述之實施例之圖表的線圖,該圖表顯示不同狀態的不同時期。 3 is a line drawing of a chart in accordance with an embodiment of the present disclosure showing different periods of different states.

圖4係依據本揭露內容所述之實施例之系統的示意圖,該系統基於脈衝信號的狀態來選擇自動頻率調諧器(AFT,auto frequency tuner)其中一者。 4 is a schematic illustration of a system in accordance with an embodiment of the present disclosure that selects one of an automatic frequency tuner (AFT) based on the state of the pulse signal.

圖5係依據本揭露內容所述之實施例之系統的示意圖,該系統基於脈衝信號的狀態及電漿的阻抗變化來控制由y MHz RF產生器所產生之RF信號的頻率及/或功率。 5 is a schematic diagram of a system in accordance with an embodiment of the present disclosure that controls the frequency and/or power of an RF signal generated by a y MHz RF generator based on the state of the pulse signal and the impedance variation of the plasma.

圖式6係依據本揭露內容所述之實施例之表格的示意圖,該表格顯示阻抗變化與臨界值的比較以決定由RF產生器所供應之RF信號的功率位準或頻率位準。 Figure 6 is a schematic illustration of a table in accordance with an embodiment of the present disclosure showing a comparison of impedance changes to threshold values to determine the power level or frequency level of the RF signal supplied by the RF generator.

圖7係依據本揭露內容所述之實施例之系統的示意圖,該系統基於脈衝信號的狀態以及基於參數值是否超過臨界值而選擇AFT。 7 is a schematic illustration of a system in accordance with an embodiment of the present disclosure that selects an AFT based on the state of the pulse signal and based on whether the parameter value exceeds a threshold.

圖8A係依據本揭露內容所述之實施例之圖表的線圖,該圖表顯示由二RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、且該等信號之另一者於一狀態期間具有零功率值。 8A is a line diagram of a diagram in accordance with an embodiment of the present disclosure, the graph showing signals generated by two RF generators, wherein one of the signals has different power values in each state, and the signals The other has a zero power value during a state.

圖8B係依據本揭露內容所述之實施例之圖表的線圖,該圖表顯示由二RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、且該等信號之另一者於一狀態期間具有零功率值。 Figure 8B is a line diagram of a diagram of an embodiment in accordance with the present disclosure showing signals generated by two RF generators, wherein one of the signals has the same power value in two states and the signals The other has a zero power value during a state.

圖9A係依據本揭露內容所述之實施例之圖表的線圖,該圖表顯示由二RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、且該等信號之另一者於所有狀態期間具有非零功率值。 9A is a line diagram of a diagram in accordance with an embodiment of the present disclosure, the graph showing signals generated by two RF generators, wherein one of the signals has different power values in each state, and the signals The other has a non-zero power value during all states.

圖9B係依據本揭露內容所述之實施例之圖表的線圖,該圖表顯示由二RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、且該等信號之另一者於所有狀態期間具有非零功率值。 9B is a line diagram of a diagram of an embodiment of the present disclosure showing signals generated by two RF generators, wherein one of the signals has the same power value in two states and the signals The other has a non-zero power value during all states.

圖10A係依據本揭露內容所述之實施例之圖表的線圖,該圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在各狀態具有 不同功率值、該等信號之另一者於一狀態期間具有零功率值、且該等信號之又另一者於所有狀態期間具有固定功率值。 10A is a line diagram of a diagram of an embodiment of the present disclosure showing signals generated by three RF generators, wherein one of the signals has The different power values, the other of the signals have a zero power value during one state, and the other of the signals has a fixed power value during all states.

圖10B係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、該等信號之另一者於一狀態期間具有零功率值、且該等信號之又另一者於所有狀態期間具有固定功率值。 Figure 10B is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has the same power value in two states, the other of the signals has a zero power value during a state, and the signals are again One has a fixed power value during all states.

圖11A係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、該等信號之另一者於所有狀態期間具有非零功率值、且該等信號之又另一者於所有狀態期間具有固定功率值。 Figure 11A is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has different power values in each state, the other of the signals has a non-zero power value during all states, and the signals are again The other has a fixed power value during all states.

圖11B係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、該等信號之另一者於所有狀態期間具有非零功率值、且該等信號之又另一者於所有狀態期間具有固定功率值。 Figure 11B is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has the same power value in two states, the other of the signals has a non-zero power value during all states, and the signals are again The other has a fixed power value during all states.

圖12A係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、該等信號之另一者於一狀態期間具有零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 12A is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has different power values in each state, the other of the signals has a zero power value during a state, and the signals are again One has the same power value during the two states.

圖12B係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、該等信號之另一者於一狀態期間具有零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 12B is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has the same power value in two states, the other of the signals has a zero power value during a state, and the signals are again One has the same power value during the two states.

圖13A係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、該等信號之另一者於所有狀態期間具有非零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 13A is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has different power values in each state, the other of the signals has a non-zero power value during all states, and the signals are again The other has the same power value during the two states.

圖13B係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、該等信號之另一者於所有狀態期間具有非零功率值、且該等 信號之又另一者在二狀態期間具有相同功率值。 Figure 13B is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has the same power value in two states, the other of the signals has a non-zero power value during all states, and such The other of the signals has the same power value during the two states.

圖14A係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、該等信號之另一者於一狀態期間具有零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 14A is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has different power values in each state, the other of the signals has a zero power value during a state, and the signals are again One has the same power value during the two states.

圖14B係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、該等信號之另一者於一狀態期間具有零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 14B is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has the same power value in two states, the other of the signals has a zero power value during a state, and the signals are again One has the same power value during the two states.

圖15A係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在各狀態具有不同功率值、該等信號之另一者於所有狀態期間具有非零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 15A is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has different power values in each state, the other of the signals has a non-zero power value during all states, and the signals are again The other has the same power value during the two states.

圖15B係依據本揭露內容所述之實施例之圖表的線圖,該 圖表顯示由三RF產生器所產生之信號,其中該等信號之一者在二狀態具有相同功率值、該等信號之另一者於所有狀態期間具有非零功率值、且該等信號之又另一者在二狀態期間具有相同功率值。 Figure 15B is a line drawing of a chart in accordance with an embodiment of the present disclosure, The graph shows signals generated by three RF generators, wherein one of the signals has the same power value in two states, the other of the signals has a non-zero power value during all states, and the signals are again The other has the same power value during the two states.

以下實施例描述基於三個或更多狀態之功率及頻率調整的 系統與方法。顯而易見地,該等實施例可在不具這些具體細節之部份或全部的情況下加以實施。在其他情況下,為了不非必要地混淆該等實施例,故已不再詳述熟知的製程操作。 The following embodiments describe power and frequency adjustment based on three or more states System and method. It is apparent that the embodiments may be practiced without some or all of these specific details. In other instances, well known process operations have not been described in detail in order to unnecessarily obscure the embodiments.

圖1為系統100之實施例的方塊圖,於生產期間,系統100 基於脈衝信號102的多個狀態來調整RF產生器之功率及/或頻率。系統100包括一產生RF信號之x百萬赫茲(MHz)射頻(RF)功率產生器,並且RF信號係經由阻抗匹配電路106而供應至電漿腔室104的下電極120。同樣地,一y MHz電源產生RF信號,並且經由阻抗匹配電路106將RF信號供應至下電極120。 1 is a block diagram of an embodiment of system 100 during production of system 100. The power and/or frequency of the RF generator is adjusted based on a plurality of states of the pulse signal 102. System 100 includes a x-megahertz (MHz) radio frequency (RF) power generator that generates an RF signal, and the RF signal is supplied to lower electrode 120 of plasma chamber 104 via impedance matching circuit 106. Likewise, a y MHz power supply generates an RF signal and the RF signal is supplied to the lower electrode 120 via the impedance matching circuit 106.

x的值可為2、27、或60。又,y的值可為27、60、或2。例如,當x為2時,y為27或60。如另一範例,當x為27時,y為2或60。如又另一範例,當x為60時,y為2或27。此外,應注意到2MHz、27MHz、以及60MHz這些數值係提供作為範例,而非限制性。例如,可使用2.5MHzRF產生器來代替2MHz RF產生器,並且可使用65MHz RF產生器來代替60MHz RF產生器。在一實施例中,除了2MHz RF產生器及27MHz RF產生器以外,還可使用60MHz RF產生器來提供RF電力至下電極120。 The value of x can be 2, 27, or 60. Also, the value of y can be 27, 60, or 2. For example, when x is 2, y is 27 or 60. As another example, when x is 27, y is 2 or 60. As yet another example, when x is 60, y is 2 or 27. In addition, it should be noted that the values of 2 MHz, 27 MHz, and 60 MHz are provided by way of example and not limitation. For example, a 2.5 MHz RF generator can be used instead of a 2 MHz RF generator, and a 65 MHz RF generator can be used instead of a 60 MHz RF generator. In one embodiment, a 60 MHz RF generator can be used to provide RF power to the lower electrode 120 in addition to the 2 MHz RF generator and the 27 MHz RF generator.

阻抗匹配電路包括電子電路元件(例如電感、電容等等),以使耦合至該阻抗匹配電路之來源的阻抗與耦合至該阻抗匹配電路之負載的阻抗相匹配。例如,阻抗匹配電路106使x MHz RF產生器和將x MHz RF產生器耦合至阻抗匹配電路106之任何元件(例如RF電纜等等)的阻抗與電漿腔室104和將電漿腔室104耦合至阻抗匹配電路106之任何元件(例如RF傳輸線等等)的阻抗相匹配。在一實施例中,調諧阻抗匹配電路以幫助耦合至阻抗匹配電路之來源的阻抗與耦合至阻抗匹配電路之負載的阻抗之間的匹配。來源與負載之間的阻抗匹配降低了電力從負載向來源反射的機會。 The impedance matching circuit includes electronic circuit components (eg, inductors, capacitors, etc.) to match the impedance of the source coupled to the impedance matching circuit to the impedance of the load coupled to the impedance matching circuit. For example, the impedance matching circuit 106 causes the x MHz RF generator and the impedance of the x MHz RF generator to couple any element of the impedance matching circuit 106 (eg, RF cable, etc.) to the plasma chamber 104 and the plasma chamber 104. The impedance of any of the components (eg, RF transmission lines, etc.) coupled to impedance matching circuit 106 match. In an embodiment, the impedance matching circuit is tuned to aid in the matching between the impedance coupled to the source of the impedance matching circuit and the impedance of the load coupled to the impedance matching circuit. Impedance matching between the source and the load reduces the chance of power being reflected from the load to the source.

電漿腔室104包括下電極120、上電極122、及其他元件(未顯示),例如圍繞上電極122之上介電環、圍繞上介電環之下電極延伸部、圍繞下電極之下介電環、圍繞下電極120之下介電環、圍繞下電極120之下電極延伸部、上電漿排除區域(PEZ,plasma exclusion zone)環、下PEZ環等等。上電極122係設置在下電極120對面、並面向下電極120。 The plasma chamber 104 includes a lower electrode 120, an upper electrode 122, and other components (not shown), such as a dielectric ring surrounding the upper electrode 122, an electrode extension surrounding the upper dielectric ring, and a lower electrode surrounding the lower electrode. An electrical ring, a dielectric ring surrounding the lower electrode 120, an electrode extension around the lower electrode 120, a plasma exclusion zone (PEZ) ring, a lower PEZ ring, and the like. The upper electrode 122 is disposed opposite the lower electrode 120 and faces the lower electrode 120.

基板124(例如半導體晶圓)係支撐在下電極120的上表面126之上。積體電路(例如特定應用積體電路(ASIC)、可編程邏輯裝置(PLD)等等)係形成在基板124上,且積體電路用於各種裝置中,例如行動電話、平板電腦、智慧型手機、電腦、筆記型電腦、網路設備等等。下電極120係由金屬所製成、例如陽極化鋁、鋁合金等等。又,上電極122係由金屬所製成,例如鋁、鋁合金等等。 A substrate 124 (eg, a semiconductor wafer) is supported over the upper surface 126 of the lower electrode 120. An integrated circuit (for example, an application specific integrated circuit (ASIC), a programmable logic device (PLD), etc.) is formed on the substrate 124, and the integrated circuit is used in various devices such as a mobile phone, a tablet, and a smart type. Mobile phones, computers, laptops, network devices, and more. The lower electrode 120 is made of metal, such as anodized aluminum, aluminum alloy, or the like. Further, the upper electrode 122 is made of metal such as aluminum, aluminum alloy or the like.

在一實施例中,上電極122包括一耦合至中央氣體饋送件(未顯示)的孔洞。中央氣體饋送件接收來自氣體供應器(未顯示)之一 或更多處理氣體。處理氣體的例子包括含氧氣體,如O2。處理氣體的其他例子包括含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極122為接地。下電極120係經由阻抗匹配電路106耦合至一或更多RF產生器。例如,上電極122係經由阻抗匹配電路106耦合至x MHz RF產生器,且經由阻抗匹配電路106耦合至y MHz RF電源。 In an embodiment, the upper electrode 122 includes a hole that is coupled to a central gas feed (not shown). The central gas feed receives one or more process gases from a gas supply (not shown). Examples of the process gas include an oxygen-containing gas such as O 2 . Other examples of the treatment gas include fluorine-containing gases such as tetrafluoromethane (CF 4 ), sulfur hexafluoride (SF 6 ), hexafluoroethane (C 2 F 6 ), and the like. The upper electrode 122 is grounded. Lower electrode 120 is coupled to one or more RF generators via impedance matching circuit 106. For example, the upper electrode 122 is coupled to the x MHz RF generator via impedance matching circuit 106 and to the y MHz RF power supply via impedance matching circuit 106.

當將處理氣體供應在上電極122與下電極120之間時,並且 當RF產生器(例如x MHz RF產生器及/或y MHz RF產生器等等)經由阻抗匹配電路106將電力供應至下電極120時,處理氣體受到激發而在電漿腔室104內產生電漿。例如,2MHz RF產生器經由阻抗匹配電路106供應電力來激發處理氣體,以產生電漿。在一些實施例中,2MHz RF產生器為主要RF產生器。 When a process gas is supplied between the upper electrode 122 and the lower electrode 120, and When an RF generator (eg, an x MHz RF generator and/or a y MHz RF generator, etc.) supplies power to the lower electrode 120 via the impedance matching circuit 106, the process gas is excited to generate electricity within the plasma chamber 104. Pulp. For example, a 2 MHz RF generator supplies power via impedance matching circuit 106 to excite the process gas to produce a plasma. In some embodiments, the 2 MHz RF generator is the primary RF generator.

在電腦(未顯示)上之工具使用者介面(UI,user interface) 151(例如控制電路等等)係用以產生脈衝信號102,例如電晶體-電晶體邏輯(TTL,transistor-transistor logic)信號、數位脈衝信號、時脈信號、具有工作週期之信號等等。在一實施例中,電腦包括TTL電路。如於此所使用般,可使用處理器、控制器、ASIC或PLD來代替電腦,並且這些用語於此可交換使用。 Tool user interface (UI, user interface) on a computer (not shown) 151 (eg, a control circuit, etc.) is used to generate a pulse signal 102, such as a transistor-transistor logic (TTL) signal, a digital pulse signal, a clock signal, a signal with a duty cycle, and the like. In an embodiment, the computer includes a TTL circuit. As used herein, a processor, controller, ASIC, or PLD can be used in place of a computer, and these terms are used interchangeably herein.

脈衝信號102包括狀態S1、S2、及S3。在各種實施例中, 狀態S1、S2、及S3在時脈循環中重複。各時脈循環包括狀態S1、S2、及S3。例如,在時脈循環的一半週期期間執行狀態S1及S2,然後在時脈循環其餘的一半週期期間執行狀態S3。如另一範例,在時脈循環的三分之一時間週期期間執行狀態S1、在另外三分之一時間週期期間執行狀態S2、然後在其餘的三分之一時間週期期間執行狀態S3。在一些實施例中,脈衝信號102包括多於或少於三個狀態。狀態S1的例子包括具有第一範圍之功率位準的狀態。狀態S2的例子包括具有第二範圍之功率位準的狀態。狀態S3的例子包括具有第三範圍之功率位準的狀態。在一些實施例中,第二範圍之功率位準高於第一範圍之功率位準,且第三範圍之功率位準高於第二範圍之功率位準。在不同的實施例中,第三範圍之功率位準低於第二範圍之功率位準,且第二範圍之功率位準低於第一範圍之功率位準。在一實施例 中,第三範圍之功率位準不等於第二範圍之功率位準,且第二範圍之功率位準不等於第一範圍之功率位準。 Pulse signal 102 includes states S1, S2, and S3. In various embodiments, States S1, S2, and S3 are repeated in the clock cycle. Each clock cycle includes states S1, S2, and S3. For example, states S1 and S2 are executed during one half of the clock cycle, and then state S3 is performed during the remaining half of the clock cycle. As another example, state S1 is performed during a one-third time period of the clock cycle, state S2 is performed during another one-third of the time period, and state S3 is then performed during the remaining one-third of the time period. In some embodiments, the pulse signal 102 includes more or less than three states. An example of state S1 includes a state having a power level of the first range. An example of state S2 includes a state having a power level of the second range. An example of state S3 includes a state having a power level of a third range. In some embodiments, the power level of the second range is higher than the power level of the first range, and the power level of the third range is higher than the power level of the second range. In various embodiments, the power level of the third range is lower than the power level of the second range, and the power level of the second range is lower than the power level of the first range. In an embodiment The power level of the third range is not equal to the power level of the second range, and the power level of the second range is not equal to the power level of the first range.

在一些實施例中,一範圍之功率位準包括一或更多功率位準。 In some embodiments, a range of power levels includes one or more power levels.

在各種實施例中,使用時脈源(例如晶體振盪器等等)代替電腦以產生類比時脈信號,該類比時脈信號係由類比數位轉換器轉換成類似脈衝信號102之數位信號。例如,晶體振盪器係製作成在藉由施加電壓至晶體振盪器附近或晶體振盪器上的電極所產生之電場中振盪。 In various embodiments, a clock source (eg, a crystal oscillator, etc.) is used in place of a computer to generate an analog clock signal that is converted to a digital signal similar to pulse signal 102 by an analog digital converter. For example, a crystal oscillator is fabricated to oscillate in an electric field generated by applying a voltage to an electrode near a crystal oscillator or on a crystal oscillator.

在一些實施例中,二數位時脈源(例如處理器、電腦等等)係用以產生脈衝信號102。第一數位時脈源之第一時脈信號具有狀態1和0,並且第二數位時脈源之第二時脈信號具有狀態1和0。加法器(例如加法電路等等)係與二時脈源耦合,以便將第一及第二數位信號相加,以產生具有三個狀態的脈衝信號102。 In some embodiments, a two-digit clock source (eg, a processor, a computer, etc.) is used to generate the pulse signal 102. The first clock signal of the first digital clock source has states 1 and 0, and the second clock signal of the second digital clock source has states 1 and 0. An adder (e.g., an adder circuit, etc.) is coupled to the two clock sources to add the first and second digit signals to produce a pulse signal 102 having three states.

將脈衝信號102傳送至x MHz RF產生器的數位信號處理器(DSP)140以及y MHz RF產生器的另一DSP 153。DSP 140及153之每一者接收脈衝信號102並確認脈衝信號102之狀態S1、S2、及S3。例如,DSP 140在狀態S1、S2及S3之間進行辨認。為說明DSP 140在狀態S1、S2、及S3之間進行辨認的方法,DSP 140判斷脈衝信號102於第一時期期間具有第一範圍之功率位準、於第二時期期間具有第二範圍之功率位準、並且於第三時期期間具有第三範圍之功率位準。由DSP 140預定第一範圍之功率位準對應至狀態S1、第二範圍之功率位準對應至狀態S2、以及第三範圍之功率位準對應至狀態S3。 The pulse signal 102 is passed to a digital signal processor (DSP) 140 of the x MHz RF generator and another DSP 153 of the y MHz RF generator. Each of the DSPs 140 and 153 receives the pulse signal 102 and confirms the states S1, S2, and S3 of the pulse signal 102. For example, DSP 140 recognizes between states S1, S2, and S3. To illustrate the method by which DSP 140 recognizes between states S1, S2, and S3, DSP 140 determines that pulse signal 102 has a first range of power levels during a first time period and a second range of power levels during a second time period. It has a third range of power levels during the third period. The power level of the first range predetermined by the DSP 140 corresponds to the state S1, the power level of the second range corresponds to the state S2, and the power level of the third range corresponds to the state S3.

在一些實施例中,第一時期等於第二時期及第三時期之每一者。在不同的實施例中,第一時期等於第一時期或第二時期。在一實施例中,第一時期不等於第一及第二時期之每一者。在不同的實施例,第一時期不等於第一時期或第二時期。 In some embodiments, the first period is equal to each of the second period and the third period. In various embodiments, the first period is equal to the first period or the second period. In an embodiment, the first period is not equal to each of the first and second periods. In a different embodiment, the first period is not equal to the first period or the second period.

DSP 140及153之每一者將狀態S1、S2、及S3儲存在DSP內之一或更多記憶體裝置的記憶位置中。記憶體裝置的例子包括隨機存取記憶體(RAM)及唯讀記憶體(ROM)。記憶體裝置可為快閃記憶體、硬碟、 儲存裝置、電腦可讀媒體等等。 Each of DSPs 140 and 153 stores states S1, S2, and S3 in a memory location of one or more memory devices within the DSP. Examples of memory devices include random access memory (RAM) and read only memory (ROM). The memory device can be a flash memory, a hard disk, Storage devices, computer readable media, and the like.

在各種實施例中,將功率位準的範圍與脈衝信號102的狀態 之間的對應儲存在DSP的記憶體裝置中。例如,將第一範圍之功率位準與狀態S1之間的映射儲存在DSP 140的記憶體裝置內。如另一範例,將第二範圍之功率位準與狀態S2之間的映射儲存在DSP 153的記憶體裝置內。如又另一範例,將第三範圍之功率位準與狀態S3之間的映射儲存在DSP 140內。 In various embodiments, the range of power levels and the state of the pulse signal 102 The correspondence between them is stored in the memory device of the DSP. For example, a mapping between the power level of the first range and state S1 is stored in the memory device of DSP 140. As another example, the mapping between the power level of the second range and state S2 is stored in the memory device of DSP 153. As yet another example, a mapping between the power level of the third range and state S3 is stored within DSP 140.

DSP 140及153之每一者將確認之狀態S1、S2、及S3從對 應的記憶體位置提供到相對應的自動頻率調諧器(AFT)130、132、134、138、141、及142,以及提供到相對應的電力控制器144、146、148、150、152、及154。例如,DSP 140向AFT 130及電力控制器144指示脈衝信號102在第一時期的時間t1與t2之間係處於狀態S1。如另一範例,DSP 140向AFT 132及電力控制器146指示脈衝信號102在第二時期的時間t2與t3之間係處於狀態S2。如又另一範例,DSP 140向AFT 134及電力控制器148指示脈衝信號102在第三時期的時間t3與t4之間係處於狀態S3。如另一範例,DSP 153向AFT 138及電力控制器150指示脈衝信號102在第一時期的時間t1與t2之間係處於狀態S1。如又另一範例,DSP 153向AFT 141及電力控制器152指示脈衝信號102在第二時期的時間t2與t3之間係處於狀態S2。如另一範例,DSP153向AFT 142及電力控制器154指示脈衝信號102在第三時期的時間t3與t4之間係處於狀態S3。在一些實施例中,「調諧器」和「控制器」之用語於此可交換使用。AFT之一範例係提供於美國專利第6020794號,其於此全部併入作為參考。 Each of the DSPs 140 and 153 will confirm the status S1, S2, and S3 from the pair The memory locations are provided to corresponding automatic frequency tuners (AFT) 130, 132, 134, 138, 141, and 142, and to corresponding power controllers 144, 146, 148, 150, 152, and 154. For example, DSP 140 indicates to AFT 130 and power controller 144 that pulse signal 102 is in state S1 between times t1 and t2 of the first time period. As another example, DSP 140 indicates to AFT 132 and power controller 146 that pulse signal 102 is in state S2 between times t2 and t3 of the second period. As yet another example, the DSP 140 indicates to the AFT 134 and the power controller 148 that the pulse signal 102 is in state S3 between times t3 and t4 of the third period. As another example, the DSP 153 indicates to the AFT 138 and the power controller 150 that the pulse signal 102 is in state S1 between times t1 and t2 of the first time period. As yet another example, the DSP 153 indicates to the AFT 141 and the power controller 152 that the pulse signal 102 is in state S2 between times t2 and t3 of the second period. As another example, DSP 153 indicates to AFT 142 and power controller 154 that pulse signal 102 is in state S3 between times t3 and t4 of the third period. In some embodiments, the terms "tuner" and "controller" are used interchangeably herein. An example of an AFT is provided in U.S. Patent No. 6,020,794, the entire disclosure of which is incorporated herein by reference.

AFT 130、132、134、138、141、及142之每一者基於脈衝信 號102的狀態來決定頻率位準,並且電力控制器144、146、148、150、152、及154之每一者基於脈衝信號102的狀態來決定功率位準。例如,當脈衝信號102的狀態為S1時,AFT 130決定將頻率位準Fp1提供至x MHz RF產生器的電源160,以及當脈衝信號102的狀態為S1時,電力控制器144決定將功率位準Pp1提供至電源160。如另一範例,當脈衝信號102的狀態為S2時,AFT 132決定將頻率位準Fp2提供至電源160,以及當脈衝信號102的 狀態為S2時,電力控制器146決定將功率位準Pp2提供至電源160。如又另一範例,當脈衝信號102的狀態為S3時,AFT 134決定將頻率位準Fp3提供至電源160,以及當脈衝信號102的狀態為S3時,電力控制器148決定將功率位準Pp3提供至電源160。 Each of the AFTs 130, 132, 134, 138, 141, and 142 is based on a pulse letter The state of number 102 determines the frequency level, and each of power controllers 144, 146, 148, 150, 152, and 154 determines the power level based on the state of pulse signal 102. For example, when the state of the pulse signal 102 is S1, the AFT 130 determines to provide the frequency level Fp1 to the power supply 160 of the x MHz RF generator, and when the state of the pulse signal 102 is S1, the power controller 144 determines the power level. The quasi Pp1 is provided to the power source 160. As another example, when the state of the pulse signal 102 is S2, the AFT 132 determines to provide the frequency level Fp2 to the power source 160, and when the pulse signal 102 When the state is S2, the power controller 146 decides to provide the power level Pp2 to the power source 160. As yet another example, when the state of the pulse signal 102 is S3, the AFT 134 determines to provide the frequency level Fp3 to the power supply 160, and when the state of the pulse signal 102 is S3, the power controller 148 determines the power level Pp3. Provided to power source 160.

如另一範例,當脈衝信號102的狀態為S1時,AFT 138決定將頻率位準Fs1提供至y MHz RF產生器的電源162,以及當脈衝信號102的狀態為S1時,電力控制器150決定將功率位準Ps1提供至電源162。如另一範例,當脈衝信號102的狀態為S2時,AFT 141決定將頻率位準Fs2提供至電源162,以及當脈衝信號102的狀態為S2時,電力控制器152決定將功率位準Ps2提供至電源162。如又另一範例,當脈衝信號102的狀態為S3時,AFT 142決定將頻率位準Fs3提供至電源162,以及當脈衝信號102的狀態為S3時,電力控制器154決定將功率位準Ps3提供至電源162。 As another example, when the state of the pulse signal 102 is S1, the AFT 138 determines to provide the frequency level Fs1 to the power supply 162 of the y MHz RF generator, and when the state of the pulse signal 102 is S1, the power controller 150 determines The power level Ps1 is provided to the power source 162. As another example, when the state of the pulse signal 102 is S2, the AFT 141 decides to provide the frequency level Fs2 to the power source 162, and when the state of the pulse signal 102 is S2, the power controller 152 decides to provide the power level Ps2. To power supply 162. As yet another example, when the state of the pulse signal 102 is S3, the AFT 142 determines to provide the frequency level Fs3 to the power source 162, and when the state of the pulse signal 102 is S3, the power controller 154 determines the power level Ps3. Provided to power source 162.

在數個實施例中,一位準包括了一或更多數值。例如,頻率位準包括一或更多頻率值,以及功率位準包括一或更多功率值。 In several embodiments, a bit includes one or more values. For example, the frequency level includes one or more frequency values, and the power level includes one or more power values.

在一些實施例中,頻率位準Fp1、Fp2、及Fp3皆相同。在不同實施例中,頻率位準Fp1、Fp2、及Fp3其中至少二者不相等。例如,頻率位準Fp1不等於頻率位準Fp2,且頻率位準Fp2不等於頻率位準Fp3。在此範例中,頻率位準Fp3不等於頻率位準Fp1。如另一範例,頻率位準Fp1不等於頻率位準Fp2,而頻率位準Fp2等於頻率位準Fp3。 In some embodiments, the frequency levels Fp1, Fp2, and Fp3 are all the same. In various embodiments, at least two of the frequency levels Fp1, Fp2, and Fp3 are not equal. For example, the frequency level Fp1 is not equal to the frequency level Fp2, and the frequency level Fp2 is not equal to the frequency level Fp3. In this example, the frequency level Fp3 is not equal to the frequency level Fp1. As another example, the frequency level Fp1 is not equal to the frequency level Fp2, and the frequency level Fp2 is equal to the frequency level Fp3.

同樣地,在數個實施例中,頻率位準Fs1、Fs2、及Fs3皆相同;或頻率位準Fs1、Fs2、及Fs3其中至少二者不相等,且其餘頻率位準相等;或頻率位準Fs1、Fs2、及Fs3其中至少二者相等,且其餘頻率位準不相等。 Similarly, in several embodiments, the frequency levels Fs1, Fs2, and Fs3 are the same; or at least two of the frequency levels Fs1, Fs2, and Fs3 are not equal, and the remaining frequency levels are equal; or the frequency level At least two of Fs1, Fs2, and Fs3 are equal, and the remaining frequency levels are not equal.

在不同實施例中,功率位準Pp1、Pp2、及Pp3皆相同。例如,功率位準Pp1等於功率位準Pp2,且功率位準Pp2等於功率位準Pp3。在一些實施例中,功率位準Pp1、Pp2、及Pp3其中至少二者不相等,且其餘功率位準相等。例如,功率位準Pp1不等於功率位準Pp2,而功率位準Pp2等於功率位準Pp3。如又另一範例,功率位準Pp2不等於功率位準Pp3,而功率位準Pp3等於功率位準Pp1。如另一範例,功率位準Pp1等於功率位 準Pp2,而功率位準Pp2不等於功率位準Pp3。在一些實施例中,功率位準Pp1、Pp2、及Pp3其中至少二者相等,且其餘功率位準不相等。 In various embodiments, the power levels Pp1, Pp2, and Pp3 are all the same. For example, the power level Pp1 is equal to the power level Pp2, and the power level Pp2 is equal to the power level Pp3. In some embodiments, at least two of the power levels Pp1, Pp2, and Pp3 are unequal and the remaining power levels are equal. For example, the power level Pp1 is not equal to the power level Pp2, and the power level Pp2 is equal to the power level Pp3. As yet another example, the power level Pp2 is not equal to the power level Pp3, and the power level Pp3 is equal to the power level Pp1. As another example, the power level Pp1 is equal to the power level Pp2, and the power level Pp2 is not equal to the power level Pp3. In some embodiments, at least two of the power levels Pp1, Pp2, and Pp3 are equal, and the remaining power levels are not equal.

同樣地,在一些實施例中,功率位準Ps1、Ps2、及Ps3皆相 同。在不同實施例中,功率位準Ps1、Ps2、及Ps3其中至少二者不相等,且其餘功率位準相等。在數個實施例中,功率位準Ps1、Ps2、及Ps3其中至少二者相等,且其餘功率位準不相等。 Similarly, in some embodiments, the power levels Ps1, Ps2, and Ps3 are all in phase. with. In various embodiments, at least two of the power levels Ps1, Ps2, and Ps3 are unequal and the remaining power levels are equal. In several embodiments, at least two of the power levels Ps1, Ps2, and Ps3 are equal, and the remaining power levels are not equal.

在一實施例中,頻率位準Fs1及功率位準Ps1係基於一訓練 例行工作而產生。於訓練例行工作期間,當x MHz RF產生器將其RF電力信號從低功率位準改變至高功率位準、或從低功率位準改變至高功率位準時,則在電漿腔室104內的一或更多部份與y MHz RF產生器之間會有阻抗不匹配。高功率位準係高於低功率位準。當供應至x MHz RF產生器之脈衝信號102的狀態從S3改變至S1時,則x MHz RF產生器改變其RF電力信號。在此情況下,當x MHz RF產生器開始在高功率位準或在低功率位準供應功率時,則y MHz RF產生器使其頻率及功率受到調諧。為降低阻抗不匹配,y MHz RF產生器開始調諧(例如轉換)至一頻率位準及一功率位準。 收斂的完成可由DSP 153基於一標準差或另一技術來決定。將x MHz RF產生器保持在高功率位準或低功率位準達到比一般時間周期更為延長之時間週期,以允許y MHz RF產生器更多時間來收斂至該頻率位準及該功率位準。一般時間週期為其中阻抗不匹配未降低(例如消除)之時間量。當y MHz RF產生器收斂至該頻率位準及該功率位準時,將該收斂之頻率位準儲存在AFT 138內作為頻率位準Fs1,並且將該收斂之功率位準儲存在電力控制器150內作為功率位準Ps1。同樣地,於訓練例行工作期間,產生頻率位準Fs2、Fs3、Fp1、Fp2、和Fp3、以及功率位準Ps2、Ps3、Pp1、Pp2、和Pp3。將頻率位準Fs2儲存在AFT 141中、頻率位準Fs3儲存在AFT 142中、頻率位準Fp1儲存在AFT 130中、頻率位準Fp2儲存在AFT 132中、頻率位準Fp3儲存在AFT 134中、功率位準Ps2儲存在電力控制器152中、功率位準Ps3儲存在電力控制器154中、功率位準Pp1儲存在電力控制器144中、功率位準Pp2儲存在電力控制器146、以及功率位準Pp3儲存在電力控制器148中。 In an embodiment, the frequency level Fs1 and the power level Ps1 are based on a training Generated by routine work. During the training routine, when the x MHz RF generator changes its RF power signal from a low power level to a high power level, or from a low power level to a high power level, then within the plasma chamber 104 There is an impedance mismatch between one or more parts and the y MHz RF generator. The high power level is higher than the low power level. When the state of the pulse signal 102 supplied to the x MHz RF generator changes from S3 to S1, the x MHz RF generator changes its RF power signal. In this case, when the x MHz RF generator begins to supply power at a high power level or at a low power level, the y MHz RF generator is tuned for its frequency and power. To reduce the impedance mismatch, the y MHz RF generator begins to tune (eg, convert) to a frequency level and a power level. The completion of the convergence can be determined by the DSP 153 based on a standard deviation or another technique. Maintaining the x MHz RF generator at a high power level or low power level for a longer period of time than the normal time period allows the y MHz RF generator to converge more time to the frequency level and the power level quasi. The general time period is the amount of time in which the impedance mismatch does not decrease (eg, cancel). When the y MHz RF generator converges to the frequency level and the power level, the convergence frequency level is stored in the AFT 138 as the frequency level Fs1, and the converged power level is stored in the power controller 150. Internal as the power level Ps1. Similarly, during the training routine, frequency levels Fs2, Fs3, Fp1, Fp2, and Fp3, and power levels Ps2, Ps3, Pp1, Pp2, and Pp3 are generated. The frequency level Fs2 is stored in the AFT 141, the frequency level Fs3 is stored in the AFT 142, the frequency level Fp1 is stored in the AFT 130, the frequency level Fp2 is stored in the AFT 132, and the frequency level Fp3 is stored in the AFT 134. The power level Ps2 is stored in the power controller 152, the power level Ps3 is stored in the power controller 154, the power level Pp1 is stored in the power controller 144, the power level Pp2 is stored in the power controller 146, and the power is stored. The level Pp3 is stored in the power controller 148.

當脈衝信號102的狀態為S1時,電力控制器144提供功率 位準Pp1至電源160,且電力控制器150提供功率位準Ps1至電源162。於狀態S1期間,AFT 130提供頻率位準Fp1至電源160,且AFT 138提供頻率位準Fs1至電源162。 When the state of the pulse signal 102 is S1, the power controller 144 provides power. The level Pp1 is to the power source 160, and the power controller 150 provides the power level Ps1 to the power source 162. During state S1, AFT 130 provides frequency level Fp1 to power supply 160, and AFT 138 provides frequency level Fs1 to power supply 162.

此外,在一實施例中,當脈衝信號102的狀態為S1時,電 力控制器146不供應功率位準Pp2至電源160,且電力控制器148不供應功率位準Pp3至電源160。又,在此實施例中,AFT 132不提供頻率位準Fp2至電源160,且AFT 134不提供頻率位準Fp3至電源160。又,當脈衝信號102的狀態S1時,電力控制器152不供應功率位準Ps2至電源162,且電力控制器154不供應功率位準Ps3至電源162。此外,AFT 141不提供頻率位準Fs2至電源162,且AFT142不提供頻率位準Fs3至電源162。在各種實施例中,不供應功率位準包括供應零功率位準。 Moreover, in an embodiment, when the state of the pulse signal 102 is S1, the electricity The force controller 146 does not supply the power level Pp2 to the power source 160, and the power controller 148 does not supply the power level Pp3 to the power source 160. Also, in this embodiment, the AFT 132 does not provide the frequency level Fp2 to the power source 160, and the AFT 134 does not provide the frequency level Fp3 to the power source 160. Also, when the state of the pulse signal 102 is S1, the power controller 152 does not supply the power level Ps2 to the power source 162, and the power controller 154 does not supply the power level Ps3 to the power source 162. In addition, the AFT 141 does not provide the frequency level Fs2 to the power source 162, and the AFT 142 does not provide the frequency level Fs3 to the power source 162. In various embodiments, not supplying power levels includes supplying zero power levels.

在一些實施例中,在一狀態期間,將該狀態之功率位準提供 至電源160,同時將該狀態之功率位準提供至電源162。例如,於狀態S1期間,將功率位準Pp1提供至電源160,同時將功率位準Ps1提供至電源162。 進一步說明,在狀態S1中,於脈衝信號102的相同時脈邊緣期間將功率位準Pp1提供至電源160,同樣於此期間將功率位準Ps1提供至電源162。 In some embodiments, the power level of the state is provided during a state To power supply 160, the power level of this state is provided to power supply 162. For example, during state S1, power level Pp1 is provided to power supply 160 while power level Ps1 is provided to power supply 162. Further, in state S1, power level Pp1 is provided to power supply 160 during the same clock edge of pulse signal 102, again during which power level Ps1 is provided to power supply 162.

同樣地,在不同實施例中,在一狀態期間,將該狀態之頻率 位準提供至電源160,同時將該狀態之頻率位準提供至電源162。例如,於狀態S1期間,將頻率位準Fp1提供至電源160,同時將頻率位準Fs1提供至電源162。進一步說明,在狀態S1中,於脈衝信號102的相同時脈邊緣期間將頻率位準Fp1提供至電源160,同樣於此期間將頻率位準Fs1提供至電源162。 Similarly, in different embodiments, the frequency of the state during a state The level is provided to power source 160 while the frequency level of the state is provided to power source 162. For example, during state S1, frequency level Fp1 is provided to power supply 160 while frequency level Fs1 is provided to power supply 162. Further, in state S1, frequency level Fp1 is provided to power supply 160 during the same clock edge of pulse signal 102, again during which frequency level Fs1 is provided to power supply 162.

在一些實施例中,在一狀態期間,將該狀態之功率位準及該 狀態之頻率位準提供至電源160,同時將該狀態之功率位準及該狀態之頻率位準提供至電源162。例如,於狀態S3期間,將頻率位準Fp3及功率位準Pp3同時提供至電源160,同時將頻率位準Fs3及功率位準Ps3提供至電源162。進一步說明,在狀態S1中,於脈衝信號102的相同時脈邊緣期間將頻率位準Fp3及功率位準Pp3提供至電源160,同樣於此期間將頻率位準Fs3及功率位準Ps3提供至電源162。 In some embodiments, during a state, the power level of the state and the The frequency level of the state is provided to the power source 160 while the power level of the state and the frequency level of the state are provided to the power source 162. For example, during the state S3, the frequency level Fp3 and the power level Pp3 are simultaneously supplied to the power source 160 while the frequency level Fs3 and the power level Ps3 are supplied to the power source 162. Further, in the state S1, the frequency level Fp3 and the power level Pp3 are supplied to the power source 160 during the same clock edge of the pulse signal 102, and the frequency level Fs3 and the power level Ps3 are also supplied to the power source during this period. 162.

在數個實施例中,在一狀態期間,由x MHz RF產生器的電 力控制器將一功率位準提供至x MHz RF產生器的電源160,並在幾乎同時間由y MHz RF產生器的電力控制器將一功率位準提供至y MHz RF產生器的電源162。例如,於狀態S1期間,將功率位準Pp1提供至電源160,並在幾乎同時間將功率位準Ps1提供至電源162。進一步說明,在狀態S1中,於出現脈衝信號102的時脈邊緣之前或之後的一秒鐘之一部分內(例如微秒、毫秒、奈秒等等),將功率位準Pp1提供至電源160。在此範例中,於出現時脈邊緣期間,將功率位準Ps1提供至電源162。 In several embodiments, the power of the x MHz RF generator during a state The force controller provides a power level to the power supply 160 of the x MHz RF generator and provides a power level to the power supply 162 of the y MHz RF generator by the power controller of the y MHz RF generator almost simultaneously. For example, during state S1, power level Pp1 is provided to power supply 160 and power level Ps1 is provided to power supply 162 at approximately the same time. Further, in state S1, power level Pp1 is provided to power supply 160 in one of a second (eg, microseconds, milliseconds, nanoseconds, etc.) before or after the occurrence of the clock edge of pulse signal 102. In this example, power level Ps1 is provided to power supply 162 during the occurrence of the clock edge.

同樣地,在一些實施例中,在一狀態期間,由x MHz RF產 生器的AFT將一頻率位準提供至x MHz RF產生器的電源160,並在幾乎同時間由y MHz RF產生器的AFT將一頻率位準提供至y MHz RF產生器的電源162。例如,於狀態S2期間,將頻率位準Fp2提供至電源160,並在幾乎同時間將頻率位準Fs2提供至電源162。進一步說明,在狀態S2中,於出現脈衝信號102的時脈邊緣之前或之後的一秒鐘之一部分內,將頻率位準Fp2提供至電源160。在此範例中,於出現時脈邊緣期間,將功率位準Fs2提供至電源162。 As such, in some embodiments, during a state, produced by x MHz RF The AFT of the generator provides a frequency level to the power supply 160 of the x MHz RF generator and provides a frequency level to the power supply 162 of the y MHz RF generator by the AFT of the y MHz RF generator almost simultaneously. For example, during state S2, frequency level Fp2 is provided to power supply 160 and frequency level Fs2 is provided to power supply 162 at approximately the same time. Further, in state S2, frequency level Fp2 is provided to power supply 160 in one of one second before or after the occurrence of the clock edge of pulse signal 102. In this example, power level Fs2 is provided to power supply 162 during the appearance of the clock edge.

同樣地,在不同實施例中,在一狀態期間,由x MHz RF產 生器的調諧器將一頻率位準提供至x MHz RF產生器的電源160、以及由x MHz RF產生器的電力控制器將一功率位準提供至x MHz RF產生器的電源160,並在幾乎同時間由y MHz RF產生器的調諧器將一頻率位準提供至y MHz RF產生器的電源162、以及由y MHz RF產生器的電力控制器將一功率位準提供至y MHz RF產生器的電源162。例如,於狀態S3期間,將頻率位準Fp3及功率位準Pp3提供至電源160,並在幾乎同時間將頻率位準Fs3及功率位準Ps3提供至電源162。進一步說明,在狀態S3中,於出現脈衝信號102的時脈邊緣之前或之後的一秒鐘之一部分內,將頻率位準Fp3及功率位準Pp3提供至電源160。在此範例中,於出現時脈邊緣期間,將功率位準Ps3及頻率位準Fs3提供至電源162。 Similarly, in different embodiments, during a state, produced by x MHz RF The tuner of the generator provides a frequency level to the power supply 160 of the x MHz RF generator, and a power level controller of the x MHz RF generator provides a power level to the power supply 160 of the x MHz RF generator, and Almost simultaneously, the y MHz RF generator's tuner provides a frequency level to the y MHz RF generator's power supply 162, and the y MHz RF generator's power controller provides a power level to the y MHz RF generation. Power supply 162. For example, during state S3, frequency level Fp3 and power level Pp3 are provided to power supply 160, and frequency level Fs3 and power level Ps3 are provided to power supply 162 at approximately the same time. Further, in state S3, frequency level Fp3 and power level Pp3 are provided to power supply 160 in one of one second before or after the occurrence of the clock edge of pulse signal 102. In this example, power level Ps3 and frequency level Fs3 are provided to power supply 162 during the occurrence of the clock edge.

於狀態S1期間,電源160接收頻率位準Fp1及功率位準 Pp1。在接收到位準Fp1及Pp1之後,電源160以頻率位準Fp1產生RF電 力,且該RF電力具有功率位準Pp1。此外,於狀態S1期間,電源162接收頻率位準Fs1和功率位準Ps1。在接收到位準Fs1及Ps1之後,y MHz RF產生器的電源162產生具有頻率位準Fs1及功率位準Ps1的RF信號。 During state S1, power supply 160 receives frequency level Fp1 and power level. Pp1. After receiving the levels Fp1 and Pp1, the power supply 160 generates RF power at the frequency level Fp1. Force, and the RF power has a power level Pp1. Further, during the state S1, the power source 162 receives the frequency level Fs1 and the power level Ps1. After receiving the levels Fs1 and Ps1, the power supply 162 of the y MHz RF generator generates an RF signal having a frequency level Fs1 and a power level Ps1.

此外,在一實施例中,當脈衝信號102的狀態為S2時,電 力控制器144不供應功率位準Pp1至電源160,且電力控制器148不供應功率位準Pp3至電源160。又,在此實施例中,AFT 130不提供頻率位準Fp1至電源160,且AFT 134不提供頻率位準Fp3至電源160。又,當脈衝信號102的狀態為S2時,電力控制器150不供應功率位準Ps1至電源162,且電力控制器154不供應功率位準Ps3至電源162。此外,於脈衝信號102的狀態S2期間,AFT 138不提供頻率位準Fs1至電源162,且AFT 142不提供頻率位準Fs3至電源162。 Moreover, in an embodiment, when the state of the pulse signal 102 is S2, the electricity The force controller 144 does not supply the power level Pp1 to the power source 160, and the power controller 148 does not supply the power level Pp3 to the power source 160. Also, in this embodiment, the AFT 130 does not provide the frequency level Fp1 to the power source 160, and the AFT 134 does not provide the frequency level Fp3 to the power source 160. Also, when the state of the pulse signal 102 is S2, the power controller 150 does not supply the power level Ps1 to the power source 162, and the power controller 154 does not supply the power level Ps3 to the power source 162. Moreover, during state S2 of pulse signal 102, AFT 138 does not provide frequency level Fs1 to power supply 162, and AFT 142 does not provide frequency level Fs3 to power supply 162.

此外,於狀態S2期間,電源160接收頻率位準Fp2及功率 位準Pp2。在接收到位準Fp2及Pp2之後,電源160以頻率位準Fp2產生RF電力,且該RF電力具有功率位準Pp2。此外,於狀態S2期間,電源162接收頻率位準Fs2及功率位準Ps2。在接收到位準Fs2及Ps2之後,y MHz RF產生器的電源162產生具有頻率位準Fs2及功率位準Ps2的RF信號。 In addition, during state S2, power supply 160 receives frequency level Fp2 and power. Level Pp2. After receiving the levels Fp2 and Pp2, the power source 160 generates RF power at the frequency level Fp2, and the RF power has a power level Pp2. Further, during the state S2, the power source 162 receives the frequency level Fs2 and the power level Ps2. After receiving the levels Fs2 and Ps2, the power supply 162 of the y MHz RF generator generates an RF signal having a frequency level Fs2 and a power level Ps2.

又,在一實施例中,當脈衝信號102的狀態為S3時,電力 控制器144不供應功率位準Pp1至電源160,且電力控制器146不供應功率位準Pp2至電源160。又,在此實施例中,AFT 130不提供頻率位準Fp1至電源160,且AFT 132不提供頻率位準Fp2至電源160。又,當脈衝信號102的狀態為S3時,電力控制器150不供應功率位準Ps1至電源162,且電力控制器152不供應功率位準Ps2電源162。此外,AFT 138不提供頻率位準Fs1至電源162,且AFT 141不提供頻率位準Fs2至電源162。 Also, in an embodiment, when the state of the pulse signal 102 is S3, the power The controller 144 does not supply the power level Pp1 to the power source 160, and the power controller 146 does not supply the power level Pp2 to the power source 160. Also, in this embodiment, the AFT 130 does not provide the frequency level Fp1 to the power source 160, and the AFT 132 does not provide the frequency level Fp2 to the power source 160. Also, when the state of the pulse signal 102 is S3, the power controller 150 does not supply the power level Ps1 to the power source 162, and the power controller 152 does not supply the power level Ps2 power source 162. In addition, the AFT 138 does not provide the frequency level Fs1 to the power source 162, and the AFT 141 does not provide the frequency level Fs2 to the power source 162.

此外,於狀態S3期間,電源160接收頻率位準Fp3及功率 位準Pp3。在接收到位準Fp3及Pp3之後,電源160產生具有頻率位準Fp3及RF功率位準Pp3的RF信號。此外,於狀態S3期間,電源162接收頻率位準Fs3及功率位準Ps3。在接收到位準Fs3及Ps3之後,y MHz RF產生器的電源162產生具有頻率位準Fs3及功率位準Ps3的RF信號。 In addition, during state S3, power supply 160 receives frequency level Fp3 and power. Level Pp3. After receiving the levels Fp3 and Pp3, the power supply 160 generates an RF signal having a frequency level Fp3 and an RF power level Pp3. Further, during the state S3, the power source 162 receives the frequency level Fs3 and the power level Ps3. After receiving the levels Fs3 and Ps3, the power supply 162 of the y MHz RF generator generates an RF signal having a frequency level Fs3 and a power level Ps3.

在一實施例中,在一狀態期間,對於其餘狀態不提供功率位 準至電源160係與對於其餘狀態不提供功率位準至電源162同時執行。例如,在狀態S1中,於脈衝信號102的相同邊緣期間,電力控制器146不提供功率位準至電源160,如同電力控制器152不提供功率位準至電源162。 如另一範例,在狀態S2中,於脈衝信號102的相同邊緣期間,電力控制器144及148不提供功率位準至電源160,如同電力控制器150及154不提供功率位準至電源162。如又另一範例,在狀態S3中,於脈衝信號102的相同邊緣期間,電力控制器144及146不提供功率位準至電源160,如同電力控制器150及152不提供功率位準至電源162。 In an embodiment, during a state, no power bits are provided for the remaining states. The power source 160 is connected to the power source 162 at the same time as the power level is not provided for the remaining states. For example, in state S1, during the same edge of pulse signal 102, power controller 146 does not provide a power level to power source 160, as power controller 152 does not provide a power level to power source 162. As another example, in state S2, during the same edge of pulse signal 102, power controllers 144 and 148 do not provide power levels to power source 160, as power controllers 150 and 154 do not provide power levels to power source 162. As yet another example, in state S3, during the same edge of pulse signal 102, power controllers 144 and 146 do not provide power levels to power source 160, as power controllers 150 and 152 do not provide power levels to power source 162. .

在一些實施例中,在一狀態期間,對於其餘狀態不提供頻率 位準至電源160係與對於其餘狀態不提供頻率位準至電源162同時執行。例如,在狀態S1中,於脈衝信號102的相同邊緣期間,AFT132不提供頻率位準至電源160,如同AFT 141不提供頻率位準至電源162。如另一範例,在狀態S2中,於脈衝信號102的相同邊緣期間,AFT 130及134不提供頻率位準至電源160,如同AFT 138及142不提供頻率位準至電源162。如又另一範例,在狀態S3中,於脈衝信號102的相同邊緣期間,AFT 130及132不提供頻率位準至電源160,如同AFT 138及141不提供頻率位準至電源162。 In some embodiments, no frequency is provided for the remaining states during a state The leveling to the power supply 160 is performed concurrently with the supply of the frequency level to the power supply 162 for the remaining states. For example, in state S1, during the same edge of pulse signal 102, AFT 132 does not provide a frequency level to power supply 160, as AFT 141 does not provide a frequency level to power supply 162. As another example, in state S2, during the same edge of pulse signal 102, AFTs 130 and 134 do not provide a frequency level to power supply 160, as AFT 138 and 142 do not provide a frequency level to power supply 162. As yet another example, in state S3, during the same edge of pulse signal 102, AFTs 130 and 132 do not provide a frequency level to power supply 160, as AFT 138 and 141 do not provide a frequency level to power supply 162.

在數個實施例中,在一狀態期間,對於其餘狀態不提供頻率 及功率位準至電源160係與對於其餘狀態不提供頻率及功率位準至電源162同時執行。例如,在狀態S1中,於脈衝信號102的相同邊緣期間,AFT 132不提供頻率位準至電源160、以及電力控制器146不提供功率位準至電源160,如同AFT 141不提供頻率位準至電源162、以及電力控制器152不提供功率位準至電源162。 In several embodiments, no frequency is provided for the remaining states during a state And the power level to the power supply 160 is performed concurrently with the frequency and power levels to the power supply 162 for the remaining states. For example, in state S1, during the same edge of pulse signal 102, AFT 132 does not provide a frequency level to power supply 160, and power controller 146 does not provide a power level to power supply 160, as AFT 141 does not provide a frequency level to Power source 162, and power controller 152 do not provide power levels to power source 162.

在一些實施例中,在一狀態期間,對於其餘狀態不提供功率 位準至電源160係與對於其餘狀態不提供功率位準至電源162幾乎在同一時間執行。在不同實施例中,在一狀態期間,對於其餘狀態不提供頻率位準至電源160係與對於其餘狀態不提供頻率位準至電源162幾乎在同一時間執行。在數個實施例中,在一狀態期間,對於其餘狀態不提供頻率及功率位準至電源160係與對於其餘狀態不提供頻率及功率位準至電源162幾乎在同 一時間執行。 In some embodiments, no power is provided for the remaining states during a state The leveling to the power supply 160 is performed at substantially the same time as the power level is not provided to the remaining states to the power supply 162. In various embodiments, during a state, no frequency level is provided for the remaining states to the power supply 160 and no frequency level is provided for the remaining states to the power supply 162 for substantially the same time. In several embodiments, during a state, no frequency and power levels are provided for the remaining states to the power supply 160, and no frequency and power levels are provided for the remaining states to the power supply 162. Execute at a time.

在一些實施例中,電源(例如RF電源等等)包括耦合至放 大器之驅動器。驅動器產生RF信號。放大器將RF信號放大,並將RF信號之正向功率經由RF電纜、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。例如,於狀態S1期間,電源160之放大器將正向功率(具有與功率位準Pp1成比例(例如相同、倍數等等)之功率位準、並且具有頻率位準Fp1)經由RF電纜180、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。在此範例中,於狀態S1期間,電源162之放大器將正向功率(具有與功率位準Ps1成比例之功率位準、並且具有頻率位準Fs1)經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。 In some embodiments, the power source (eg, RF power source, etc.) includes coupling to The drive of the big device. The driver generates an RF signal. The amplifier amplifies the RF signal and supplies the forward power of the RF signal to the plasma chamber 104 via the RF cable, impedance matching circuit 106, and RF transmission line 184. For example, during state S1, the amplifier of power supply 160 will have forward power (having a power level proportional to power level Pp1 (eg, the same, multiple, etc.) and having frequency level Fp1) via RF cable 180, impedance The matching circuit 106 and the RF transmission line 184 are supplied to the plasma chamber 104. In this example, during state S1, the amplifier of power supply 162 will pass forward power (having a power level proportional to power level Ps1 and having frequency level Fs1) via RF cable 182, impedance matching circuit 106, and The RF transmission line 184 is supplied to the plasma chamber 104.

如另一範例,於狀態S2期間,電源160之放大器將正向功 率(具有與功率位準Pp2成比例(例如相同、倍數等等)之功率位準,並且具有頻率位準Fp2)經由RF電纜180、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。在此範例中,於狀態S2期間,電源162之放大器將正向功率(具有與功率位準Ps2成比例之功率位準、並且具有頻率位準Fs2)經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。如又另一範例,於狀態S3期間,電源160之放大器將正向功率(具有與功率位準Pp3成比例(例如相同、倍數等等)之功率位準、並且具有頻率位準Fp3)經由RF電纜180、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。在此範例中,於狀態S3期間,電源162之放大器將正向功率(具有與功率位準Ps3成比例之功率位準、並且具有頻率位準Fs3)經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。 As another example, during state S2, the amplifier of power supply 160 will have positive work. Rate (having a power level proportional to power level Pp2 (eg, the same, multiple, etc.) and having frequency level Fp2) is supplied to the plasma chamber via RF cable 180, impedance matching circuit 106, and RF transmission line 184 104. In this example, during state S2, the amplifier of power supply 162 will have forward power (having a power level proportional to power level Ps2 and having frequency level Fs2) via RF cable 182, impedance matching circuit 106, and The RF transmission line 184 is supplied to the plasma chamber 104. As yet another example, during state S3, the amplifier of power supply 160 will have forward power (having a power level proportional to power level Pp3 (eg, the same, multiple, etc.) and having a frequency level Fp3) via RF Cable 180, impedance matching circuit 106, and RF transmission line 184 are supplied to plasma chamber 104. In this example, during state S3, the amplifier of power supply 162 will have forward power (having a power level proportional to power level Ps3 and having frequency level Fs3) via RF cable 182, impedance matching circuit 106, and The RF transmission line 184 is supplied to the plasma chamber 104.

在一實施例中,於狀態S1、S2、及S3各個期間,x MHz RF 產生器之感測器210感測反射功率,此反射功率為在RF電纜180上、自電漿腔室104的電漿所反射之RF功率。此外,於狀態S1、S2、及S3各個期間,當正向功率從x MHz RF產生器經由RF電纜180傳送到電漿腔室104時,感測器210在RF電纜180上感測正向功率。同樣地,於狀態S1、S2、及S3各個期間,y MHz RF產生器之感測器212感測自電漿腔室104的電漿所反射之功率。感測器212所感測之反射功率係從電漿腔室104的電漿反射 在RF電纜182上。此外,於狀態S1、S2、及S3各個期間,當正向功率從y MHz RF產生器經由RF電纜182傳送至電漿腔室104時,感測器212在RF電纜182上感測正向功率。 In one embodiment, during each of states S1, S2, and S3, x MHz RF The sensor 210 of the generator senses the reflected power, which is the RF power reflected from the plasma of the plasma chamber 104 on the RF cable 180. Moreover, during each of the states S1, S2, and S3, the sensor 210 senses the forward power on the RF cable 180 as the forward power is transferred from the x MHz RF generator to the plasma chamber 104 via the RF cable 180. . Similarly, during each of states S1, S2, and S3, the sensor 212 of the y MHz RF generator senses the power reflected from the plasma of the plasma chamber 104. The reflected power sensed by the sensor 212 is reflected from the plasma of the plasma chamber 104. On the RF cable 182. Moreover, during each of states S1, S2, and S3, sensor 212 senses forward power on RF cable 182 as forward power is transferred from y MHz RF generator to plasma chamber 104 via RF cable 182. .

x MHz RF產生器之類比數位轉換器(ADC)221將感測器 210所感測之反射功率信號及正向功率信號從類比形式轉換成數位形成,以及y MHz RF產生器之ADC 223將感測器212所感測之反射功率信號及正向功率信號從類比形式轉換成數位形成。於狀態S1、S2、及S3各個期間,DSP 140接收感測器210所感測之反射功率信號的數位值(例如振幅、相位、或其組合等等)和正向功率信號的數位值,以及DSP 153接收感測器212所感測之反射功率信號的數位值和正向功率信號的數位值。 An analog analog converter (ADC) 221 for x MHz RF generators The reflected power signal and the forward power signal sensed by 210 are converted from an analog form to a digital form, and the ADC 223 of the y MHz RF generator converts the reflected power signal and the forward power signal sensed by the sensor 212 from an analog form to an analog form. Digital formation. During each of the states S1, S2, and S3, the DSP 140 receives the digital value (eg, amplitude, phase, or a combination thereof, etc.) of the reflected power signal sensed by the sensor 210 and the digital value of the forward power signal, and the DSP 153 The digital value of the reflected power signal sensed by the sensor 212 and the digital value of the forward power signal are received.

在一些實施例中,功率信號的數位值為功率信號之電壓、該 信號之電流、或電壓及電流之組合。在不同實施例中,信號的數位值包括信號的振幅及信號的相位。 In some embodiments, the digit value of the power signal is the voltage of the power signal, The current of the signal, or a combination of voltage and current. In various embodiments, the digital value of the signal includes the amplitude of the signal and the phase of the signal.

於狀態S1、S2、及S3其中之一或全部期間,DSP 140由RF 電纜180上之正向及反射功率信號的數位值計算出參數值(例如數位反射功率信號及數位正向功率信號之比率、或電壓駐波比率(VSWR,voltage standing wave ratio)、或伽瑪值(gamma value)、或阻抗變化等等)。在一些實施例中,伽瑪值為1表示來源與負載的阻抗之間的高度不匹配,以及伽瑪值為0表示來源與負載的阻抗之間的低度不匹配。同樣地,DSP 153由RF電纜182上之正向及反射功率信號的數位值計算出參數值。在各種實施例中,VSWR係計算成等於RC-1和RC+1的比率,其中RC為反射係數。 During one or all of states S1, S2, and S3, DSP 140 is RF The value of the forward and reflected power signals on the cable 180 calculates a parameter value (eg, the ratio of the digital reflected power signal and the digital forward power signal, or the voltage standing wave ratio (VSWR), or the gamma value). (gamma value), or impedance change, etc.). In some embodiments, a gamma value of 1 indicates a height mismatch between the source and the impedance of the load, and a gamma value of 0 indicates a low degree mismatch between the source and the impedance of the load. Similarly, DSP 153 calculates the parameter values from the digital values of the forward and reflected power signals on RF cable 182. In various embodiments, the VSWR is calculated to be equal to the ratio of RC-1 and RC+1, where RC is the reflection coefficient.

在一些實施例中,RF產生器之感測器為一電壓及電流探測 器,該探測器測量複數電流及複數電壓,該等複數電流及複數電壓經由RF電纜而在RF產生器與阻抗匹配電路106之間傳送。例如,感測器210為一電壓及電流探測器,該探測器測量其在x MHz RF產生器與阻抗匹配電路106之間經由RF電纜180所傳送之複數電壓及複數電流。如另一範例,感測器212為一電壓及電流探測器,該探測器測量其在y MHz RF產生器與阻抗匹配電路106之間經由RF電纜182所傳送之複數電壓及複數電流。在這些實施例中,由感測器所測量之參數值包括電漿之阻抗、或電漿之阻抗變化。 電漿之阻抗係由感測器所決定,如複數電壓對複數電流的比率。阻抗變化係由二電漿阻抗之間隨時間的差所決定。在一些實施例中,參數值係由RF產生器的AFT、電力控制器、或DSP所決定。 In some embodiments, the RF generator sensor is a voltage and current probe The detector measures a complex current and a complex voltage that are transmitted between the RF generator and the impedance matching circuit 106 via an RF cable. For example, sensor 210 is a voltage and current detector that measures the complex voltage and complex current that it transmits between the x MHz RF generator and impedance matching circuit 106 via RF cable 180. As another example, sensor 212 is a voltage and current detector that measures the complex voltage and complex current transmitted between y MHz RF generator and impedance matching circuit 106 via RF cable 182. In these embodiments, the parameter values measured by the sensor include impedance of the plasma, or impedance change of the plasma. The impedance of the plasma is determined by the sensor, such as the ratio of the complex voltage to the complex current. The impedance change is determined by the difference in time between the two plasma impedances. In some embodiments, the parameter values are determined by the RF generator's AFT, power controller, or DSP.

一狀態之參數值係由RF產生器的DSP傳送至該RF產生器 內與此狀態相關之AFT。舉例而言,於狀態S1期間所獲得之參數值係由DSP 140傳送至AFT 130,以及於狀態S1期間所獲得之參數值係由DSP 153傳送至AFT 138。如另一範例,於狀態S2期間所獲得之參數值係由DSP 140傳送至AFT 132,以及於狀態S2期間所獲得之參數值係由DSP 153傳送至AFT 141。如又另一範例,於狀態S3期間所獲得之參數值係由DSP 140傳送至AFT 134,以及於狀態S3期間所獲得之參數值係由DSP 153傳送至AFT 142。 The parameter value of a state is transmitted to the RF generator by the DSP of the RF generator The AFT associated with this state. For example, the parameter values obtained during state S1 are transmitted by DSP 140 to AFT 130, and the parameter values obtained during state S1 are transmitted by DSP 153 to AFT 138. As another example, the parameter values obtained during state S2 are transmitted by DSP 140 to AFT 132, and the parameter values obtained during state S2 are transmitted by DSP 153 to AFT 141. As yet another example, the parameter values obtained during state S3 are transmitted by DSP 140 to AFT 134, and the parameter values obtained during state S3 are transmitted by DSP 153 to AFT 142.

在一狀態期間,RF產生器之AFT自該RF產生器之DSP接 收一參數值,並且於此狀態期間,該AFT決定一與所接收之參數值相關的頻率位準。舉例而言,於狀態S1期間,AFT 130決定一與狀態S1期間自DSP 140所接收之參數值相關的頻率位準,以及AFT 138基於狀態S1期間自DSP 153所接收之參數值來決定一頻率位準。如另一範例,於狀態S2期間,AFT 132決定一與狀態S2期間自DSP 140所接收之參數值對應的頻率位準,以及AFT 141基於狀態S2期間自DSP 153所接收之參數值來決定一頻率位準。如又另一範例,於狀態S3期間,AFT 134決定一與狀態S3期間自DSP 140所接收之參數值相關的頻率位準,以及AFT 142基於狀態S3期間自DSP 153所接收之參數值來決定一頻率位準。 During a state, the AFT of the RF generator is connected to the DSP of the RF generator. A parameter value is received, and during this state, the AFT determines a frequency level associated with the received parameter value. For example, during state S1, AFT 130 determines a frequency level associated with the parameter value received from DSP 140 during state S1, and AFT 138 determines a frequency based on the parameter value received from DSP 153 during state S1. Level. As another example, during state S2, AFT 132 determines a frequency level corresponding to the parameter value received from DSP 140 during state S2, and AFT 141 determines a value based on the parameter value received from DSP 153 during state S2. Frequency level. As yet another example, during state S3, AFT 134 determines a frequency level associated with the parameter value received from DSP 140 during state S3, and AFT 142 determines based on the parameter value received from DSP 153 during state S3. A frequency level.

應注意到介於參數值與頻率位準之間的相關性(例如對應、 映射、連結等等)係已預定並儲存在AFT內。同樣地,在一些實施例中,介於參數值與功率位準之間的相關性係已預定並儲存在電力控制器內。 It should be noted that there is a correlation between the parameter value and the frequency level (eg correspondence, Maps, links, etc.) are scheduled and stored in the AFT. As such, in some embodiments, the correlation between the parameter value and the power level is predetermined and stored within the power controller.

此外,在一狀態期間,RF產生器的AFT基於自該狀態的參 數值所產生之頻率位準來調整頻率位準,並提供所調整之頻率位準至RF產生器的電源。舉例而言,於狀態S1期間,AFT 130基於與由DSP 140針對狀態S1所產生之參數值相關的頻率位準來調整頻率位準Fp1,並提供所調整之頻率位準至電源160。此外,在此範例中,於狀態S1期間,AFT 138 基於與由DSP 153針對狀態S1所產生之參數值對應的頻率位準來調整頻率位準Fs1,並提供所調整之頻率位準至電源162。如另一範例,於狀態S2期間,AFT 132基於與由DSP 140針對狀態S2所產生之參數值相關的頻率位準來調整頻率位準Fp2,並提供所調整之頻率位準至電源160。此外,在此範例中,於狀態S2期間,AFT 141基於與由DSP 153針對狀態S2所產生之參數值對應的頻率位準來調整頻率位準Fs2,並提供所調整之頻率位準至電源162。如又另一範例,於狀態S3期間,AFT 134基於與由DSP 140針對狀態S3所產生之參數值相關的頻率位準來調整頻率位準Fp3,並提供所調整之頻率位準至電源160。此外,在此範例中,於狀態S3期間,AFT 142基於與由DSP 153針對狀態S3所產生之參數值對應的頻率位準來調整頻率位準Fs3,並提供所調整之頻率位準至電源162。 In addition, during a state, the AFT of the RF generator is based on the parameters from that state. The frequency level generated by the value adjusts the frequency level and provides the adjusted frequency level to the RF generator. For example, during state S1, AFT 130 adjusts frequency level Fp1 based on the frequency level associated with the parameter value generated by DSP 140 for state S1 and provides the adjusted frequency level to power source 160. Also, in this example, during state S1, AFT 138 The frequency level Fs1 is adjusted based on the frequency level corresponding to the parameter value generated by the DSP 153 for the state S1, and the adjusted frequency level is provided to the power source 162. As another example, during state S2, AFT 132 adjusts frequency level Fp2 based on the frequency level associated with the parameter value generated by DSP 140 for state S2 and provides the adjusted frequency level to power source 160. Moreover, in this example, during state S2, AFT 141 adjusts frequency level Fs2 based on the frequency level corresponding to the parameter value generated by DSP 153 for state S2, and provides the adjusted frequency level to power source 162. . As yet another example, during state S3, AFT 134 adjusts frequency level Fp3 based on the frequency level associated with the parameter value generated by DSP 140 for state S3 and provides the adjusted frequency level to power source 160. Moreover, in this example, during state S3, AFT 142 adjusts frequency level Fs3 based on the frequency level corresponding to the parameter value generated by DSP 153 for state S3, and provides the adjusted frequency level to power source 162. .

此外,在一狀態期間,RF產生器的電力控制器基於自RF 產生器的DSP所接收之參數值來決定功率位準。舉例而言,於狀態S1期間,電力控制器144基於自DSP 140所接收之參數值來決定功率位準,以及電力控制器150基於自DSP 153所接收之參數值來決定功率位準。如另一範例,於狀態S2期間,電力控制器146基於自DSP 140所接收之參數值來決定功率位準,以及電力控制器152基於自DSP 153所接收之參數值來決定功率位準。如又另一範例,於狀態S3期間,電力控制器148基於自DSP 140所接收之參數值來決定功率位準,以及電力控制器154基於自DSP 153所接收之參數值來決定功率位準。 In addition, during a state, the power controller of the RF generator is based on self-RF The value of the parameter received by the generator's DSP determines the power level. For example, during state S1, power controller 144 determines the power level based on the parameter values received from DSP 140, and power controller 150 determines the power level based on the parameter values received from DSP 153. As another example, during state S2, power controller 146 determines the power level based on the parameter values received from DSP 140, and power controller 152 determines the power level based on the parameter values received from DSP 153. As yet another example, during state S3, power controller 148 determines the power level based on the parameter values received from DSP 140, and power controller 154 determines the power level based on the parameter values received from DSP 153.

此外,在一狀態期間,RF產生器的電力控制器基於根據一 參數值所產生之功率位準來調整RF產生器之電源的功率位準,並提供所調整之功率位準至電源。舉例而言,於狀態S1期間,電力控制器144基於針對狀態S1自一參數值所產生之功率位準來調整功率位準Pp1,並提供所調整之功率位準至電源160。在此範例中,於狀態S1期間,電力控制器150基於針對狀態S1自一參數值所產生之功率位準來調整功率位準Ps1,並提供所調整之功率位準至電源162。如另一範例,於狀態S2期間,電力控制器146基於針對狀態S2自一參數值所產生之功率位準來調整功率位準Pp2,並提供所調整之功率位準至電源160。在此範例中,於狀態S2期間, 電力控制器152基於針對狀態S2自一參數值所產生之功率位準來調整功率位準Ps2,並提供所調整之功率位準至電源162。如又另一範例,於狀態S3期間,電力控制器148基於針對狀態S3自一參數值所產生之功率位準來調整功率位準Pp3,並提供所調整之功率位準至電源160。在此範例中,於狀態S3期間,電力控制器154基於針對狀態S3自一參數值所產生之功率位準來調整功率位準Ps3,並提供所調整之功率位準至電源162。 In addition, during a state, the power controller of the RF generator is based on one The power level generated by the parameter value adjusts the power level of the RF generator's power supply and provides the adjusted power level to the power supply. For example, during state S1, power controller 144 adjusts power level Pp1 based on the power level generated from a parameter value for state S1 and provides the adjusted power level to power source 160. In this example, during state S1, power controller 150 adjusts power level Ps1 based on the power level generated from a parameter value for state S1 and provides the adjusted power level to power source 162. As another example, during state S2, power controller 146 adjusts power level Pp2 based on the power level generated from a parameter value for state S2 and provides the adjusted power level to power source 160. In this example, during state S2, The power controller 152 adjusts the power level Ps2 based on the power level generated from a parameter value for state S2 and provides the adjusted power level to the power source 162. As yet another example, during state S3, power controller 148 adjusts power level Pp3 based on the power level generated from a parameter value for state S3 and provides the adjusted power level to power source 160. In this example, during state S3, power controller 154 adjusts power level Ps3 based on the power level generated from a parameter value for state S3 and provides the adjusted power level to power source 162.

在一狀態期間,RF產生器的電源產生一功率RF信號,該 功率RF信號具有自RF產生器的AFT所接收之針對該狀態所調整的頻率位準、以及具有自RF產生器的電力控制器所接收之針對該狀態所調整的功率位準,並將此功率信號經由對應的RF電纜、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。舉例而言,於狀態S1期間,電源160產生一功率信號,此功率信號具有自AFT 130所接收之調整的頻率位準、以及具有自電力控制器144所接收之調整的功率位準,並將此功率信號經由RF電纜180、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。同樣地,在此範例中,於狀態S1期間,電源162產生一功率信號,此功率信號具有自AFT 138所接收之調整的頻率位準、以及具有自電力控制器150所接收之調整的功率位準,並將此功率信號經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。 During a state, the power of the RF generator generates a power RF signal, which The power RF signal has a frequency level adjusted for the state received by the AFT of the RF generator, and a power level adjusted for the state received by the power controller of the RF generator, and the power is adjusted Signals are supplied to the plasma chamber 104 via corresponding RF cables, impedance matching circuits 106, and RF transmission lines 184. For example, during state S1, power supply 160 generates a power signal having an adjusted frequency level received from AFT 130 and having an adjusted power level received from power controller 144, and This power signal is supplied to the plasma chamber 104 via the RF cable 180, the impedance matching circuit 106, and the RF transmission line 184. Similarly, in this example, during state S1, power supply 162 generates a power signal having an adjusted frequency level received from AFT 138 and having adjusted power levels received from power controller 150. The power signal is supplied to the plasma chamber 104 via the RF cable 182, the impedance matching circuit 106, and the RF transmission line 184.

如另一範例,於狀態S2期間,電源160產生一功率信號, 此功率信號具有自AFT 132所接收之調整的頻率位準、以及具有自電力控制器146所接收之調整的功率位準,並將此功率信號經由RF電纜180、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。同樣地,在此範例中,於狀態S2期間,電源162產生一功率信號,此功率信號具有自AFT 141所接收之調整的頻率位準、以及具有自電力控制器152所接收之調整的功率位準,並將此功率信號經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。 As another example, during state S2, power source 160 generates a power signal, The power signal has an adjusted frequency level received from the AFT 132 and an adjusted power level received from the power controller 146, and the power signal is passed through the RF cable 180, the impedance matching circuit 106, and the RF transmission line. 184 is supplied to the plasma chamber 104. Similarly, in this example, during state S2, power supply 162 generates a power signal having an adjusted frequency level received from AFT 141 and having adjusted power levels received from power controller 152. The power signal is supplied to the plasma chamber 104 via the RF cable 182, the impedance matching circuit 106, and the RF transmission line 184.

如又另一範例,於狀態S3期間,電源160產生一功率信號, 此功率信號具有自AFT 134所接收之調整的頻率位準、以及具有自電力控制器148所接收之調整的功率位準,並將此功率信號經由RF電續180、阻 抗匹配電路106、及RF傳輸線184供應至電漿腔室104。同樣地,在此範例中,於狀態S3期間,電源162產生一功率信號,此功率信號具有自AFT 142所接收之調整的頻率位準、以及具有自電力控制器154所接收之調整的功率位準,並將此功率信號經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。 As yet another example, during state S3, power source 160 generates a power signal, The power signal has an adjusted frequency level received from the AFT 134 and has an adjusted power level received from the power controller 148, and the power signal is continued through the RF power. The anti-matching circuit 106, and the RF transmission line 184 are supplied to the plasma chamber 104. Similarly, in this example, during state S3, power supply 162 generates a power signal having an adjusted frequency level received from AFT 142 and having adjusted power levels received from power controller 154. The power signal is supplied to the plasma chamber 104 via the RF cable 182, the impedance matching circuit 106, and the RF transmission line 184.

在一實施例中,使用單一控制器代替電力控制器144和AFT 130、使用單一控制器代替電力控制器146和AFT 132、以及使用單一控制器代替電力控制器148和AFT 134。在一些實施例中,使用單一控制器代替電力控制器150和AFT 138、使用單一控制器代替電力控制器152和AFT 141、以及使用單一控制器代替電力控制器154和AFT 142。 In an embodiment, a single controller is used in place of the power controller 144 and the AFT. 130. A single controller is used in place of power controller 146 and AFT 132, and a single controller is used in place of power controller 148 and AFT 134. In some embodiments, a single controller is used in place of power controller 150 and AFT 138, a single controller is used in place of power controller 152 and AFT 141, and a single controller is used in place of power controller 154 and AFT 142.

在一些實施例中,系統100中除了x及y MHz RF產生器以 外,還使用z MHz RF產生器。當x MHz RF產生器為2MHz RF產生器且y MHz RF產生器為27MHz RF產生器時,z MHz RF產生器可為60MHz RF產生器。zMHz RF產生器具有與x或y MHz RF產生器類似的結構、並且具有與x或y MHz RF產生器和x或y MHz RF產生器外部之系統100的元件連接之類似連接。例如,zMHz RF產生器包括三電力控制器、三AFT、一DSP、一ADC、一感測器、及一電源。如另一範例,zMHz RF產生器的DSP與工具UI 151耦合,以接收脈衝信號102。如另一範例,z MHz RF產生器的電源係經由RF電纜(未顯示)、阻抗匹配電路106、及RF傳輸線184而耦合至電漿腔室104的下電極120。 In some embodiments, in addition to the x and y MHz RF generators in system 100 In addition, a z MHz RF generator is used. When the x MHz RF generator is a 2 MHz RF generator and the y MHz RF generator is a 27 MHz RF generator, the z MHz RF generator can be a 60 MHz RF generator. The zMHz RF generator has a similar structure to an x or y MHz RF generator and has a similar connection to the components of the system 100 external to the x or y MHz RF generator and x or y MHz RF generator. For example, the zMHz RF generator includes a three power controller, a three AFT, a DSP, an ADC, a sensor, and a power supply. As another example, the DSP of the zMHz RF generator is coupled to the tool UI 151 to receive the pulse signal 102. As another example, the power to the z MHz RF generator is coupled to the lower electrode 120 of the plasma chamber 104 via an RF cable (not shown), an impedance matching circuit 106, and an RF transmission line 184.

應注意到於此所述之實施例使用了三個狀態加以描述。但是 在一些實施例中,可使用多於三個狀態。 It should be noted that the embodiments described herein are described using three states. but In some embodiments, more than three states can be used.

圖2係顯示狀態S1、S2、及S3之圖表190的實施例。圖表190繪示了功率對時間t。狀態S1、S2、或S3之每一者與一邏輯位準相關。例如,狀態S1具有高邏輯位準、狀態S2具有中邏輯位準、以及狀態S3具有低邏輯位準。高邏輯位準「c」具有比中邏輯位準「b」更高的功率位準,中邏輯位準「b」具有比低邏輯位準「a」更高的功率位準。如範例,狀態S1具有低、中、或高邏輯位準。如範例,狀態S2具有低、中、或高邏輯位準。如範例,狀態S3具有低、中、或高邏輯位準。在一些實施例中,狀態 S1、S2、及S3表示一步階函數。 2 is an embodiment showing a chart 190 of states S1, S2, and S3. Graph 190 depicts power vs. time t. Each of the states S1, S2, or S3 is associated with a logic level. For example, state S1 has a high logic level, state S2 has a medium logic level, and state S3 has a low logic level. The high logic level "c" has a higher power level than the medium logic level "b", and the medium logic level "b" has a higher power level than the low logic level "a". As an example, state S1 has a low, medium, or high logic level. As an example, state S2 has a low, medium, or high logic level. As an example, state S3 has a low, medium, or high logic level. In some embodiments, the state S1, S2, and S3 represent a stepwise function.

狀態S1、S2、或S3之每一者持續相等時期。例如,狀態S1 出現的時期T1與狀態S2出現的時期T2或狀態S3出現的時期T3相同。在一些實施例中,一狀態相較於其餘狀態其中一或多者持續不等時間。例如,狀態S1與狀態S2持續不同時期,且狀態S2與狀態S3持續不同時期。在此範例中,狀態S3的時期可與狀態S1的時期相同或不同。如另一範例,狀態S1持續比狀態S2更長的時期,而狀態S2持續比狀態S3更短的時期。 Each of the states S1, S2, or S3 continues for an equal period. For example, state S1 The period T1 that occurs is the same as the period T2 in which the state S2 occurs or the period T3 in which the state S3 appears. In some embodiments, one state continues for unequal time compared to one or more of the remaining states. For example, state S1 and state S2 continue for different periods, and state S2 and state S3 continue for different periods. In this example, the period of state S3 may be the same as or different from the period of state S1. As another example, state S1 continues for a longer period than state S2, while state S2 continues for a shorter period than state S3.

圖3係圖表201之實施例的線圖,圖表201顯示不同狀態之 不同時期。圖表201繪示了功率對時間。狀態S1及S2出現達相同時期,而狀態S3出現的時期與狀態S2或S3的時期不同。例如,狀態S1出現達時期t1、狀態S2出現達時期t2、及狀態S3出現達時期t3。時期t3比時期t1或t2更長。 3 is a line diagram of an embodiment of a chart 201 showing different states At different times. Graph 201 illustrates power versus time. The states S1 and S2 appear for the same period, and the period in which the state S3 occurs is different from the period of the state S2 or S3. For example, the state S1 occurs for a period t1, the state S2 for a period t2, and the state S3 for a period t3. The period t3 is longer than the period t1 or t2.

在一些實施例中,狀態S1、S2、及S3其中任二者出現達相 同時期,並且其餘狀態出現達不同時期。例如,狀態S1的出現與狀態S3的出現達相同時期,而狀態S1出現的時期與狀態S2出現的時期不同。如另一範例,狀態S2的出現與狀態S3的出現達相同時期,而狀態S2出現的時期與狀態S1出現的時期不同。 In some embodiments, any of the states S1, S2, and S3 appear to reach phase At the same time, and the rest of the state appears for different periods. For example, the occurrence of state S1 is the same as the occurrence of state S3, and the period in which state S1 occurs is different from the period in which state S2 occurs. As another example, the occurrence of state S2 is the same as the occurrence of state S3, while the period in which state S2 occurs is different from the period in which state S1 occurs.

圖4為系統210之實施例的示意圖,系統210於生產期間基 於脈衝信號102的狀態而選擇AFT 220、222、或224其中一者。系統210包括選擇邏輯226、AFT 220、222、及224、數位時脈源228、電漿腔室104、阻抗匹配電路106、及電源232。 4 is a schematic diagram of an embodiment of system 210 with system 210 during production One of the AFTs 220, 222, or 224 is selected in the state of the pulse signal 102. System 210 includes selection logic 226, AFTs 220, 222, and 224, digital clock source 228, plasma chamber 104, impedance matching circuit 106, and power supply 232.

選擇邏輯226、AFT 220、222、及224、及電源232係實施 在x MHz RF產生器或y MHz RF產生器之內。當AFT 220、222、及224實施在x MHz RF產生器之內時,則AFT 220為AFT 130的範例、AFT 222為AFT 132的範例、AFT 224為AFT 134的範例、以及電源232為電源160的範例(圖1)。同樣地,當AFT 220、222、及224實施在y MHz RF產生器之內時,則AFT 220為AFT 138的範例、AFT 222為AFT 141的範例、AFT 224為AFT 142的範例、以及電源232為電源162的範例(圖1)。 Selection logic 226, AFT 220, 222, and 224, and power supply 232 implementation Within the x MHz RF generator or y MHz RF generator. When AFTs 220, 222, and 224 are implemented within an x MHz RF generator, then AFT 220 is an example of AFT 130, AFT 222 is an example of AFT 132, AFT 224 is an example of AFT 134, and power supply 232 is power supply 160. Example (Figure 1). Similarly, when AFTs 220, 222, and 224 are implemented within a y MHz RF generator, then AFT 220 is an example of AFT 138, AFT 222 is an example of AFT 141, AFT 224 is an example of AFT 142, and power supply 232 An example of a power supply 162 (Figure 1).

選擇邏輯226的範例包括多工器。當選擇邏輯226包括多工 器時,於多工器之選擇輸入處接收脈衝信號102。 Examples of selection logic 226 include multiplexers. When the selection logic 226 includes multiplexing The pulse signal 102 is received at the select input of the multiplexer.

在不同實施例中,選擇邏輯226包括處理器。在一實施例中,選擇邏輯226係實施在DSP 140或DSP 153之內。 In various embodiments, selection logic 226 includes a processor. In an embodiment, selection logic 226 is implemented within DSP 140 or DSP 153.

數位時脈源228係用以操作電源232,以使電源232與數位時脈源228所產生之數位時脈信號同步。在一些實施例中,數位時脈信號與脈衝信號102同步。例如,數位時脈信號具有與脈衝信號102相同的相位。如另一範例,數位時脈信號的相位係於脈衝信號102之相位的預定相位範圍之內。為說明預定相位範圍之應用,時脈源228之數位時脈信號的前緣為脈衝信號102的前緣之後或之前的一秒鐘之一部分。 The digital clock source 228 is used to operate the power source 232 to synchronize the power source 232 with the digital clock signal generated by the digital clock source 228. In some embodiments, the digital clock signal is synchronized with the pulse signal 102. For example, the digital clock signal has the same phase as the pulse signal 102. As another example, the phase of the digital clock signal is within a predetermined phase range of the phase of the pulse signal 102. To account for the application of the predetermined phase range, the leading edge of the digital clock signal of clock source 228 is part of one second after or before the leading edge of pulse signal 102.

在一實施例中,將脈衝信號102提供至電源232以代替來自時脈源228的數位時脈信號。 In one embodiment, pulse signal 102 is provided to power source 232 in place of the digital clock signal from clock source 228.

當脈衝信號102處於狀態S1時,選擇邏輯226選擇AFT 220。同樣地,當脈衝信號102處於狀態S2時,選擇邏輯226選擇AFT 222,並且當脈衝信號102處於狀態S3時,選擇邏輯226選擇AFT 224。當選擇AFT 220時,AFT 220提供頻率位準Fp1至電源232。同樣地,當選擇AFT 222時,AFT 222提供頻率位準Fp2至電源232,以及當選擇AFT 224時,AFT 224提供頻率位準Fp3至電源232。 Selection logic 226 selects AFT 220 when pulse signal 102 is in state S1. Likewise, selection logic 226 selects AFT 222 when pulse signal 102 is in state S2, and selection logic 226 selects AFT 224 when pulse signal 102 is in state S3. When the AFT 220 is selected, the AFT 220 provides a frequency level Fp1 to the power source 232. Similarly, when the AFT 222 is selected, the AFT 222 provides the frequency level Fp2 to the power source 232, and when the AFT 224 is selected, the AFT 224 provides the frequency level Fp3 to the power source 232.

在AFT 220、222、及224設置於y MHz RF產生器內的實施例中,當選擇AFT 220時,AFT 220提供頻率位準Fs1至電源232。同樣地,在這些實施例中,當選擇AFT 222時,AFT 222提供頻率位準Fs2至電源232,以及當選擇AFT 224時,AFT 224提供頻率位準Fs3至電源232。 In embodiments where AFTs 220, 222, and 224 are disposed within a y MHz RF generator, AFT 220 provides frequency level Fs1 to power source 232 when AFT 220 is selected. Similarly, in these embodiments, when the AFT 222 is selected, the AFT 222 provides the frequency level Fs2 to the power source 232, and when the AFT 224 is selected, the AFT 224 provides the frequency level Fs3 to the power source 232.

在一些實施例中,選擇邏輯226在電力控制器之間做選擇而非AFT 220、222、及224。例如,將選擇邏輯226耦合至x MHz RF產生器的電力控制器144、146、及148(圖1)。在此範例中,當脈衝信號102處於狀態S1時,選擇邏輯226選擇電力控制器144;當脈衝信號102處於狀態S2時,選擇邏輯226選擇電力控制器146;以及當脈衝信號102處於狀態S3時,選擇邏輯226選擇電力控制器148。如另一範例,將選擇邏輯226耦合至y MHz RF產生器的電力控制器150、152、及154(圖1)。在此範例中,當脈衝信號102處於狀態S1時,選擇邏輯226選擇電力控制器150;當脈 衝信號102處於狀態S2時,選擇邏輯226選擇電力控制器152;以及當脈衝信號102處於狀態S3時,選擇邏輯226選擇電力控制器154。 In some embodiments, selection logic 226 makes a selection between power controllers instead of AFTs 220, 222, and 224. For example, selection logic 226 is coupled to power controllers 144, 146, and 148 (FIG. 1) of the x MHz RF generator. In this example, when pulse signal 102 is in state S1, selection logic 226 selects power controller 144; when pulse signal 102 is in state S2, selection logic 226 selects power controller 146; and when pulse signal 102 is in state S3 The selection logic 226 selects the power controller 148. As another example, selection logic 226 is coupled to power controllers 150, 152, and 154 (FIG. 1) of the y MHz RF generator. In this example, when pulse signal 102 is in state S1, selection logic 226 selects power controller 150; When the rush signal 102 is in state S2, the selection logic 226 selects the power controller 152; and when the pulse signal 102 is in state S3, the selection logic 226 selects the power controller 154.

在各種實施例中,當狀態S1期間選擇x MHz RF產生器的 電力控制器144時,電力控制器144提供功率位準Pp1至電源232;並且當狀態S2期間選擇x MHz RF產生器的電力控制器146時,電力控制器146提供功率位準Pp2至電源232。此外,當狀態S3期間選擇x MHz RF產生器的電力控制器148時,電力控制器148提供功率位準Pp3至電源232。 In various embodiments, the x MHz RF generator is selected during state S1 At power controller 144, power controller 144 provides power level Pp1 to power source 232; and when power controller 146 of the x MHz RF generator is selected during state S2, power controller 146 provides power level Pp2 to power source 232. Additionally, power controller 148 provides power level Pp3 to power source 232 when power controller 148 of the x MHz RF generator is selected during state S3.

同樣地,在一些實施例中,當狀態S1期間選擇y MHz RF 產生器的電力控制器150時,電力控制器150提供功率位準Ps1至電源232;並且當狀態S2期間選擇y MHz RF產生器的電力控制器152時,電力控制器152提供功率位準Ps2至電源232。此外,當狀態S3期間選擇y MHz RF產生器的電力控制器154時,電力控制器154提供功率位準Ps3至電源232。 As such, in some embodiments, y MHz RF is selected during state S1 When the power controller 150 of the generator is generated, the power controller 150 provides the power level Ps1 to the power source 232; and when the power controller 152 of the y MHz RF generator is selected during the state S2, the power controller 152 provides the power level Ps2 to Power supply 232. Furthermore, when the power controller 154 of the y MHz RF generator is selected during state S3, the power controller 154 provides a power level Ps3 to the power source 232.

在一些實施例中,選擇邏輯226係實施在z MHz RF產生器 之內,並且以於此所述之類似方式運作。例如,選擇邏輯226基於脈衝信號102的狀態而在z MHz RF產生器的AFT之間、或在z MHz RF產生器的電力控制器之間做選擇。 In some embodiments, selection logic 226 is implemented in a z MHz RF generator Within, and operate in a similar manner as described herein. For example, selection logic 226 selects between the AFTs of the z MHz RF generators or between the power controllers of the z MHz RF generators based on the state of the pulse signal 102.

圖5為系統200之實施例的示意圖,於生產期間系統200用 以控制RF信號的頻率及/或功率,該RF信號係由y MHz RF產生器基於脈衝信號102的狀態和電漿腔室104內之電漿的阻抗變化所產生。y MHz RF產生器的DSP 153自工具UI 151接收脈衝信號102。 5 is a schematic diagram of an embodiment of system 200 for use with system 200 during production To control the frequency and/or power of the RF signal, the RF signal is generated by the y MHz RF generator based on the state of the pulse signal 102 and the impedance change of the plasma within the plasma chamber 104. The DSP 153 of the y MHz RF generator receives the pulse signal 102 from the tool UI 151.

當脈衝信號102從狀態S3轉變至狀態S1時、以及當x MHz RF產生器將具有功率位準Pp1且具有頻率位準Fp1之正向功率供應至電漿腔室104時,電漿腔室104內之電漿的阻抗改變。當電漿腔室104內之電漿的阻抗由於脈衝信號102從狀態S3轉變至狀態S1而改變時,感測器212測量正經由RF電纜182所傳送之複數電壓及複數電流。感測器212將複數電壓及複數電流之量測提供至ADC轉換器223,ADC轉換器223將此量測從類比格式轉換成數位格式。複數電壓及複數電流之量測的數位值係提供至DSP 153。 When pulse signal 102 transitions from state S3 to state S1, and when x MHz When the RF generator supplies forward power having a power level Pp1 and having a frequency level Fp1 to the plasma chamber 104, the impedance of the plasma within the plasma chamber 104 changes. When the impedance of the plasma within the plasma chamber 104 changes as the pulse signal 102 transitions from state S3 to state S1, the sensor 212 measures the complex voltage and complex current being transmitted via the RF cable 182. The sensor 212 provides a measure of the complex voltage and the complex current to the ADC converter 223, which converts the measurement from an analog format to a digital format. The digital values of the complex voltage and the complex current are provided to the DSP 153.

應更注意到在一實施例中,DSP 153不接收脈衝信號102。 反之,在此實施例中,DSP 153接收另一數位脈衝信號,此數位脈衝信號可不與脈衝信號102同步。在一實施例中,DSP 153所接收之其他數位脈衝信號與脈衝信號102同步。 It should be further noted that in an embodiment, the DSP 153 does not receive the pulse signal 102. Conversely, in this embodiment, the DSP 153 receives another digital pulse signal that may not be synchronized with the pulse signal 102. In one embodiment, the other digital pulse signals received by the DSP 153 are synchronized with the pulse signal 102.

於脈衝信號102的狀態S1期間(例如緊接在脈衝信號102 從狀態S3至狀態S1的狀態轉變之後等等),DSP 153由狀態S1期間所測量之複數電壓及電流計算出第一參數值,例如數位反射功率信號與數位正向功率信號之比率的平方根、伽瑪值、電壓駐波比率(VSWR)、阻抗變化等等。 During state S1 of pulse signal 102 (eg, immediately following pulse signal 102) After transitioning from state S3 to state S1, etc.), DSP 153 calculates a first parameter value from the complex voltage and current measured during state S1, such as the square root of the ratio of the digital reflected power signal to the digital forward power signal, Gamma value, voltage standing wave ratio (VSWR), impedance variation, etc.

DSP 153判斷第一參數值是否大於或等於第一臨界值。當 DSP 153判斷第一參數值為大於或等於第一臨界值時,DSP 153便指示上述情況至AFT 138及電力控制器150。AFT 138決定對應至第一參數值(其至少等於第一臨界值)的頻率位準Fs1,並提供此頻率位準Fs1至電源162。 此外,電力控制器150決定對應至第一參數值(其至少等於第一臨界值)的功率位準Ps1,並提供功率位準Ps1至電源162。例如,AFT 138將一表格儲存在記憶體裝置內,該表格將第一參數值(其數值至少等於第一臨界值)與頻率位準Fs1做對映,以及電力控制器150將功率位準Ps1與第一參數值(其數值至少等於第一臨界值)之間的對映儲存在記憶體裝置內。 The DSP 153 determines whether the first parameter value is greater than or equal to the first threshold. when When the DSP 153 determines that the first parameter value is greater than or equal to the first threshold, the DSP 153 indicates the above to the AFT 138 and the power controller 150. The AFT 138 determines a frequency level Fs1 corresponding to a first parameter value that is at least equal to the first threshold value and provides the frequency level Fs1 to the power source 162. Further, the power controller 150 determines a power level Ps1 corresponding to a first parameter value that is at least equal to the first threshold value, and provides a power level Ps1 to the power source 162. For example, the AFT 138 stores a table in a memory device that maps a first parameter value (having a value at least equal to the first threshold) to a frequency level Fs1, and the power controller 150 sets the power level Ps1. The mapping between the first parameter value (the value of which is at least equal to the first threshold) is stored in the memory device.

另一方面,當DSP 153判斷第一參數值為小於第一臨界值 時,DSP 153便指示上述情況至AFT 142及電力控制器154。AFT 142決定對應至第一參數值(其小於第一臨界值)的頻率位準Fs3,並提供此頻率位準Fs3至電源162。此外,電力控制器154決定對應至第一參數值(其小於第一臨界值)的功率位準Ps3,並提供此功率位準Ps3至電源162。例如,AFT 142將一表格儲存在記憶體裝置內,該表格將第一參數值(其數值小於第一臨界值)與頻率位準Fs3做對映,以及電力控制器154將功率位準Ps3與第一參數值(其數值小於第一臨界值)之間的對映儲存在記憶體裝置內。 On the other hand, when the DSP 153 determines that the first parameter value is less than the first critical value The DSP 153 instructs the above to the AFT 142 and the power controller 154. The AFT 142 determines a frequency level Fs3 corresponding to the first parameter value (which is less than the first threshold) and provides the frequency level Fs3 to the power source 162. Further, the power controller 154 determines a power level Ps3 corresponding to the first parameter value (which is less than the first threshold) and provides the power level Ps3 to the power source 162. For example, AFT 142 stores a table in a memory device that maps a first parameter value (whose value is less than the first threshold) to frequency level Fs3, and power controller 154 compares power level Ps3 with The mapping between the first parameter value (whose value is less than the first threshold) is stored in the memory device.

在接收頻率位準(例如頻率位準Fs1、Fs3等等)及功率位準(例如Ps1、Ps3等等)之後,電源162產生具有該頻率位準及該功率位準之RF信號,並將此RF信號經由RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104。例如,電源162的放大器將正向功率經由 RF電纜182、阻抗匹配電路106、及RF傳輸線184供應至電漿腔室104,該正向功率具有與功率位準Ps1成比例(例如相同、倍數等等)之功率位準、並且具有頻率位準Fs1。 After receiving frequency levels (eg, frequency levels Fs1, Fs3, etc.) and power levels (eg, Ps1, Ps3, etc.), power source 162 generates an RF signal having the frequency level and the power level, and The RF signal is supplied to the plasma chamber 104 via an RF cable 182, an impedance matching circuit 106, and an RF transmission line 184. For example, the amplifier of power supply 162 will forward power via RF cable 182, impedance matching circuit 106, and RF transmission line 184 are supplied to plasma chamber 104, which has a power level proportional to power level Ps1 (eg, the same, multiple, etc.) and has frequency bits Quasi Fs1.

當脈衝信號102從狀態S1轉變至狀態S2時、以及當x MHz RF產生器將具有功率位準Pp2且具有頻率位準Fp2之正向功率供應至電漿腔室104時,電漿腔室104內之電漿的阻抗改變。當電漿腔室104內之電漿的阻抗由於脈衝信號102從狀態S1轉變至狀態S2而改變時,感測器212測量正經由RF電纜182所傳送之複數電壓及複數電流。感測器212將複數電壓及複數電流之量測提供至ADC轉換器223,ADC轉換器223將此量測從類比格式轉換成數位格式。複數電壓及複數電流之量測的數位值係提供至DSP 153。 When pulse signal 102 transitions from state S1 to state S2, and when x MHz When the RF generator supplies forward power having a power level Pp2 and having a frequency level Fp2 to the plasma chamber 104, the impedance of the plasma within the plasma chamber 104 changes. When the impedance of the plasma within the plasma chamber 104 changes as the pulse signal 102 transitions from state S1 to state S2, the sensor 212 measures the complex voltage and complex current being transmitted via the RF cable 182. The sensor 212 provides a measure of the complex voltage and the complex current to the ADC converter 223, which converts the measurement from an analog format to a digital format. The digital values of the complex voltage and the complex current are provided to the DSP 153.

此外,於脈衝信號102的狀態S2期間(例如緊接在脈衝信 號102從狀態S1至狀態S2的狀態轉變之後等等),DSP 153由狀態S2期間所測量之複數電壓及電流計算出第二參數值,例如數位反射功率信號與數位正向功率信號之比率的平方根、伽瑪值、電壓駐波比率(VSWR)、阻抗變化等等。 In addition, during the state S2 of the pulse signal 102 (eg, immediately following the pulse signal) After the number 102 transitions from state S1 to state S2, etc., the DSP 153 calculates a second parameter value from the complex voltage and current measured during state S2, such as the ratio of the digital reflected power signal to the digital forward power signal. Square root, gamma value, voltage standing wave ratio (VSWR), impedance variation, etc.

DSP 153判斷第二參數值是否大於第二臨界值。當DSP 153 判斷第二參數值為大於或等於第二臨界值時,DSP 153便指示上述情況至AFT 141及電力控制器152。AFT 141決定對應至第二參數值(其至少等於第二臨界值)的頻率位準Fs2,並提供此頻率位準Fs2至電源162。此外,電力控制器152決定對應至第二參數值(其至少等於第二臨界值)的功率位準Ps2,並提供此功率位準Ps2至電源162。例如,AFT 141將一表格儲存在記憶體裝置內,該表格將第二參數值(其數值至少等於第二臨界值)與頻率位準Fs2做對映,以及電力控制器152將功率位準Ps2與第二參數值(其數值至少等於第二臨界值)之間的對映儲存在記憶體裝置內。 The DSP 153 determines whether the second parameter value is greater than the second threshold. When DSP 153 When it is determined that the second parameter value is greater than or equal to the second threshold value, the DSP 153 indicates the above situation to the AFT 141 and the power controller 152. The AFT 141 determines a frequency level Fs2 corresponding to a second parameter value that is at least equal to the second threshold value and provides this frequency level Fs2 to the power source 162. Further, the power controller 152 determines a power level Ps2 corresponding to a second parameter value that is at least equal to the second threshold value, and provides the power level Ps2 to the power source 162. For example, the AFT 141 stores a table in a memory device that maps a second parameter value (having a value at least equal to a second threshold) to a frequency level Fs2, and the power controller 152 sets the power level Ps2. The mapping between the second parameter value (the value of which is at least equal to the second threshold) is stored in the memory device.

另一方面,當DSP 153判斷第二參數值為小於第二臨界值 時,DSP 153便指示上述情況至AFT 138及電力控制器150。AFT 138決定對應至第二參數值(其小於第二臨界值)的頻率位準Fs1,並提供此頻率位準Fs1至電源162。此外,電力控制器150決定對應至第二參數值(其小於 第二臨界值)的功率位準Ps1,並提供此功率位準Ps1至電源162。例如,AFT 138將一表格儲存在記憶體裝置內,該表格將第二參數值(其數值小於第二臨界值)與頻率位準Fs1做對映,以及電力控制器150將功率位準Ps1與第二參數值(其數值小於第二臨界值)之間的對映儲存在記憶體裝置內。 On the other hand, when the DSP 153 determines that the second parameter value is less than the second threshold The DSP 153 instructs the above to the AFT 138 and the power controller 150. The AFT 138 determines a frequency level Fs1 corresponding to a second parameter value that is less than the second threshold value and provides this frequency level Fs1 to the power source 162. In addition, the power controller 150 determines to correspond to the second parameter value (which is less than The second threshold value is the power level Ps1 and the power level Ps1 is supplied to the power source 162. For example, AFT 138 stores a table in a memory device that maps a second parameter value (whose value is less than a second threshold) to frequency level Fs1, and power controller 150 compares power level Ps1 with The mapping between the second parameter value (whose value is less than the second threshold) is stored in the memory device.

當脈衝信號102從狀態S2轉變至狀態S3時、以及當x MHz RF產生器將具有功率位準Pp3且具有頻率位準Fp3之正向功率供應至電漿腔室104時,電漿腔室104內之電漿的阻抗改變。當電漿腔室104內之電漿的阻抗由於脈衝信號102從狀態S2轉變至狀態S3而改變時,感測器212測量正經由RF電纜182所傳送之複數電壓及複數電流。感測器212將複數電壓及複數電流之量測提供至ADC轉換器223,ADC轉換器223將此量測從類比格式轉換成數位格式。複數電壓及複數電流之量測的數位值係提供至DSP 153。 When pulse signal 102 transitions from state S2 to state S3, and when x MHz When the RF generator supplies forward power having a power level Pp3 and having a frequency level Fp3 to the plasma chamber 104, the impedance of the plasma within the plasma chamber 104 changes. When the impedance of the plasma within the plasma chamber 104 changes as the pulse signal 102 transitions from state S2 to state S3, the sensor 212 measures the complex voltage and complex current being transmitted via the RF cable 182. The sensor 212 provides a measure of the complex voltage and the complex current to the ADC converter 223, which converts the measurement from an analog format to a digital format. The digital values of the complex voltage and the complex current are provided to the DSP 153.

此外,於脈衝信號102的狀態S3期間(例如緊接在脈衝信 號102從狀態S2至狀態S3的狀態轉變之後等等),DSP153由狀態S3期間所測量之複數電壓及電流計算出第三參數值,例如數位反射功率信號與數位正向功率信號之比率的平方根、伽瑪值、電壓駐波比率(VSWR)、阻抗變化等等。 In addition, during the state S3 of the pulse signal 102 (eg, immediately following the pulse letter) After the number 102 transitions from state S2 to state S3, etc., the DSP 153 calculates a third parameter value from the complex voltage and current measured during state S3, such as the square root of the ratio of the digital reflected power signal to the digital forward power signal. , gamma value, voltage standing wave ratio (VSWR), impedance variation, etc.

DSP 153判斷第三參數值是否大於第三臨界值。當DSP 153 判斷第三參數值為大於或等於第三臨界值時,DSP 153便指示上述情況至AFT 142及電力控制器154。AFT 142決定對應至第三參數值(其至少等於第三臨界值)的頻率位準Fs3,並提供此頻率位準Fs3至電源162。此外,電力控制器154決定對應至第三參數值(其至少等於第三臨界值)的功率位準Ps3,並提供此功率位準Ps3至電源162。例如,AFT 142將一表格儲存在記憶體裝置內,該表格將第三參數值(其數值至少等於第三臨界值)與頻率位準Fs3做對映,以及電力控制器154將功率位準Ps3與第三參數值(其數值至少等於第三臨界值)之間的對映儲存在記憶體裝置內。 The DSP 153 determines whether the third parameter value is greater than the third threshold. When DSP 153 When it is determined that the third parameter value is greater than or equal to the third threshold value, the DSP 153 indicates the above situation to the AFT 142 and the power controller 154. The AFT 142 determines a frequency level Fs3 corresponding to a third parameter value that is at least equal to the third threshold value and provides this frequency level Fs3 to the power source 162. Further, the power controller 154 determines a power level Ps3 corresponding to a third parameter value that is at least equal to the third threshold value, and provides the power level Ps3 to the power source 162. For example, the AFT 142 stores a table in a memory device that maps a third parameter value (having a value at least equal to a third threshold) to the frequency level Fs3, and the power controller 154 sets the power level Ps3. The mapping between the third parameter value (the value of which is at least equal to the third threshold) is stored in the memory device.

另一方面,當DSP 153判斷第三參數值為小於第三臨界值時,DSP 153便指示上述情況至AFT 141及電力控制器152。AFT 141決定對應至第三參數值(其小於第三臨界值)的頻率位準Fs2,並提供此頻率位 準Fs2至電源162。此外,電力控制器152決定對應至第三參數值(其小於第三臨界值)的功率位準Ps2,並提供此功率位準Ps2至電源162。例如,AFT 141將一表格儲存在記憶體裝置內,該表格將第三參數值(其數值小於第三臨界值)與頻率位準Fs2做對映,以及電力控制器152將功率位準Ps2與第三參數值(其數值小於第三臨界值)之間的對映儲存在記憶體裝置內。 On the other hand, when the DSP 153 determines that the third parameter value is less than the third threshold, the DSP 153 instructs the above to the AFT 141 and the power controller 152. The AFT 141 determines a frequency level Fs2 corresponding to a third parameter value (which is less than the third threshold) and provides the frequency bit Quasi Fs2 to power supply 162. Further, the power controller 152 determines the power level Ps2 corresponding to the third parameter value (which is less than the third threshold) and provides this power level Ps2 to the power source 162. For example, AFT 141 stores a table in a memory device that maps a third parameter value (whose value is less than a third threshold) to frequency level Fs2, and power controller 152 compares power level Ps2 with The mapping between the third parameter value (whose value is less than the third threshold) is stored in the memory device.

利用參數值來修改由電源162所提供之RF電力導致電漿穩 定性。又,電漿穩定性係基於複數電壓及電流的即時量測。此即時量測提供了使電漿穩定之準度。 Using parameter values to modify the RF power provided by power source 162 results in a stable plasma Qualitative. Also, plasma stability is based on an instantaneous measurement of complex voltages and currents. This instant measurement provides the accuracy to stabilize the plasma.

在其中除了使用x及y MHz RF產生器以外還使用z MHz RF 產生器的實施例中,z MHz RF產生器係耦合至工具UI 151,並且脈衝信號102係由工具UI 151傳送至z MHz RF產生器。z MHz RF產生器以類似y MHz RF產生器的方式運作。例如,於脈衝信號102之一狀態期間,判斷參數值是否超過一臨界值。基於參數值之判斷,將功率的第一位準或第二位準、以及頻率的第一位準或第二位準提供至z MHz RF產生器的電源。 Use z MHz RF in addition to the x and y MHz RF generators In an embodiment of the generator, a z MHz RF generator is coupled to the tool UI 151 and the pulse signal 102 is transmitted by the tool UI 151 to the z MHz RF generator. The z MHz RF generator operates in a manner similar to a y MHz RF generator. For example, during one of the states of the pulse signal 102, it is determined whether the parameter value exceeds a threshold. Based on the determination of the parameter values, the first or second level of power, and the first or second level of frequency are provided to the power supply of the z MHz RF generator.

在一實施例中,第一臨界值、第二臨界值、及第三臨界值係 產生在訓練例行工作(例如學習步驟)期間。於訓練例行工作期間,當x MHz RF產生器將其RF電力信號從第一功率位準改變至第二功率位準時,在電漿腔室104內的一或更多部份(例如電漿等等)與z MHz RF產生器之間會有阻抗不匹配。當脈衝信號102的狀態從S3變到S1時,x MHz RF產生器將其RF電力信號的位準從第一功率位準改變至第二功率位準。在此情況下,當x MHz RF產生器開始以功率位準Pp1供應電力時,y MHz RF產生器使其頻率及功率受到調諧。為降低阻抗不匹配,y MHz RF產生器開始調諧(例如收斂)至一功率位準及一頻率位準。可由DSP 153基於標準差或另一技術來決定收斂性。為使y MHz RF產生器有更多時間收斂至該功率位準及頻率位準,故於比一般時間周期更為延長之時間周期將x MHz RF產生器保持在第二功率位準。一般時間週期為其中阻抗不匹配未降低(例如消除)之時間量。 In an embodiment, the first threshold, the second threshold, and the third threshold are Produced during training routines (eg, learning steps). During the training routine, one or more portions of the plasma chamber 104 (eg, plasma) when the x MHz RF generator changes its RF power signal from a first power level to a second power level Etc.) There is an impedance mismatch between the z MHz RF generator. When the state of the pulse signal 102 changes from S3 to S1, the x MHz RF generator changes the level of its RF power signal from the first power level to the second power level. In this case, the y MHz RF generator tunes its frequency and power when the x MHz RF generator begins to supply power at the power level Pp1. To reduce impedance mismatch, the y MHz RF generator begins to tune (eg, converge) to a power level and a frequency level. Convergence can be determined by the DSP 153 based on standard deviation or another technique. In order for the y MHz RF generator to have more time to converge to the power level and frequency level, the x MHz RF generator is maintained at the second power level for a longer period of time than the normal time period. The general time period is the amount of time in which the impedance mismatch does not decrease (eg, cancel).

當y MHz RF產生器收斂至該功率位準及頻率位準時,將此 收斂之功率位準儲存在電力控制器150內作為功率位準Ps1,並且將此收斂 之頻率位準儲存在AFT 138內作為頻率位準Fs1。第一臨界值係於訓練例行工作期間由功率位準Ps1所產生,且第一臨界值對應至頻率位準Fs1。例如,在訓練例行工作期間感測器212測量複數電壓及複數電流。當y MHz RF產生器的頻率為Fs1時,在訓練例行工作期間感測器212測量複數電壓及複數電流。DSP 153接收複數電壓及複數電流,並由訓練例行工作期間所測量之複數電壓及複數電流產生第一臨界值。 When the y MHz RF generator converges to the power level and frequency level, The converged power level is stored in power controller 150 as power level Ps1 and converges The frequency level is stored in the AFT 138 as the frequency level Fs1. The first critical value is generated by the power level Ps1 during the training routine operation, and the first critical value corresponds to the frequency level Fs1. For example, sensor 212 measures complex voltage and complex current during training routine operations. When the frequency of the y MHz RF generator is Fs1, the sensor 212 measures the complex voltage and the complex current during the training routine operation. The DSP 153 receives the complex voltage and the complex current and generates a first threshold from the complex voltage and the complex current measured during the training routine.

同樣地,於訓練例行工作期間,由DSP 153決定第二及第三臨界值。 Similarly, during the training routine, the second and third thresholds are determined by the DSP 153.

圖6係表格250之實施例的圖表,表格250說明阻抗變化與臨界值的比較,以決定RF產生器所供應之RF信號的功率位準或頻率位準。當脈衝信號的狀態從狀態S1變到狀態S2時,則判斷是否電漿的阻抗變化△z12大於第二臨界值(表示為「m」)。在判斷阻抗變化△z12為至少等於第二臨界值m之後,便將功率位準Ps2或頻率位準Fs2提供至y MHz RF產生器的電源162。另一方面,在判斷阻抗變化△z12為小於第二臨界值m之後,則將功率位準Ps1或頻率位準Fs1提供至y MHz RF產生器的電源162。 6 is a diagram of an embodiment of a table 250 illustrating the comparison of impedance changes to threshold values to determine the power level or frequency level of the RF signal supplied by the RF generator. When the state of the pulse signal changes from the state S1 to the state S2, it is judged whether or not the impedance change Δz12 of the plasma is larger than the second critical value (indicated as "m"). After determining that the impedance change Δz12 is at least equal to the second threshold m, the power level Ps2 or the frequency level Fs2 is supplied to the power source 162 of the y MHz RF generator. On the other hand, after determining that the impedance change Δz12 is less than the second threshold m, the power level Ps1 or the frequency level Fs1 is supplied to the power source 162 of the y MHz RF generator.

同樣地,當脈衝信號的狀態從狀態S2變到狀態S3時,則判斷是否電漿的阻抗變化△z23大於第三臨界值(表示為「n」)。在判斷阻抗變化△z23為大於第三臨界值n之後,便將功率位準Ps3或頻率位準Fs3提供至y MHz RF產生器的電源162。另一方面,在判斷阻抗變化△z23為小於第三臨界值n之後,則將功率位準Ps2或頻率位準Fs2提供至y MHz RF產生器的電源162。 Similarly, when the state of the pulse signal changes from the state S2 to the state S3, it is judged whether or not the impedance change Δz23 of the plasma is larger than the third critical value (indicated as "n"). After determining that the impedance change Δz23 is greater than the third threshold value n, the power level Ps3 or the frequency level Fs3 is supplied to the power source 162 of the y MHz RF generator. On the other hand, after determining that the impedance change Δz23 is less than the third critical value n, the power level Ps2 or the frequency level Fs2 is supplied to the power source 162 of the y MHz RF generator.

此外,當脈衝信號的狀態從狀態S3變到狀態S1時,則判斷是否電漿的阻抗變化△z31大於第一臨界值(表示為「o」)。在判斷阻抗變化△z31為大於第一臨界值o之後,便將功率位準Ps1或頻率位準Fs1提供至y MHz RF產生器的電源162。另一方面,在判斷阻抗變化△z31為小於第一臨界值o之後,則將功率位準Ps3或頻率位準Fs3提供至y MHz RF產生器的電源162。 Further, when the state of the pulse signal changes from the state S3 to the state S1, it is judged whether or not the impedance change Δz31 of the plasma is larger than the first critical value (indicated as "o"). After determining that the impedance change Δz31 is greater than the first critical value o, the power level Ps1 or the frequency level Fs1 is supplied to the power source 162 of the y MHz RF generator. On the other hand, after determining that the impedance change Δz31 is less than the first critical value o, the power level Ps3 or the frequency level Fs3 is supplied to the power source 162 of the y MHz RF generator.

在一些實施例中,可使用另一參數值(例如伽瑪值、VSWR等等)取代阻抗變化來決定提供至電源162的功率位準及/或頻率位準。 In some embodiments, another parameter value (eg, gamma value, VSWR, etc.) can be used in place of the impedance change to determine the power level and/or frequency level provided to power source 162.

圖7為系統260之實施例的示意圖,於生產期間系統260基 於脈衝信號102的狀態且基於參數值是否超過臨界值來選擇AFT 220、222、或224。當脈衝信號102處於狀態S1、且狀態S1期間所測量之參數值至少等於第一臨界值時,選擇邏輯226選擇AFT 220。另一方面,當脈衝信號102處於狀態S1、且狀態S1期間所測量之參數值小於第一臨界值時,選擇邏輯226選擇AFT 224。 7 is a schematic diagram of an embodiment of a system 260 that is based on system 260 during production. The AFT 220, 222, or 224 is selected in the state of the pulse signal 102 and based on whether the parameter value exceeds a critical value. Selection logic 226 selects AFT 220 when pulse signal 102 is in state S1 and the parameter value measured during state S1 is at least equal to the first threshold. On the other hand, selection logic 226 selects AFT 224 when pulse signal 102 is in state S1 and the measured parameter value during state S1 is less than the first threshold.

當選擇邏輯226包括多工器時,在多工器的選擇輸入處接收 來自DSP 270的信號,該信號表示脈衝信號102之一狀態期間的參數值至少等於或小於臨界值。 When the selection logic 226 includes a multiplexer, it is received at the select input of the multiplexer A signal from DSP 270 indicating that the parameter value during one of the states of pulse signal 102 is at least equal to or less than a threshold.

DSP 270為DSP 153(圖1)之一範例。DSP 270基於在狀態 S1期間自感測器272所接收之複數電流及複數電壓來決定第一參數值。DSP 270進一步判斷第一參數值為至少等於第一臨界值,並將表示此判斷的信號提供至選擇邏輯226。在接收到其表示第一參數值為至少等於第一臨界值之判斷的信號後,選擇邏輯226選擇AFT 220。另一方面,DSP 270判斷在脈衝信號102的狀態S1期間所決定之第一參數值為小於第一臨界值,並將表示此判斷之信號提供至選擇邏輯226。在接收到其表示第一參數值為小於第一臨界值之判斷的信號後,選擇邏輯226選擇AFT 224。感測器272為y MHz RF產生器的感測器212(圖1)之一範例。 The DSP 270 is an example of the DSP 153 (Fig. 1). DSP 270 is based on state The first parameter value is determined by the complex current and the complex voltage received by the sensor 272 during S1. The DSP 270 further determines that the first parameter value is at least equal to the first threshold and provides a signal indicative of the determination to the selection logic 226. The selection logic 226 selects the AFT 220 upon receiving a signal indicating that the first parameter value is at least equal to the first threshold value. On the other hand, the DSP 270 determines that the first parameter value determined during the state S1 of the pulse signal 102 is less than the first threshold value and provides a signal indicative of this determination to the selection logic 226. The selection logic 226 selects the AFT 224 upon receiving a signal indicating that the first parameter value is less than the first threshold value. Sensor 272 is an example of one of sensors 212 (FIG. 1) of a y MHz RF generator.

此外,DSP 270基於在狀態S2期間自感測器272所接收之 複數電流及複數電壓來決定第二參數值。DSP 270進一步判斷第二參數值為至少等於第二臨界值,並將表示此判斷的信號提供至選擇邏輯226。在接收到其表示第二參數值為至少等於第二臨界值之判斷的信號後,選擇邏輯226選擇AFT 222。另一方面,DSP 270判斷在脈衝信號102的狀態S2期間所決定之第二參數值為小於第二臨界值,並將表示此判斷之信號提供至選擇邏輯226。在接收到其表示第二參數值為小於第二臨界值之判斷的信號後,選擇邏輯226選擇AFT 220。 In addition, DSP 270 is based on self-sensor 272 received during state S2. The complex current and the complex voltage determine the second parameter value. The DSP 270 further determines that the second parameter value is at least equal to the second threshold and provides a signal indicative of the determination to the selection logic 226. The selection logic 226 selects the AFT 222 upon receiving a signal indicating that the second parameter value is at least equal to the second threshold value. On the other hand, the DSP 270 determines that the second parameter value determined during the state S2 of the pulse signal 102 is less than the second threshold value and provides a signal indicative of this determination to the selection logic 226. The selection logic 226 selects the AFT 220 upon receiving a signal indicating that the second parameter value is less than the second threshold value.

此外,DSP 270基於在狀態S3期間自感測器272所接收之 複數電流及複數電壓來決定第三參數值。DSP 270進一步判斷第三參數值為至少等於第三臨界值,並將表示此判斷的信號提供至選擇邏輯226。在接收 到其表示第三參數值為至少等於第三臨界值之判斷的信號後,選擇邏輯226選擇AFT 224。另一方面,DSP 270判斷在脈衝信號102的狀態S3期間所決定之第三參數值為小於第三臨界值,並將表示此判斷之信號提供至選擇邏輯226。在接收到其表示第三參數值為小於第三臨界值之判斷的信號後,選擇邏輯226選擇AFT 222。 In addition, DSP 270 is based on self-sensor 272 received during state S3. The complex current and the complex voltage determine the third parameter value. The DSP 270 further determines that the third parameter value is at least equal to the third threshold and provides a signal indicative of the determination to the selection logic 226. Receiving The selection logic 226 selects the AFT 224 after it reaches a signal indicating that the third parameter value is at least equal to the third threshold value. On the other hand, the DSP 270 determines that the third parameter value determined during the state S3 of the pulse signal 102 is less than the third threshold value, and provides a signal indicative of this determination to the selection logic 226. The selection logic 226 selects the AFT 222 upon receiving a signal indicating that the third parameter value is less than the third threshold value.

在一些實施例中,選擇邏輯226在電力控制器之間做選擇而 非在AFT 220、222、及224之間。例如,選擇邏輯226係耦合至y MHzRF產生器的電力控制器150、152、及154(圖1)。於此範例中,在接收到其表示第一參數值為至少等於第一臨界值之判斷的信號後,選擇邏輯226選擇電力控制器150;並且在接收到其表示第一參數值為小於第一臨界值之判斷的信號後,選擇邏輯226選擇電力控制器154。如另一範例,在接收到其表示第二參數值為至少等於第二臨界值之判斷的信號後,選擇邏輯226選擇電力控制器152;並且在接收到其表示第二參數值為小於第二臨界值之判斷的信號後,選擇邏輯226選擇電力控制器150。如又另一範例,在接收到其表示第三參數值為至少等於第三臨界值之判斷的信號後,選擇邏輯226選擇電力控制器154;並且在接收到其表示第三參數值為小於第三臨界值之判斷的信號後,選擇邏輯226選擇電力控制器152。 In some embodiments, selection logic 226 makes a selection between power controllers Not between AFT 220, 222, and 224. For example, selection logic 226 is coupled to power controllers 150, 152, and 154 (FIG. 1) of the y MHz RF generator. In this example, after receiving a signal indicating that the first parameter value is at least equal to the first threshold value, the selection logic 226 selects the power controller 150; and upon receiving it, the first parameter value is less than the first value. After the signal of the threshold value is determined, the selection logic 226 selects the power controller 154. As another example, upon receiving a signal indicating that the second parameter value is at least equal to the second threshold value, the selection logic 226 selects the power controller 152; and upon receiving it indicates that the second parameter value is less than the second After the signal of the threshold value is determined, the selection logic 226 selects the power controller 150. As yet another example, upon receiving a signal indicating that the third parameter value is at least equal to the third threshold value, the selection logic 226 selects the power controller 154; and upon receiving it, the third parameter value is less than After the signal of the three threshold values is determined, the selection logic 226 selects the power controller 152.

在一些實施例中,選擇邏輯226係實施在z MHz RF產生器 之內,並且以於此所述之類似方式運作。例如,選擇邏輯226基於脈衝信號102的狀態、以及基於參數值是否超過臨界值,而在z MHz RF產生器的AFT之間、或在z MHz RF產生器的電力控制器之間做選擇。 In some embodiments, selection logic 226 is implemented in a z MHz RF generator Within, and operate in a similar manner as described herein. For example, selection logic 226 selects between the AFTs of the z MHz RF generators or between the power controllers of the z MHz RF generators based on the state of the pulse signal 102 and based on whether the parameter values exceed a critical value.

圖8A係圖表302、304、306、及308之實施例的線圖。圖表 302、304、306、及308之每一者繪示了功率值作為時間t的函數,單位為千瓦(kW)。如圖表302所示,2MHz功率信號(由2MHz電源所供應之功率信號)於狀態S1及S2期間具有功率值a4,並且於狀態S3期間具有功率值0。又,60MHz功率信號(由60MHz電源所供應之功率信號)於狀態S1期間具有功率值a1、於狀態S2期間具有功率值a2、以及於狀態S3期間具有功率值a3。功率值a4大於功率值a3,功率值a3大於功率值a2。功率值a2大於功率值a1,功率值a1大於零。 FIG. 8A is a line diagram of an embodiment of graphs 302, 304, 306, and 308. chart Each of 302, 304, 306, and 308 plots the power value as a function of time t in kilowatts (kW). As shown in graph 302, the 2 MHz power signal (the power signal supplied by the 2 MHz power supply) has a power value a4 during states S1 and S2 and a power value of zero during state S3. Again, the 60 MHz power signal (the power signal supplied by the 60 MHz power supply) has a power value a1 during state S1, a power value a2 during state S2, and a power value a3 during state S3. The power value a4 is greater than the power value a3, and the power value a3 is greater than the power value a2. The power value a2 is greater than the power value a1, and the power value a1 is greater than zero.

如圖表304所示,60MHz功率信號於狀態S3期間具有功率 值a0。功率值a0小於功率值a1。此外,如圖表306所指示,60MHz信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、以及於狀態S3期間具有功率值a3。如圖表308所示,60MHz信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、以及於狀態S3期間具有功率值a0。 As shown in graph 304, the 60 MHz power signal has power during state S3. The value a0. The power value a0 is smaller than the power value a1. Furthermore, as indicated by chart 306, the 60 MHz signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a3 during state S3. As shown in graph 308, the 60 MHz signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a0 during state S3.

圖8B係圖表310、312、314、及316之實施例的線圖。圖表 310、312、314、及316之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表310所示,60MHz功率信號於狀態S1期間具有功率值a1、於狀態S2期間具有功率值a2、以及於狀態S3期間具有功率值a2。 Figure 8B is a line diagram of an embodiment of charts 310, 312, 314, and 316. chart Each of 310, 312, 314, and 316 plots the power value as a function of time t in kW. As shown in graph 310, the 60 MHz power signal has a power value a1 during state S1, a power value a2 during state S2, and a power value a2 during state S3.

如圖表312所示,60MHz功率信號於狀態S1期間具有功率 值a1、於狀態S2期間具有功率值a2、以及於狀態S3期間具有功率值a1。 此外,如圖表314所示,60MHz信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、及於狀態S3期間具有功率值a1。如圖表316所示,60MHz信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、及於狀態S3期間具有功率值a2。 As shown in graph 312, the 60 MHz power signal has power during state S1. The value a1 has a power value a2 during the state S2 and a power value a1 during the state S3. Furthermore, as shown in graph 314, the 60 MHz signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a1 during state S3. As shown in graph 316, the 60 MHz signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a2 during state S3.

圖9A係圖表320、322、324、及326之實施例的線圖。圖表 320、322、324、及326之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表320所示,60MHz功率信號於狀態S1期間具有功率值a1、於狀態S2期間具有功率值a2、以及於狀態S3期間具有功率值a3。此外,在圖表320中,2MHz功率信號於狀態S1期間具有功率值a4、於狀態S2期間具有功率值a4、以及於狀態S3期間具有功率值a0。功率值a0小於功率值a1,而大於零。 9A is a line diagram of an embodiment of graphs 320, 322, 324, and 326. chart Each of 320, 322, 324, and 326 plots the power value as a function of time t in kW. As shown in graph 320, the 60 MHz power signal has a power value a1 during state S1, a power value a2 during state S2, and a power value a3 during state S3. Further, in graph 320, the 2 MHz power signal has a power value a4 during state S1, a power value a4 during state S2, and a power value a0 during state S3. The power value a0 is less than the power value a1 and greater than zero.

此外,如圖表322所示,60MHz功率信號於狀態S1期間具 有功率值a2、於狀態S2期間具有功率值a3、以及於狀態S3期間具有功率值a1。又,如圖表324所示,60MHz功率信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、以及於狀態S3期間具有功率值a3。此外,如圖表326所示,60MHz功率信號於狀態S1期間具有功率值a3、於狀態S2期間具有功率值a2、以及於狀態S3期間具有功率值a1。 Additionally, as shown in graph 322, the 60 MHz power signal is during state S1. There is a power value a2, a power value a3 during the state S2, and a power value a1 during the state S3. Again, as shown in graph 324, the 60 MHz power signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a3 during state S3. Furthermore, as shown in graph 326, the 60 MHz power signal has a power value a3 during state S1, a power value a2 during state S2, and a power value a1 during state S3.

圖9B係圖表328、330、332、及334之實施例的線圖。圖表 328、330、332、及334之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表328所示,60MHz功率信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a3、以及於狀態S3期間具有功率值a3。此外,在圖表330中,60MHz功率信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a3、以及於狀態S3期間具有功率值a2。此外,在圖表332中,60MHz功率信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、以及於狀態S3期間具有功率值a1。又,在圖表334中,60MHz功率信號於狀態S1期間具有功率值a2、於狀態S2期間具有功率值a1、以及於狀態S3期間具有功率值a2。 Figure 9B is a line drawing of an embodiment of charts 328, 330, 332, and 334. chart Each of 328, 330, 332, and 334 plots the power value as a function of time t in kW. As shown in graph 328, the 60 MHz power signal has a power value a2 during state S1, a power value a3 during state S2, and a power value a3 during state S3. Further, in graph 330, the 60 MHz power signal has a power value a2 during state S1, a power value a3 during state S2, and a power value a2 during state S3. Further, in graph 332, the 60 MHz power signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a1 during state S3. Further, in graph 334, the 60 MHz power signal has a power value a2 during state S1, a power value a1 during state S2, and a power value a2 during state S3.

圖10A係圖表336、338、340、及342之實施例的線圖。圖 表336、338、340、及342之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表336所示,27MHz功率信號(由27MHz電源所供應之功率信號)於狀態S1、S2、及S3期間具有功率值a31。功率值a31大於功率值a3,而小於功率值a4。圖表336的其餘部份類似圖表302(圖8A)。 Figure 10A is a line drawing of an embodiment of charts 336, 338, 340, and 342. Figure Each of tables 336, 338, 340, and 342 depicts the power value as a function of time t in kW. As shown in graph 336, the 27 MHz power signal (the power signal supplied by the 27 MHz power supply) has a power value a31 during states S1, S2, and S3. The power value a31 is greater than the power value a3 and less than the power value a4. The remainder of chart 336 is similar to chart 302 (Fig. 8A).

如圖表338、340、及342之每一者所示般,27MHz功率信 號於狀態S1、S2、及S3期間具有功率值a31。此外,圖表338的其餘部份類似圖表304(圖8A)、圖表340的其餘部份類似圖表306(圖8A)、以及圖表342的其餘部份類似圖表308(圖8A)。 As shown in each of Figures 338, 340, and 342, a 27 MHz power signal The number has a power value a31 during states S1, S2, and S3. In addition, the remainder of chart 338 is similar to chart 304 (FIG. 8A), the remainder of chart 340 is similar to chart 306 (FIG. 8A), and the remainder of chart 342 is similar to chart 308 (FIG. 8A).

在一些實施例中,功率值a31介於零與功率值a4之間。 In some embodiments, the power value a31 is between zero and the power value a4.

圖10B係圖表344、346、348、及350之實施例的線圖。圖 表344、346、348、及350之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表344所示,27MHz功率信號(由27MHz電源所供應之功率信號)於狀態S1、S2、及S3期間具有功率值a31。圖表344的其餘部份類似圖表310(圖8B)。 FIG. 10B is a line diagram of an embodiment of charts 344, 346, 348, and 350. Figure Each of Tables 344, 346, 348, and 350 plots the power value as a function of time t in kW. As shown in graph 344, the 27 MHz power signal (the power signal supplied by the 27 MHz power supply) has a power value a31 during states S1, S2, and S3. The remainder of chart 344 is similar to chart 310 (Fig. 8B).

如圖表346、348、及350之每一者所示般,27MHz功率信 號於狀態S1、S2、及S3期間具有功率值a31。此外,圖表346的其餘部份類似圖表312(圖8B)、圖表348的其餘部份類似圖表314(圖8B)、以及圖表350的其餘部份類似圖表316(圖8B)。 As shown in each of Figures 346, 348, and 350, a 27 MHz power signal The number has a power value a31 during states S1, S2, and S3. In addition, the remainder of chart 346 is similar to chart 312 (FIG. 8B), the remainder of chart 348 is similar to chart 314 (FIG. 8B), and the remainder of chart 350 is similar to chart 316 (FIG. 8B).

圖11A係圖表352、354、356、及358之實施例的線圖。圖 表352、354、356、及358之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表352所示,27MHz功率信號(由27MHz電源所供應之功率信號)於狀態S1、S2、及S3期間具有功率值a31。圖表352的其餘部份類似圖表320(圖9A)。 Figure 11A is a line drawing of an embodiment of charts 352, 354, 356, and 358. Figure Each of tables 352, 354, 356, and 358 plots the power value as a function of time t in kW. As shown in graph 352, the 27 MHz power signal (the power signal supplied by the 27 MHz power supply) has a power value a31 during states S1, S2, and S3. The remainder of chart 352 is similar to chart 320 (Fig. 9A).

如圖表354、356、及358之每一者所示般,27MHz功率信 號於狀態S1、S2、及S3期間具有功率值a31。此外,圖表354的其餘部份類似圖表322(圖9A)、圖表356的其餘部份類似圖表324(圖9A)、以及圖表358的其餘部份類似圖表326(圖9A)。 As shown in each of Figures 354, 356, and 358, a 27 MHz power signal The number has a power value a31 during states S1, S2, and S3. In addition, the remainder of chart 354 is similar to chart 322 (FIG. 9A), the remainder of chart 356 is similar to chart 324 (FIG. 9A), and the remainder of chart 358 is similar to chart 326 (FIG. 9A).

圖11B係圖表360、362、364、及366之實施例的線圖。圖 表360、362、364、及366之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表360、362、364、及366之每一者所示般,27MHz功率信號於狀態S1、S2、及S3期間具有功率值a31。圖表360的其餘部份類似圖表328(圖9B)。此外,圖表362的其餘部份類似圖表330(圖9B)、圖表364的其餘部份類似圖表332(圖9B)、以及圖表366的其餘部份類似圖表334(圖9B)。 Figure 11B is a line diagram of an embodiment of charts 360, 362, 364, and 366. Figure Each of tables 360, 362, 364, and 366 depicts the power value as a function of time t in kW. As shown in each of Figures 360, 362, 364, and 366, the 27 MHz power signal has a power value a31 during states S1, S2, and S3. The remainder of chart 360 is similar to chart 328 (Fig. 9B). In addition, the remainder of chart 362 is similar to chart 330 (FIG. 9B), the remainder of chart 364 is similar to chart 332 (FIG. 9B), and the remainder of chart 366 is similar to chart 334 (FIG. 9B).

圖12A係圖表368、370、372、及374之實施例的線圖。圖 表368、370、372、及374之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表368、370、372、及374之每一者所示般,27MHz功率信號於狀態S1及S2期間具有功率值a31,並且於狀態S3期間具有功率值a32。 圖表368的其餘部份類似圖表302(圖8A)。此外,圖表370的其餘部份類似圖表304(圖8A)、圖表372的其餘部份類似圖表306(圖8A)、以及圖表374的其餘部份類似圖表308(圖8A)。 Figure 12A is a line drawing of an embodiment of charts 368, 370, 372, and 374. Figure Each of tables 368, 370, 372, and 374 plots the power value as a function of time t in kW. As shown in each of Figures 368, 370, 372, and 374, the 27 MHz power signal has a power value a31 during states S1 and S2 and a power value a32 during state S3. The remainder of chart 368 is similar to chart 302 (Fig. 8A). In addition, the remainder of chart 370 is similar to chart 304 (FIG. 8A), the remainder of chart 372 is similar to chart 306 (FIG. 8A), and the remainder of chart 374 is similar to chart 308 (FIG. 8A).

圖12B係圖表376、378、380、及382之實施例的線圖。圖表376、378、380、及382之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表376、378、380、及382之每一者所示般,27MHz功率信號於狀態S1及S2期間具有功率值a31,並且於狀態S3期間具有功率值a32。功率值a32大於功率值a31。圖表376的其餘部份類似圖表310(圖8B)。此外,圖表378的其餘部份類似圖表312(圖8B)、圖表380的其餘部份類似 圖表314(圖8B)、以及圖表382的其餘部份類似圖表316(圖8B)。 Figure 12B is a line drawing of an embodiment of charts 376, 378, 380, and 382. Each of charts 376, 378, 380, and 382 plots the power value as a function of time t in kW. As shown in each of Figures 376, 378, 380, and 382, the 27 MHz power signal has a power value a31 during states S1 and S2 and a power value a32 during state S3. The power value a32 is greater than the power value a31. The remainder of chart 376 is similar to chart 310 (Fig. 8B). In addition, the remainder of chart 378 is similar to chart 312 (Fig. 8B), and the rest of chart 380 is similar. Chart 314 (Fig. 8B), and the remainder of chart 382, resemble chart 316 (Fig. 8B).

圖13A係圖表384、386、388、及390之實施例的線圖。圖 表384、386、388、及390之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表384所示,27MHz功率信號於狀態S1及S2期間具有功率值a31,並且於狀態S3期間具有功率值a32。圖表384的其餘部份類似圖表320(圖9A)。此外,圖表386的其餘部份類似圖表322(圖9A)、圖表388的其餘部份類似圖表324(圖9A)、以及圖表390的其餘部份類似圖表326(圖9A)。 Figure 13A is a line drawing of an embodiment of charts 384, 386, 388, and 390. Figure Each of Tables 384, 386, 388, and 390 plots the power value as a function of time t in kW. As shown in graph 384, the 27 MHz power signal has a power value a31 during states S1 and S2 and a power value a32 during state S3. The remainder of chart 384 is similar to chart 320 (Fig. 9A). In addition, the remainder of chart 386 is similar to chart 322 (FIG. 9A), the remainder of chart 388 is similar to chart 324 (FIG. 9A), and the remainder of chart 390 is similar to chart 326 (FIG. 9A).

圖13B係圖表392、394、396、及398之實施例的線圖。圖 表392、394、396、及398之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表392、394、396、及398之每一者所示般,27MHz功率信號於狀態S1及S2期間具有功率值a31,並且於狀態S3期間具有功率值a32。 圖表392的其餘部份類似圖表328(圖9B)。此外,圖表394的其餘部份類似圖表330(圖9B)、圖表396的其餘部份類似圖表332(圖9B)、以及圖表398的其餘部份類似圖表334(圖9B)。 Figure 13B is a line drawing of an embodiment of charts 392, 394, 396, and 398. Figure Each of Tables 392, 394, 396, and 398 plots the power value as a function of time t in kW. As shown in each of Figures 392, 394, 396, and 398, the 27 MHz power signal has a power value a31 during states S1 and S2 and a power value a32 during state S3. The remainder of chart 392 is similar to chart 328 (Fig. 9B). In addition, the remainder of chart 394 is similar to chart 330 (FIG. 9B), the remainder of chart 396 is similar to chart 332 (FIG. 9B), and the remainder of chart 398 is similar to chart 334 (FIG. 9B).

圖14A係圖表402、404、406、及408之實施例的線圖。圖 表402、404、406、及408之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表402、404、406、及408之每一者所示般,27MHz功率信號於狀態S1及S2期間具有功率值a32,並且於狀態S3期間具有功率值a31。 圖表402的其餘部份類似圖表302(圖8A)。此外,圖表404的其餘部份類似圖表304(圖8A)、圖表406的其餘部份類似圖表306(圖8A)、以及圖表408的其餘部份類似圖表308(圖8A)。 14A is a line diagram of an embodiment of graphs 402, 404, 406, and 408. Figure Each of tables 402, 404, 406, and 408 plots the power value as a function of time t in kW. As shown in each of Figures 402, 404, 406, and 408, the 27 MHz power signal has a power value a32 during states S1 and S2 and a power value a31 during state S3. The remainder of chart 402 is similar to chart 302 (Fig. 8A). In addition, the remainder of chart 404 is similar to chart 304 (FIG. 8A), the remainder of chart 406 is similar to chart 306 (FIG. 8A), and the remainder of chart 408 is similar to chart 308 (FIG. 8A).

圖14B係圖表410、412、414、及416之實施例的線圖。圖 表410、412、414、及416之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表410、412、414、及416之每一者所示般,27MHz功率信號於狀態S1及S2期間具有功率值a32,並且於狀態S3期間具有功率值a31。 圖表410的其餘部份類似圖表310(圖8B)。此外,圖表412的其餘部份類似圖表312(圖8B)、414的其餘部份類似圖表314(圖8B)、以及圖表416的其餘部份類似圖表316(圖8B)。 14B is a line diagram of an embodiment of charts 410, 412, 414, and 416. Figure Each of tables 410, 412, 414, and 416 depicts the power value as a function of time t in kW. As shown in each of the graphs 410, 412, 414, and 416, the 27 MHz power signal has a power value a32 during states S1 and S2 and a power value a31 during state S3. The remainder of chart 410 is similar to chart 310 (Fig. 8B). In addition, the remainder of chart 412 is similar to chart 312 (FIG. 8B), the remainder of 414 is similar to chart 314 (FIG. 8B), and the remainder of chart 416 is similar to chart 316 (FIG. 8B).

圖15A係圖表418、420、422、及424之實施例的線圖。圖 表418、420、422、及424之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表418所示,27MHz功率信號於狀態S1及S2期間具有功率值a32,並且於狀態S3期間具有功率值a31。圖表418的其餘部份類似圖表320(圖9A)。此外,圖表420的其餘部份類似圖表322(圖9A)、圖表422的其餘部份類似圖表324(圖9A)、以及圖表424的其餘部份類似圖表326(圖9A)。 Figure 15A is a line diagram of an embodiment of charts 418, 420, 422, and 424. Figure Each of the tables 418, 420, 422, and 424 plots the power value as a function of time t in kW. As shown in graph 418, the 27 MHz power signal has a power value a32 during states S1 and S2 and a power value a31 during state S3. The remainder of chart 418 is similar to chart 320 (Fig. 9A). In addition, the remainder of chart 420 is similar to chart 322 (FIG. 9A), the remainder of chart 422 is similar to chart 324 (FIG. 9A), and the remainder of chart 424 is similar to chart 326 (FIG. 9A).

圖15B係圖表426、428、430、及432之實施例的線圖。圖 表426、428、430、及432之每一者繪示了功率值作為時間t的函數,單位為kW。如圖表426、428、430、及432之每一者所示般,27MHz功率信號於狀態S1及S2期間具有功率值a32,並且於狀態S3期間具有功率值a31。 圖表426的其餘部份類似圖表328(圖9B)。此外,圖表428的其餘部份類似圖表330(圖9B)、圖表430的其餘部份類似圖表332(圖9B)、以及圖表432的其餘部份類似圖表334(圖9B)。 Figure 15B is a line drawing of an embodiment of charts 426, 428, 430, and 432. Figure Each of tables 426, 428, 430, and 432 plots the power value as a function of time t in kW. As shown in each of Figures 426, 428, 430, and 432, the 27 MHz power signal has a power value a32 during states S1 and S2 and a power value a31 during state S3. The remainder of chart 426 is similar to chart 328 (Fig. 9B). In addition, the remainder of chart 428 is similar to chart 330 (FIG. 9B), the remainder of chart 430 is similar to chart 332 (FIG. 9B), and the remainder of chart 432 is similar to chart 334 (FIG. 9B).

注意到雖然上述實施例係參考平行板電漿腔室而加以描 述,但在一實施例中,上述實施例應用在其他種類的電漿腔室,例如:包括感應耦合電漿(ICP)反應器之電漿腔室、包括電子迴旋共振(ECR)反應器之電漿腔室等等。例如,電源160及162係耦合至ICP電漿腔室內之電感。 It is noted that although the above embodiments are described with reference to a parallel plate plasma chamber Said, but in one embodiment, the above embodiments are applied to other types of plasma chambers, such as plasma chambers including inductively coupled plasma (ICP) reactors, including electron cyclotron resonance (ECR) reactors. Plasma chamber and so on. For example, power sources 160 and 162 are coupled to the inductance of the ICP plasma chamber.

應注意到雖然上述之實施例涉及將2MHz RF信號、及/或 60MHz RF信號、及/或27MHz RF信號提供至下電極120,並且將上電極122接地;但在不同實施例中,將2MHz、60MHz、及27MHz信號提供至上電極122,而將下電極120接地。 It should be noted that although the above embodiments relate to a 2 MHz RF signal, and/or A 60 MHz RF signal, and/or a 27 MHz RF signal is provided to the lower electrode 120 and the upper electrode 122 is grounded; however, in various embodiments, 2 MHz, 60 MHz, and 27 MHz signals are provided to the upper electrode 122 and the lower electrode 120 is grounded.

在一實施例中,由RF產生器之AFT及/或電力控制器所執 行之操作係由RF產生器之DSP來執行。例如,於此所述由AFT 130、132、及134所執行之操作係由DSP 140來執行(圖1)。如另一範例,於此所述由AFT 138、AFT 141、AFT 142、電力控制器150、電力控制器152、及電力控制器154所執行之操作係由DSP 153來執行(圖1)。 In an embodiment, by the AFT and/or power controller of the RF generator The operation of the line is performed by the DSP of the RF generator. For example, the operations performed by AFTs 130, 132, and 134 described herein are performed by DSP 140 (FIG. 1). As another example, the operations performed by AFT 138, AFT 141, AFT 142, power controller 150, power controller 152, and power controller 154 are performed by DSP 153 (FIG. 1).

可用各種電腦系統配置(包括手持裝置、微處理器系統、基 於微處理器或可編程消費性電子、小型電腦、主機電腦及類似者)來實現於此所述之實施例。實施例亦可實現在分散式計算環境中,於分散式計算環境中工作係藉由透過網路所連接之遠端處理裝置來執行。 Can be configured with a variety of computer systems (including handheld devices, microprocessor systems, bases) Embodiments described herein are implemented in microprocessors or programmable consumer electronics, minicomputers, host computers, and the like. Embodiments may also be implemented in a distributed computing environment where the work is performed by a remote processing device connected through a network.

有了以上實施例的概念後,應瞭解到實施例可採用涉及電腦 系統中所儲存之資料的各種電腦執行之操作。這些操作為需要物理量之物理處理的操作。於此所描述之形成實施例之部份的操作之任一者皆為有用的機械操作。實施例亦涉及用以執行這些操作的裝置或設備。該設備可特別建構作為一特殊用途電腦。當定義為特殊用途電腦時,該電腦亦可執行其他非該特殊用途之一部份的處理、程式執行、或例行工作,同時仍能操作於該特殊用途。或者,該操作可藉由被一或更多儲存在電腦記憶體、快取記憶體、或網路上取得之電腦程式選擇性啟動或配置的一般用途電腦加以處理。當資料是在網路上取得時,該資料可由網路上的其他電腦(例如雲端計算資源)加以處理。 With the concept of the above embodiment, it should be understood that the embodiment may adopt a computer Various computer-implemented operations of the data stored in the system. These operations are operations that require physical processing of physical quantities. Any of the operations described herein that form part of the embodiments are useful mechanical operations. Embodiments also relate to apparatus or devices for performing these operations. The device can be specially constructed as a special purpose computer. When defined as a special-purpose computer, the computer may also perform other processing, program execution, or routine work that is not part of that particular use, while still operating for that particular purpose. Alternatively, the operation can be handled by a general purpose computer selectively activated or configured by one or more computer programs stored in computer memory, cache memory, or network. When data is obtained on the Internet, it can be processed by other computers on the network, such as cloud computing resources.

本發明之一或更多實施例亦可製作為非暫時性電腦可讀媒 體上之電腦可讀碼。電腦可讀媒體係可儲存資料的任何資料儲存裝置(例如記憶體裝置等等),此資料之後可由電腦系統讀取。電腦可讀媒體的範例包括硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟(CD-ROM)、可紀錄光碟(CD-R)、可覆寫光碟(CD-RW)、磁帶、以及其他光學式與非光學式資料儲存裝置。電腦可讀媒體可包括分散在網路耦接電腦系統上之電腦可讀實體媒體,使得電腦可讀碼以分散的方式儲存及執行。 One or more embodiments of the present invention can also be made as a non-transitory computer readable medium Computer readable code on the body. A computer readable medium is any data storage device (eg, a memory device, etc.) that can store data, which can then be read by a computer system. Examples of computer readable media include hard disk, network attached storage (NAS), ROM, RAM, compact disc (CD-ROM), recordable compact disc (CD-R), rewritable compact disc (CD-RW), Magnetic tape, and other optical and non-optical data storage devices. The computer readable medium can include computer readable physical media distributed over a network coupled computer system such that the computer readable code is stored and executed in a distributed fashion.

雖然該等方法操作係以特定順序加以敘述,惟應瞭解到在操 作之間可執行其他內務管理操作,或者可調整操作使其出現在稍微不同的時間,或者只要覆蓋操作之處理以所期望之方式執行,便可將操作分散在允許處理操作出現在與處理相關的各個時期之系統中。 Although the operation of these methods is described in a specific order, it should be understood that Other housekeeping operations can be performed between them, or the operations can be adjusted to occur at slightly different times, or as long as the processing of the overlay operations is performed in the desired manner, the operations can be dispersed to allow processing operations to occur in relation to processing. In the system of each period.

在不離開本揭露內容所述之各種實施例中所敘述之範圍的 情況下,可將任何實施例之一或更多技術特徵與任何其他實施例之一或更多技術特徵加以組合。 Without departing from the scope of the various embodiments described in the present disclosure In this case, one or more of the technical features of any embodiment can be combined with one or more of the features of any other embodiment.

雖然為清楚瞭解之目的已詳細描述以上實施例,但將顯而易 見的,在隨附的專利申請範圍之範圍內仍可實施一些變化及修改。因此, 本實施例將視為示例性而非限制性,並且本發明不限於此處提出之細節,而可在隨附的專利申請範圍之範圍及均等內加以修改。 Although the above embodiments have been described in detail for the purpose of clarity, it will be obvious It is to be understood that some variations and modifications may be implemented within the scope of the appended patent application. therefore, The present invention is to be considered as illustrative and not restrictive, and the invention is not limited by the scope of the inventions.

100‧‧‧系統 100‧‧‧ system

102‧‧‧脈衝信號 102‧‧‧ pulse signal

104‧‧‧電漿腔室 104‧‧‧The plasma chamber

106‧‧‧阻抗匹配電路 106‧‧‧ impedance matching circuit

120‧‧‧下電極 120‧‧‧ lower electrode

122‧‧‧上電極 122‧‧‧Upper electrode

124‧‧‧基板 124‧‧‧Substrate

126‧‧‧上表面 126‧‧‧ upper surface

130、132、134、138、141、142‧‧‧自動頻率調諧器 130, 132, 134, 138, 141, 142‧‧ Automatic frequency tuner

140、153‧‧‧數位信號處理器 140, 153‧‧‧ digital signal processor

144、146、148、150、152、154‧‧‧電力控制器 144, 146, 148, 150, 152, 154‧‧‧ power controller

151‧‧‧工具使用者介面 151‧‧‧Tool user interface

160、162‧‧‧電源 160, 162‧‧‧ power supply

180、182‧‧‧RF電纜 180, 182‧‧‧RF cable

184‧‧‧RF傳輸線 184‧‧‧RF transmission line

210、212‧‧‧感測器 210, 212‧‧‧ sensor

220、222‧‧‧自動頻率調諧器 220, 222‧‧‧Automatic frequency tuner

221、223‧‧‧類比數位轉換器 221, 223‧‧‧ analog digital converter

Claims (26)

一種電漿處理系統,包含:一主要產生器,包括三主要電力控制器,各主要電力控制器係配置以一預定功率設定;一次要產生器,包括三次要電力控制器,各次要電力控制器係配置以一預定功率設定;以及一控制電路,作為輸入至各主要及次要產生器的介面,該控制電路係配置成產生脈衝信號,該脈衝信號係定義成包括三狀態,該等狀態定義一循環,於操作期間重複該循環複數次,各狀態係定義成選擇該等三主要電力控制器其中之第一、或第二、或第三主要電力控制器,同時也選擇該等三次要電力控制器其中之第一、或第二、或第三次要電力控制器;其中該主要產生器及該次要產生器係經由阻抗匹配電路而耦合至電漿腔室。 A plasma processing system comprising: a main generator comprising three main power controllers, each main power controller is configured to be set at a predetermined power; a primary generator, including three power controllers, and each secondary power control The device is configured to be set at a predetermined power; and a control circuit is provided as an interface to each of the primary and secondary generators, the control circuit configured to generate a pulse signal, the pulse signal being defined to include three states, the states Defining a loop, repeating the loop a plurality of times during operation, each state being defined to select one of the first, second, or third primary power controllers of the three primary power controllers, and also selecting the three primary power controllers The first, second or third power controller of the power controller; wherein the primary generator and the secondary generator are coupled to the plasma chamber via an impedance matching circuit. 如申請專利範圍第1項之電漿處理系統,其中該主要產生器包括三主要自動頻率調諧器(AFT),各主要AFT係配置以一預定頻率設定,其中該次要產生器包括三次要AFT,各次要AFT係配置以一預定頻率設定,各狀態係定義成選擇該等三主要AFT其中之第一、或第二、或第三主要AFT,同時也選擇該等三次要AFT其中之第一、或第二、或第三次要AFT。 A plasma processing system according to claim 1, wherein the primary generator comprises three primary automatic frequency tuners (AFTs), each primary AFT system configuration being set at a predetermined frequency, wherein the secondary generator comprises three AFTs. Each secondary AFT configuration is set at a predetermined frequency, each state being defined as selecting the first, second, or third primary AFT of the three primary AFTs, and also selecting the third of the three AFTs One, or the second or third AFT. 如申請專利範圍第1項之電漿處理系統,其中該主要產生器包括主要射頻(RF)產生器,並且該次要產生器包括次要RF產生器。 A plasma processing system according to claim 1 wherein the primary generator comprises a primary radio frequency (RF) generator and the secondary generator comprises a secondary RF generator. 如申請專利範圍第1項之電漿處理系統,其中該等主要電力控制器為該主要產生器之處理器的一部份,其中該等次要電力控制器為該次要產生器之處理器的一部份。 The plasma processing system of claim 1, wherein the primary power controller is part of a processor of the primary generator, wherein the secondary power controller is a processor of the secondary generator Part of it. 如申請專利範圍第1項之電漿處理系統,其中該脈衝信號為數位脈衝信號。 The plasma processing system of claim 1, wherein the pulse signal is a digital pulse signal. 如申請專利範圍第1項之電漿處理系統,其中該操作包括該主要及次要RF產生器的操作。 A plasma processing system according to claim 1 wherein the operation comprises operation of the primary and secondary RF generators. 一種電漿系統,配置成用於利用多數狀態之操作,該電漿系統包含:一主要射頻(RF)產生器,用以接收脈衝信號,該脈衝信號具有三或更多狀態,該等三或更多狀態包括第一狀態、第二狀態、及第三狀態,該主要RF產生器經由阻抗匹配電路而耦合至電漿腔室;一次要RF產生器,用以接收該脈衝信號,該次要RF產生器經由該阻抗匹配電路而耦合至該電漿腔室;該主要RF產生器及該次要RF產生器之每一者係配置成判斷是否該脈衝信號處於該第一狀態、或該第二狀態、或該第三狀態;該主要RF產生器係配置成將具有第一主要定量位準之RF信號提供至該阻抗匹配電路,以回應該脈衝信號處於該第一狀態之判斷;該次要RF產生器係配置成將具有第一次要定量位準之RF信號提供至該阻抗匹配電路,以回應該脈衝信號處於該第一狀態之判斷;該主要RF產生器係配置成將具有該第一主要定量位準之RF信號提供至該阻抗匹配電路,以回應該脈衝信號處於該第二狀態之判斷;該次要RF產生器係配置成將具有第二次要定量位準之RF信號提供至該阻抗匹配電路,以回應該脈衝信號處於該第二狀態之判斷;該主要RF產生器係配置成將具有第二主要定量位準之RF信號提供至該阻抗匹配電路,以回應該脈衝信號處於該第三狀態之判斷;該次要RF產生器係配置成將具有第三次要定量位準之RF信號提供至該阻抗匹配電路,以回應該脈衝信號處於該第三狀態之判斷。 A plasma system configured to operate in a plurality of states, the plasma system comprising: a primary radio frequency (RF) generator for receiving a pulse signal having three or more states, the three or The more states include a first state, a second state, and a third state, the primary RF generator being coupled to the plasma chamber via an impedance matching circuit; a primary RF generator for receiving the pulse signal, the secondary An RF generator is coupled to the plasma chamber via the impedance matching circuit; each of the primary RF generator and the secondary RF generator is configured to determine whether the pulse signal is in the first state, or the first a second state, or a third state; the primary RF generator is configured to provide an RF signal having a first primary quantitative level to the impedance matching circuit to determine that the pulse signal is in the first state; The RF generator is configured to provide an RF signal having a first quasi-quantitative level to the impedance matching circuit to determine that the pulse signal is in the first state; the primary RF generator is configured to The first primary quantitative level RF signal is provided to the impedance matching circuit to determine that the pulse signal is in the second state; the secondary RF generator is configured to have the second secondary quantized RF a signal is provided to the impedance matching circuit to determine that the pulse signal is in the second state; the primary RF generator is configured to provide an RF signal having a second primary quantitative level to the impedance matching circuit to respond a determination that the pulse signal is in the third state; the secondary RF generator is configured to provide an RF signal having a third secondary quantitative level to the impedance matching circuit to determine that the pulse signal is in the third state . 如申請專利範圍第7項之電漿系統,其中該第一及第二狀態係與該主要RF產生器的相同功率位準相關。 The plasma system of claim 7, wherein the first and second states are related to the same power level of the primary RF generator. 如申請專利範圍第7項之電漿系統,其中該第一及第三狀態係與該主要 RF產生器的不同功率位準相關。 The plasma system of claim 7, wherein the first and third states are related to the main Different power levels of the RF generator are correlated. 如申請專利範圍第7項之電漿系統,其中該第一狀態出現的時期與該第二狀態出現的時期相等。 The plasma system of claim 7, wherein the first state occurs for a period equal to the period in which the second state occurs. 如申請專利範圍第7項之電漿系統,其中該第一狀態出現的時期與該第二狀態出現的時期不相等。 The plasma system of claim 7, wherein the period in which the first state occurs is not equal to the period in which the second state occurs. 如申請專利範圍第7項之電漿系統,其中該第二狀態出現的時期與該第三狀態出現的時期相等。 The plasma system of claim 7, wherein the second state occurs for a period equal to a period in which the third state occurs. 如申請專利範圍第7項之電漿系統,其中該第二狀態出現的時期與該第三狀態出現的時期不相等。 The plasma system of claim 7, wherein the period in which the second state occurs is not equal to the period in which the third state occurs. 如申請專利範圍第7項之電漿系統,其中該第一主要定量位準、第二主要定量位準、第一次要定量位準、第二次要定量位準、及第三次要定量位準為功率位準。 For example, in the plasma system of claim 7, wherein the first major quantitative level, the second major quantitative level, the first secondary quantitative level, the second secondary quantitative level, and the third secondary quantitative The level is the power level. 如申請專利範圍第7項之電漿系統,其中該第一主要定量位準、第二主要定量位準、第一次要定量位準、第二次要定量位準、及第三次要定量位準為頻率位準。 For example, in the plasma system of claim 7, wherein the first major quantitative level, the second major quantitative level, the first secondary quantitative level, the second secondary quantitative level, and the third secondary quantitative The level is the frequency level. 一種電漿系統,配置成基於多數狀態而操作,該電漿系統包含:一主要射頻(RF)產生器,用以接收脈衝信號,該脈衝信號具有三或更多狀態,該等三或更多狀態包括第一狀態、第二狀態、及第三狀態,該主要RF產生器經由阻抗匹配電路耦合至電漿腔室,該主要RF產生器用於判斷是否該脈衝信號處於該第一狀態、或該第二狀態、或該第三狀態,該主要RF產生器係配置成將具有第一主要定量位準之RF信號提供至 該電漿腔室以激發電漿,以回應該脈衝信號處於該第一狀態之判斷,該主要RF產生器係配置成將具有該第一主要定量位準之RF信號提供至該電漿腔室,以回應該脈衝信號處於該第二狀態之判斷,該主要RF產生器係配置成將具有第二主要定量位準之RF信號提供至該電漿腔室,以回應該脈衝信號處於該第三狀態之判斷;一次要RF產生器,經由該阻抗匹配電路耦合至該電漿腔室,該次要RF產生器用於判斷是否與該電漿相關之參數超過第一臨界值,該次要RF產生器係配置成提供具有第一次要定量位準之RF信號,以回應與該電漿相關之該參數不超過該第一臨界值之判斷,該次要RF產生器係配置成提供具有第二次要定量位準之RF信號,以回應與該電漿相關之該參數超過該第一臨界值之判斷。 A plasma system configured to operate based on a plurality of states, the plasma system comprising: a primary radio frequency (RF) generator for receiving a pulse signal having three or more states, three or more The state includes a first state, a second state, and a third state, the primary RF generator being coupled to the plasma chamber via an impedance matching circuit, the primary RF generator for determining whether the pulse signal is in the first state, or a second state, or the third state, the primary RF generator configured to provide an RF signal having a first primary quantitative level to The plasma chamber is energized to determine that the pulse signal is in the first state, the primary RF generator being configured to provide an RF signal having the first primary quantitative level to the plasma chamber Resolving that the pulse signal is in the second state, the primary RF generator is configured to provide an RF signal having a second primary quantitative level to the plasma chamber to respond to the pulse signal at the third State determination; a primary RF generator coupled to the plasma chamber via the impedance matching circuit, the secondary RF generator for determining whether a parameter associated with the plasma exceeds a first threshold, the secondary RF generation The apparatus is configured to provide an RF signal having a first quasi-quantitative level in response to a determination that the parameter associated with the plasma does not exceed the first threshold, the secondary RF generator configured to provide a second The RF signal of the secondary quantitative level is responsive to a determination that the parameter associated with the plasma exceeds the first threshold. 如申請專利範圍第16項之電漿系統,其中該次要RF產生器用於判斷是否該脈衝信號從該第三狀態轉變至該第一狀態,當從該第三狀態轉變至該第一狀態發生時,該次要RF產生器判斷是否與該電漿相關之該參數超過該第一臨界值。 The plasma system of claim 16, wherein the secondary RF generator is configured to determine whether the pulse signal transitions from the third state to the first state, and when transitioning from the third state to the first state occurs The secondary RF generator determines whether the parameter associated with the plasma exceeds the first threshold. 如申請專利範圍第17項之電漿系統,其中該次要RF產生器用於判斷是否該脈衝信號從該第一狀態轉變至該第二狀態,當從該第一狀態轉變至該第二狀態發生時,該次要RF產生器判斷是否與該電漿相關之該參數超過第二臨界值,該次要RF產生器係配置成提供具有該第二次要定量位準之RF信號,以回應與該電漿相關之該參數不超過該第二臨界值之判斷,該次要RF產生器係配置成提供具有第三次要定量位準之RF信號,以回應與該電漿相關之該參數超過該第二臨界值之判斷。 The plasma system of claim 17, wherein the secondary RF generator is configured to determine whether the pulse signal transitions from the first state to the second state, when transitioning from the first state to the second state occurs And the secondary RF generator determines whether the parameter associated with the plasma exceeds a second threshold, the secondary RF generator configured to provide an RF signal having the second secondary quantitative level in response to The parameter associated with the plasma does not exceed the second threshold value, the secondary RF generator configured to provide an RF signal having a third quasi-quantitative level in response to the parameter associated with the plasma exceeding The determination of the second threshold value. 如申請專利範圍第18項之電漿系統,其中該次要RF產生器用於判斷是否該脈衝信號從該第二狀態轉變至該第三狀態,當從該第二狀態轉變至該第三狀態發生時,該次要RF產生器判斷是否 與該電漿相關之該參數超過第三臨界值,該次要RF產生器係配置成提供具有該第三次要定量位準之RF信號,以回應與該電漿相關之該參數不超過該第三臨界值之判斷,該次要RF產生器係配置成提供具有該第一次要定量位準之RF信號,以回應與該電漿相關之該參數超過該第三臨界值之判斷。 The plasma system of claim 18, wherein the secondary RF generator is configured to determine whether the pulse signal transitions from the second state to the third state, when transitioning from the second state to the third state occurs When the secondary RF generator determines whether The parameter associated with the plasma exceeds a third threshold, the secondary RF generator configured to provide an RF signal having the third secondary quantitative level in response to the parameter associated with the plasma not exceeding the A third threshold value is determined, the secondary RF generator configured to provide an RF signal having the first secondary quantitative level in response to a determination that the parameter associated with the plasma exceeds the third threshold. 如申請專利範圍第16項之電漿系統,其中該第一主要定量位準及該第二主要定量位準為功率位準。 The plasma system of claim 16, wherein the first primary quantitative level and the second primary quantitative level are power levels. 如申請專利範圍第16項之電漿系統,其中該第一主要定量位準及該第二主要定量位準為頻率位準。 The plasma system of claim 16, wherein the first primary quantitative level and the second primary quantitative level are frequency levels. 如申請專利範圍第16項之電漿系統,其中該第一狀態出現的時期與該第二狀態出現的時期相等。 The plasma system of claim 16, wherein the first state occurs for a period equal to the period in which the second state occurs. 如申請專利範圍第16項之電漿系統,其中該第一狀態出現的時期與該第二狀態出現的時期不相等。 The plasma system of claim 16, wherein the period in which the first state occurs is not equal to the period in which the second state occurs. 如申請專利範圍第16項之電漿系統,其中與該電漿相關之該參數包括:該電漿之阻抗變化、或與該電漿相關之伽瑪值、或與該電漿相關之電壓駐波比率、或其組合。 The plasma system of claim 16, wherein the parameter related to the plasma comprises: a change in impedance of the plasma, or a gamma value associated with the plasma, or a voltage resident associated with the plasma Wave ratio, or a combination thereof. 一種電漿方法,包含:接收一脈衝信號,其中接收該脈衝信號係由主要處理器所執行;接收該脈衝信號,其中接收該脈衝信號係由次要處理器所執行;判斷是否該脈衝信號處於第一狀態、或第二狀態、或第三狀態,其中該判斷係由該主要處理器所執行;判斷是否該脈衝信號處於該第一狀態、或該第二狀態、或該第三狀態,其中該判斷係由該次要處理器所執行; 將第一射頻(RF)信號之第一主要定量位準提供至主要電源,以回應該脈衝信號處於該第一狀態之判斷,其中提供該第一主要定量位準係由該主要處理器所執行;將第二RF信號之第一次要定量位準提供至次要電源,以回應該脈衝信號處於該第一狀態之判斷,其中提供該第一次要定量位準係由該次要處理器所執行;將該第一RF信號之該第一主要定量位準提供至該主要電源,以回應該脈衝信號處於該第二狀態之判斷,其中提供該第一主要定量位準係由該主要處理器所執行;將該第二RF信號之第二次要定量位準提供至該次要電源,以回應該脈衝信號處於該第二狀態之判斷,其中提供該第二次要定量位準係由該次要處理器所執行;將該第一RF信號之第二主要定量位準提供至該主要電源,以回應該脈衝信號處於該第三狀態之判斷,其中提供該第二主要定量位準係由該主要處理器所執行;將該第二RF信號之第三次要定量位準提供至該次要電源,以回應該脈衝信號處於該第三狀態之判斷,其中提供該第三次要定量位準係由該次要處理器所執行;以及將該第一RF信號及該第二RF信號供應至阻抗匹配電路,該阻抗匹配電路係耦合至電漿腔室。 A plasma method comprising: receiving a pulse signal, wherein receiving the pulse signal is performed by a primary processor; receiving the pulse signal, wherein receiving the pulse signal is performed by a secondary processor; determining whether the pulse signal is a first state, or a second state, or a third state, wherein the determining is performed by the primary processor; determining whether the pulse signal is in the first state, or the second state, or the third state, wherein The determination is performed by the secondary processor; Providing a first primary quantitative level of the first radio frequency (RF) signal to the primary power source to determine that the pulse signal is in the first state, wherein providing the first primary quantitative level is performed by the primary processor Providing a first quantification level of the second RF signal to the secondary power source to determine that the pulse signal is in the first state, wherein the first quantification level is provided by the secondary processor Performing; providing the first primary quantitative level of the first RF signal to the primary power source to determine that the pulse signal is in the second state, wherein the first primary quantitative level is provided by the primary processing Performing, providing a second secondary quantitative level of the second RF signal to the secondary power source to determine that the pulse signal is in the second state, wherein the second secondary quantitative level is provided Performed by the secondary processor; providing a second primary quantitative level of the first RF signal to the primary power source to determine that the pulse signal is in the third state, wherein the second primary quantitative level is provided by Executing by the main processor; providing a third quantification level of the second RF signal to the secondary power source to determine that the pulse signal is in the third state, wherein the third sub-quantity level is provided And being executed by the secondary processor; and supplying the first RF signal and the second RF signal to an impedance matching circuit coupled to the plasma chamber. 如申請專利範圍第25項之電漿方法,其中該第一狀態出現的時期與該第二狀態出現的時期相等。 The plasma method of claim 25, wherein the first state occurs for a period equal to the second state.
TW102133223A 2012-09-14 2013-09-13 Adjustment of power and frequency based on three or more states TWI599272B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261701574P 2012-09-14 2012-09-14
US14/016,841 US9462672B2 (en) 2012-02-22 2013-09-03 Adjustment of power and frequency based on three or more states

Publications (2)

Publication Number Publication Date
TW201427496A TW201427496A (en) 2014-07-01
TWI599272B true TWI599272B (en) 2017-09-11

Family

ID=50318412

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102133223A TWI599272B (en) 2012-09-14 2013-09-13 Adjustment of power and frequency based on three or more states

Country Status (3)

Country Link
KR (1) KR102165741B1 (en)
CN (2) CN103681195B (en)
TW (1) TWI599272B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI799727B (en) * 2019-08-06 2023-04-21 日商京三製作所股份有限公司 Pulsed high frequency monitor

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677263B (en) * 2014-04-23 2019-11-11 美商蘭姆研究公司 Soft pulsing
TWI735912B (en) * 2014-08-22 2021-08-11 美商蘭姆研究公司 Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state
JP5797313B1 (en) 2014-08-25 2015-10-21 株式会社京三製作所 Regenerative circulator, high frequency power supply device, and high frequency power regeneration method
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9577516B1 (en) * 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
CN109315059B (en) * 2016-04-12 2021-08-24 海别得公司 System and method for providing power for plasma arc cutting
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
US10224183B1 (en) 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
CN110648888B (en) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 Radio frequency pulse matching method and device and pulse plasma generating system
JP7542001B2 (en) * 2019-04-29 2024-08-29 ラム リサーチ コーポレーション Systems and methods for multi-level pulsing in RF plasma tools - Patents.com

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200733231A (en) * 2005-12-16 2007-09-01 Lam Res Corp Apparatus and method for controlling plasma density profile
TW201038142A (en) * 2009-04-10 2010-10-16 Hitachi High Tech Corp Plasma Processing System
TW201038143A (en) * 2008-12-02 2010-10-16 Tokyo Electron Ltd Plasma processing apparatus and operation method of the same
US20110118863A1 (en) * 2009-11-19 2011-05-19 Valcore Jr John C Methods and apparatus for controlling a plasma processing system
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001168086A (en) * 1999-12-09 2001-06-22 Kawasaki Steel Corp Method of manufacturing semiconductor device and manufacturing apparatus
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
CN101896034A (en) * 2007-04-13 2010-11-24 中微半导体设备(上海)有限公司 Radio frequency power source system and plasma reaction chamber applying same
TWI383712B (en) * 2007-05-22 2013-01-21 Advanced Micro Fab Equip Inc An RF power source system and a plasma reaction chamber using the RF power source system
KR20100057693A (en) * 2007-09-21 2010-05-31 콸콤 인코포레이티드 Signal generator with adjustable frequency
KR101124770B1 (en) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus, plasma processing method and computer readable storage medium
US8264154B2 (en) * 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
KR101510775B1 (en) * 2008-11-24 2015-04-10 삼성전자주식회사 synchronous pulse plasma etching equipment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200733231A (en) * 2005-12-16 2007-09-01 Lam Res Corp Apparatus and method for controlling plasma density profile
TW201038143A (en) * 2008-12-02 2010-10-16 Tokyo Electron Ltd Plasma processing apparatus and operation method of the same
TW201038142A (en) * 2009-04-10 2010-10-16 Hitachi High Tech Corp Plasma Processing System
US20110118863A1 (en) * 2009-11-19 2011-05-19 Valcore Jr John C Methods and apparatus for controlling a plasma processing system
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI799727B (en) * 2019-08-06 2023-04-21 日商京三製作所股份有限公司 Pulsed high frequency monitor

Also Published As

Publication number Publication date
TW201427496A (en) 2014-07-01
CN103681195B (en) 2017-03-01
CN106935473B (en) 2018-11-02
CN106935473A (en) 2017-07-07
KR20140035860A (en) 2014-03-24
KR102165741B1 (en) 2020-10-15
CN103681195A (en) 2014-03-26

Similar Documents

Publication Publication Date Title
TWI599272B (en) Adjustment of power and frequency based on three or more states
US11361942B2 (en) Adjustment of power and frequency based on three or more states
KR102130921B1 (en) State-based adjustment of power and frequency
US9960015B2 (en) Impedance-based adjustment of power and frequency
TWI613697B (en) System and method for reducing effect of change in power level of radio frequency signal on plasma impedance
KR20180036531A (en) Frequency and match tuning in one state and frequency tuning in the other state
KR20140113612A (en) Dual control mode