CN103681195A - Adjustment of power and frequency based on three or more states - Google Patents

Adjustment of power and frequency based on three or more states Download PDF

Info

Publication number
CN103681195A
CN103681195A CN201310422704.3A CN201310422704A CN103681195A CN 103681195 A CN103681195 A CN 103681195A CN 201310422704 A CN201310422704 A CN 201310422704A CN 103681195 A CN103681195 A CN 103681195A
Authority
CN
China
Prior art keywords
state
generator
power
plasma
pulse signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201310422704.3A
Other languages
Chinese (zh)
Other versions
CN103681195B (en
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/016,841 external-priority patent/US9462672B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201611234961.4A priority Critical patent/CN106935473B/en
Publication of CN103681195A publication Critical patent/CN103681195A/en
Application granted granted Critical
Publication of CN103681195B publication Critical patent/CN103681195B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2223/00Details of transit-time tubes of the types covered by group H01J2225/00
    • H01J2223/16Circuit elements, having distributed capacitance and inductance, structurally associated with the tube and interacting with the discharge
    • H01J2223/18Resonators
    • H01J2223/20Cavity resonators; Adjustment or tuning thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

The invention relates to adjustment of power and frequency based on three or more states. Systems and methods for adjusting power and frequency based on three or more states are described. One of the methods includes receiving a pulsed signal having multiple states. The pulsed signal is received by multiple radio frequency (RF) generators. When the pulsed signal having a first state is received, an RF signal having a pre-set power level is generated by a first RF generator and an RF signal having a pre-set power level is generated by a second RF generator. Moreover, when the pulsed signal having a second state is received, RF signals having pre-set power levels are generated by the first and second RF generators. Furthermore, when the pulsed signal having a third state is received, RF signals having pre-set power levels are generated by the first and second RF generators.

Description

Power based on three or more states and the adjusting of frequency
Technical field
Embodiments of the present invention relate to the response time of the change that improves plasma impedance, relate more specifically to device, method and computer program for the power based on three or more states and frequency adjustment.
Background technology
In plasma process system, a plurality of radio frequencies (RF) signal is provided for one or more electrode in plasma chamber.RF signal helps at the indoor generation plasma of plasma.This plasma is used to various operations, and for example, clean and be positioned at substrate, this substrate of etching on bottom electrode, etc.
Under this background, there is the execution mode described in the disclosure.
Summary of the invention
Embodiment of the present disclosure has been supplied for the device of the power based on three or more states and frequency adjustment, method and computer program.Should know, these execution modes can be implemented as various ways, for example, and the method on operation, device, system, equipment or computer-readable medium.Some execution modes will be described below.
In some embodiments, a kind of plasma process system has been described.This plasma based turnkey is drawn together main generator, and this main generator comprises three main power controllers.Each in described main power controller disposes predefined power setting.This plasma based turnkey is drawn together from generator, describedly from generator, comprises that three from power controller.Described each from power controller disposes predefined power setting.This plasma based turnkey is drawn together control circuit, this control circuit as input be connected to described main generator and described each from generator.Described control circuit is configured to produce pulse signal, and described pulse signal is defined as comprising three states in the cycle of carrying out repetition in the operating process that is defined in a plurality of cycles.Each state is defined to select first or second or the 3rd in described three main power controllers, also selects described three from power controller first or second or the 3rd simultaneously.
In one embodiment, a kind of plasma system of operating according to a plurality of states of being configured to has been described.Described plasma system comprises main r-f generator, and described main r-f generator is for return pulse signal.Described pulse signal has three or more states.Described three or more states comprise the first state, the second state and the third state.Described main r-f generator is configured to be coupled to plasma chamber via impedance matching circuit.Described plasma system also comprise for receive described pulse signal from r-f generator.Describedly from r-f generator, be configured to be coupled to described plasma chamber via described impedance matching circuit.Described main r-f generator and described each from r-f generator are configured to judge that whether described pulse signal is in described the first state or described the second state or the described third state.Described main r-f generator is configured to the judgement in described the first state in response to described pulse signal the radiofrequency signal with the first main quantization level is offered to described impedance matching circuit.Describedly from r-f generator, be configured to the judgement in described the first state in response to described pulse signal and offer described impedance matching circuit by thering is the first radiofrequency signal from quantization level.Described main r-f generator is configured to the judgement in described the second state in response to described pulse signal the radiofrequency signal with described the first main quantization level is offered to described impedance matching circuit.Describedly from r-f generator, be configured to the judgement in described the second state in response to described pulse signal and offer described impedance matching circuit by thering is the second radiofrequency signal from quantization level.Described main r-f generator is configured to the judgement in the described third state in response to described pulse signal the radiofrequency signal with the second main quantization level is offered to described impedance matching circuit.Describedly from r-f generator, be configured to the judgement in the described third state in response to described pulse signal and offer described impedance matching circuit by thering is the 3rd radiofrequency signal from quantization level.
In some embodiments, a kind of plasma system of operating according to a plurality of states of being configured to has been described.Described plasma system comprises the main r-f generator for return pulse signal, and described pulse signal has three or more states.Described three or more states comprise the first state, the second state and the third state.Described main r-f generator is configured to be coupled to plasma chamber via impedance matching circuit.Described main r-f generator is configured to judge that whether described pulse signal is in described the first state or described the second state or the described third state.Described main r-f generator is configured to the judgement in described the first state in response to described pulse signal the radiofrequency signal with the first main quantization level is offered to described plasma chamber with activated plasma, described main r-f generator is configured to the judgement in described the second state in response to described pulse signal the radiofrequency signal with described the first main quantization level is offered to described plasma chamber, and described main r-f generator is configured to the judgement in the described third state in response to described pulse signal the radiofrequency signal with the second main quantization level is offered to described plasma chamber.Described plasma system comprises from r-f generator, describedly from r-f generator, is configured to be coupled to described plasma chamber via described impedance matching circuit.Whether the described parameter being associated with described plasma from r-f generator judgement surpasses first threshold.The described judgement that is configured to be no more than described first threshold in response to the described parameter being associated with described plasma from r-f generator provides has the first radiofrequency signal from quantization level, and the judgement that is configured to surpass described first threshold in response to the described parameter being associated with described plasma provides and has the second radiofrequency signal from quantization level.
In some embodiments, plasma method comprises return pulse signal.The operation that receives described pulse signal is carried out by processor.Described plasma method further comprises the described pulse signal of reception.Receive the operation of described pulse signal by carrying out from processor.Described method comprises judges that whether described pulse signal is in the first state or the second state or the third state.Judge whether described pulse signal is carried out by described primary processor in the operation of the first state or the second state or the third state.Described method comprises judges that whether described pulse signal is in described the first state or the second state or the third state.Judge described pulse signal whether the operation in the first state or the second state or the third state by described, from processor, carry out.Described method further comprises that the judgement in described the first state offers main power source by the first main quantization level of the first radiofrequency signal in response to described pulse signal.Provide the operation of described the first main quantization level to carry out by described primary processor.Described method comprises that the judgement in described the first state offers described from power source from quantization level by first of described the second radiofrequency signal in response to described pulse signal.Provide the described first operation from quantization level to carry out from processor by described.
In some embodiments, described plasma method comprises that the judgement in described the second state offers described main power source by the first main quantization level of described the first radiofrequency signal in response to described pulse signal.Provide the operation of described the first main quantization level to carry out by described primary processor.Described method comprises that the judgement in described the second state offers described from power source from quantization level by second of described the second radiofrequency signal in response to described pulse signal.Provide the described second operation from quantization level to carry out from processor by described.Described method comprises that the judgement in the described third state offers described main power source by the second main quantization level of described the first radiofrequency signal in response to described pulse signal.Provide the operation of described the second main quantization level to carry out from primary processor by described.Described method comprises that the judgement in the described third state offers described from power source from quantization level by the 3rd of described the second radiofrequency signal the in response to described pulse signal.Provide described the 3rd operation from quantization level to carry out from processor by described.
Some advantages of above-mentioned execution mode comprise the response time of the response of the change that reduces the indoor plasma impedance of plasma.For instance, when status signal (for example, transistor-transistor logic circuit (TTL) signal, etc.) while being used to control the frequency provided by a plurality of RF power sources and/or power, first in described RF source do not need the time to respond the power of second in described RF source and/or the change of frequency.Generally speaking, when being changed to the frequency in a RF source and/or power input, plasma impedance can change and react to the change of described impedance in a described RF source.This reaction needed time, thereby to occurring in indoor the having a negative impact such as etching, deposition, the technique such as clean of plasma.When react to the change of the state of status signal by preset frequency and/or predetermined power in RF source, the change of the plasma impedance required time decreased of reacting.This temporal minimizing causes the minimizing of time that technique is had a negative impact.
Some extra advantages in above-mentioned execution mode comprise provides accurate power and/or frequency levels with stable plasma, for example, and poor with between minimizing source and load impedance.When power and/or frequency levels produce according to the variation of plasma impedance, described frequency and/or power level are accurate.For example, complex voltage and telegram in reply stream are measured and for generation of the variation of plasma impedance.Whether the variation of judging plasma impedance surpasses threshold value, if surpass, changes power and/or frequency levels with stable plasma.
Other advantages of execution mode comprise: reduce the time quantum that reaches the stability in plasma.Training routine is for determining that frequency and/or power level are to be applied to exciter and amplifier system.During training routine, also determine that power and/or frequency levels are corresponding to the variation of plasma impedance.Training routine has been saved the time in process of production, for example, and for cleaning the time of substrate, for the treatment of the time of substrate, for the time of etch substrate, for time of deposition materials on substrate etc.In process of production, when judging that the variation of plasma impedance surpasses threshold value, power and/or frequency levels are applied to power source, and do not need tune power and/or frequency levels.
From ensuing detailed description of carrying out by reference to the accompanying drawings, other aspects of the present invention can become apparent.
Accompanying drawing explanation
With reference to the description of carrying out below in conjunction with accompanying drawing, can understand best embodiments of the present invention.
Fig. 1 be according to described execution mode of the present invention for regulate the schematic block diagram of the execution mode of the power of radio frequency (RF) generator and/or the system of frequency according to a plurality of states of pulse signal.
Fig. 2 is the state that illustrates S1, the S2 of execution mode having thus described the invention and the curve chart of S3.
Fig. 3 is the curve chart of the different time sections that different conditions is shown of execution mode having thus described the invention.
Fig. 4 is the schematic diagram of the system of execution mode having thus described the invention, and this system is according to one in the condition selecting automatic-frequency tuner (AFTS) of pulse signal.
Fig. 5 is the schematic diagram of the system of execution mode having thus described the invention, and this system is for controlling frequency and/or the power of the RF signal being produced by the r-f generator of y MHz according to the variation of the impedance of the state of pulse signal and plasma.
Fig. 6 is the variation of impedance of execution mode having thus described the invention and the comparison of threshold value so that the power level that provided by RF generator and the schematic diagram of frequency levels to be provided.
Fig. 7 is the schematic diagram of the system of execution mode having thus described the invention, and whether this system is for selecting AFT over threshold value according to the state of pulse signal and according to parameter value.
Fig. 8 A is the curve chart of the signal being produced by two RF generators of execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, and another in described signal during a state, to have be zero performance number.
Fig. 8 B is the curve chart of the signal being produced by two RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for two states, and another in described signal during a state, to have be zero performance number.
Fig. 9 A is the curve chart of the signal being produced by two RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for each state, and another in described signal has the performance number of non-zero during all states.
Fig. 9 B is the curve chart of the signal being produced by two RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for two states, and another in described signal has the performance number of non-zero during all states.
Figure 10 A is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, and it is zero performance number that another in described signal has during a state, and of also having in described signal has the performance number for constant during all states.
Figure 10 B is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for two states, and it is zero performance number that another in described signal has during a state, and of also having in described signal has the performance number for constant during all states.
Figure 11 A is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, and another in described signal has the performance number of non-zero during all states, and of also having in described signal has the performance number for constant during all states.
Figure 11 B is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for two states, and another in described signal has the performance number of non-zero during all states, and of also having in described signal has the performance number for constant during all states.
Figure 12 A is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, and it is zero performance number that another in described signal has during a state, and of also having in described signal has identical performance number for two states.
Figure 12 B is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for two states, and it is zero performance number that another in described signal has during a state, and of also having in described signal has identical performance number for two states.
Figure 13 A is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, and another in described signal has the performance number of non-zero for all states, and of also having in described signal has identical performance number for two states.
Figure 13 B is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has identical performance number for two states, and another in described signal has the performance number of non-zero for all states, and of also having in described signal has identical performance number for two states.
Figure 14 A is the curve chart of the signal being produced by three RF generators of execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, and it is zero performance number that another in described signal has during a state, and of also having in described signal has identical performance number for two states.
Figure 14 B is according to the curve chart of the signal being produced by three RF generators of described execution mode of the present invention, one in wherein said signal has identical performance number for two states, it is zero performance number that another in described signal has during a state, and of also having in described signal has identical performance number for two states.
The curve chart of the signal being produced by three RF generators of Figure 15 A execution mode having thus described the invention, one in wherein said signal has different performance numbers for each state, another in described signal has the performance number of non-zero for all states, and another in described signal has identical performance number for two states.
Figure 15 B is according to the curve chart of the signal being produced by three RF generators of described execution mode of the present invention, one in wherein said signal has identical performance number for two states, another in described signal has the performance number of non-zero for all states, and of also having in described signal has identical performance number for two states.
Embodiment
Execution mode has below been described the system and method for the adjusting based on three or more states of power and frequency.Obviously, in the situation that there is no some or all of these details, can not implement present embodiment yet.In other examples, do not describe known processing operation in detail, to can obscure necessarily embodiments of the present invention.
Fig. 1 is for regulate in process of production the schematic block diagram of the execution mode of the power of RF generator and/or the system of frequency 100 according to a plurality of states of pulse signal 102.System 100 comprises radio frequency (RF) the power generator for generation of the x megahertz (MHz) of RF signal, and this RF signal is offered to the bottom electrode 120 of plasma chamber 112 via impedance matching circuit 106.Similarly, the power source of yMHz produces RF signal, and via impedance matching circuit 106, this RF signal is offered to bottom electrode 120.
The value of x can be 2,27 or 60.In addition, the value of y can be 27,60 or 2.For example, when x is 2, y is 27 or 60.As another example, when x is 27, y is 2 or 60.As another example, when x is 60, y is 2 or 27.In addition, the value of it should be pointed out that 2MHz, 27MHz, 60MHz provide as example, are not restrictive.For example, the r-f generator of the alternative 2MHz of r-f generator of 2.5MHz can be used, the r-f generator of the alternative 60MHz of r-f generator of 65MHz can be used.In one embodiment, except the r-f generator of 2MHz and the r-f generator of 27MHz, also use the r-f generator of 60MHz that RF power is offered to bottom electrode 120.
Impedance matching circuit comprises circuit element so that mate with the impedance in the source of this impedance matching circuit coupling with the impedance phase of the load of this impedance matching circuit coupling, and this circuit element is as inductor, capacitor etc.For example, any member that impedance matching circuit 106 makes the RF generator of x MHz and the RF generator of x MHz is coupled to impedance matching circuit 106 (for example, RF cable etc.) impedance and plasma chamber 104 and the impedance phase coupling that plasma chamber 104 is coupled to any member (for example, RF transmission line) of impedance matching circuit 106.In one embodiment, impedance matching circuit is carried out tuning with promote to be coupled to this impedance matching circuit source impedance be coupled to mating between the impedance of load of this impedance matching circuit.Impedance matching between source and load has reduced the probability that power reflects from load to source.
Plasma chamber 104 comprises bottom electrode 120, top electrode 122 and other member (not shown), for example, around the upper dielectric ring of top electrode 122, around the bottom electrode extension of upper dielectric ring, around the lower dielectric ring of this bottom electrode, around the lower dielectric ring of bottom electrode 120, bottom electrode extension around bottom electrode 120, plasma exclusion zone, top (PEZ) ring, bottom PEZ ring etc.Top electrode 122 is positioned at the opposite side of bottom electrode 120 and towards bottom electrode 120.
The upper surface 126 support substrate 124(of bottom electrode 120 for example, semiconductor wafer).On substrate 124, develop integrated circuit, for example, ASIC(Application Specific Integrated Circuit) (ASIC), programmable logic device (PLD) etc., and in this integrated circuit, be used in various device, for example, cell phone, panel computer, smart mobile phone, computer, notebook computer, the network equipment etc.Bottom electrode 120 is made by metals such as anodized aluminum, aluminium alloy.In addition, top electrode 122 is made by metals such as aluminium, aluminium alloy.
In one embodiment, top electrode 122 comprises the hole that is communicated to central gas feeder (not shown).This central authorities' gas feeder receives one or more processing gas from gas feeder (not shown).The example of processing gas comprises such as O 2deng oxygen-containing gas.The example of other processing gas comprises for example carbon tetrafluoride (CF 4), sulphur hexafluoride (SF 6), perfluoroethane (C 2f 6) etc. fluoro-gas.Top electrode 122 ground connection.Bottom electrode 120 is coupled via impedance matching circuit 106 and one or more RF generators.For example, top electrode 122 is via impedance matching circuit 106 and the RF generator coupling of x MHz the RF power source coupling with y MHz via impedance matching circuit 106.
When between top electrode 122 and bottom electrode 120, body is regulated the flow of vital energy by activity, and (for example work as RF generator, the RF generator of x MHz and/or the RF generator of y MHz) when power is offered to bottom electrode 120 via impedance matching circuit 106, light and process gas with at the interior generation plasma of plasma chamber 104.For example, the RF generator of 2MHz provides power to light processing gas via impedance matching circuit 106, thereby produces plasma.In some embodiments, the RF generator of 2MHz is main RF generator.
On computer (not shown) such as tool user interface (UI) 151 such as control circuits for generation of pulse signal 102, such as transistor-transistor logic (TTL) signal, digital pulse signal, clock signal, there is the signal of duty ratio (duty cycle) etc.In one embodiment, computer comprises TTL circuit.As used herein, use processor, controller, ASIC or PLD replacement computer, and these terms are used interchangeably in this article.
Pulse signal 102 comprises state S1, S2 and S3.In various execution modes, state S1, S2 and S3 repeated with the clock cycle.Each clock cycle comprises state S1, S2 and S3.For example, during the half period of clock cycle, executing state S1 and S2, and during the remaining half period of clock cycle, executing state S3.As another example, during time period of 1/3rd of clock cycle, executing state S1, during another time period of 1/3rd of clock cycle, executing state S2, and during remaining 1/3rd time period, executing state S3.In some embodiments, the state that pulse signal 102 comprises is greater or less than three states.The example of state S1 comprises the state of the power level with the first scope.The example of state S2 comprises the state of the power level with the second scope.The example of third state S3 comprises the state of the power level with the 3rd scope.In some embodiments, the power level of described the second scope is greater than the power level that the power level of described the first scope and the power level of described the 3rd scope are greater than described the second scope.In various execution modes, the power level of the 3rd scope is the power level lower than described the first scope lower than the power level of the second scope and the power level of the second scope.In one embodiment, the power level of the 3rd scope is not equal to the power level that the power level of the second scope and the power level of the second scope are not equal to described the first scope.
In some embodiments, the power level of a certain scope comprises one or more power levels.
In various execution modes, replacement computer, clock source (for example, crystal oscillator etc.) is for generation of simulated clock simulation clock signal, and this simulated clock simulation clock signal is converted to the digital signal that is similar to pulse signal 102 by analogue-to-digital converters.For example, by voltage is applied near the electrode on crystal oscillator or crystal oscillator, crystal oscillator is vibrated in electric field.
In some embodiments, two DCS digital clock sources (for example, processor, computer, etc.) are for generation of pulse signal 102.The first clock signal of the first DCS digital clock source has state 1 and 0, and the second clock signal of the second DCS digital clock source has state 1 and 0.Adder (for example, add circuit etc.) is coupled that with two clock sources the first and second digital signals are sued for peace, thereby produces the pulse signal 102 with three states.
Pulse signal 102 is sent to digital signal processor (DSP) 140 of RF generator of x MHz and the DSP153 of the RF generator of other y MHz.State S1, S2 and the S3 of each DSP140 and 153 return pulse signals 102 identification pulse signal 102.For example, DSP140 distinguishes between state S1, S2 and S3.For the mode that illustrates that DSP140 distinguishes between state S1, S2 and S3, DSP140 determines that pulse signal 102 has the power level of the first scope during very first time section, during the second time period, there is the power level of the second scope, during the 3rd time period, there is the power level of the 3rd scope.By DSP140, pre-determine the power level of the first scope corresponding to state S1, the power level of the second scope is corresponding to state S2, and the power level of the 3rd scope is corresponding to state S3.
In some embodiments, very first time section equals each in the second time period and the 3rd time period.In various execution modes, very first time section equals the second time period or the 3rd time period.In one embodiment, very first time section is not equal to each in the second and the 3rd time period.In various execution modes, very first time section is not equal to the second time period or the 3rd time period.
Each DSP140 and 153 is stored in state S1, S2 and S3 in the memory location of the one or more memory devices in DSP.The example of memory device comprises random-access memory (ram) and read-only memory (ROM).Memory device can be flash memories, hard disk, storage device, computer-readable medium etc.
In various execution modes, the corresponding relation between the power level of a certain scope and the state of pulse signal 102 is stored in the memory device of DSP.For example, the mapping between the power level of the first scope and state S1 is stored in the memory device of DSP140.As another example, the mapping between the power level of the second scope and state S2 is stored in the memory device of DSP153.As another example, the mapping between the power level of the 3rd scope and state S3 is stored in the memory device of DSP140.
Each DSP140 and 153 offers corresponding automatic-frequency tuner (AFT) 130,132,134,138,141 and 142 and corresponding power controller 144,146,148,150,152 and 154 by state S1, S2 from the identification of corresponding memory location and S3.For example, DSP140 to 144 indications of AFT130 and power controller between the time of very first time section t1 and t2 pulse signal 102 in state S1.As another example, DSP140 to 146 indications of AFT132 and power controller between the time of the second time period t2 and t3 pulse signal 102 in state S2.As another example, DSP140 to 148 indications of AFT134 and power controller between the time t3 of the 3rd time period and t4 pulse signal 102 in state S3.As another example, DSP153 to 150 indications of AFT138 and power controller between the time of very first time section t1 and t2 pulse signal 102 in state S1.As another example, DSP153 to 152 indications of AFT141 and power controller between the time of the second time period t2 and t3 pulse signal 102 in state S2.As another example, DSP153 to 154 indications of AFT142 and power controller between the time t3 of the 3rd time period and t4 pulse signal 102 in state S3.In some embodiments, tuner and controller are used interchangeably in this article.U.S. Patent No. 6,020, provides the example of AFT in 794, at this its full content, is incorporated to by reference herein.
Each AFT130,132,134,138,140 and 142 determines frequency levels according to the state of pulse signal 102, and each power controller 144,146,148,150,152 and 154 is determined power level according to the state of pulse signal 102.For example, AFT130 judges the power source 160 that frequency levels Fp1 will be offered to the r-f generator of x MHz when state when pulse signal 102 is in S1, and power controller 144 judgements will offer power level Pp1 power source 160 during in S1 when the state of pulse signal 102.As another example, AFT132 judges when state when pulse signal 102 is in S2 will offer frequency levels Fp2 power source 160, and power controller 146 judgements will offer power level Pp2 power source 160 during in S2 when the state of pulse signal 102.As another example, AFT134 judges when state when pulse signal 102 is in S3 will offer frequency levels Fp3 power source 160, and power controller 148 judgements will offer power level Pp3 power source 160 during in S3 when the state of pulse signal 102.
As another example, when AFT138 judges that state when pulse signal 102 is in S1, frequency levels Fs1 will be offered to the power source 162 of the r-f generator of y MHz, and power controller 150 judgements to offer power level Ps1 power source 162 during in S1 when the state of pulse signal 102.As another example, AFT141 judges when state when pulse signal 102 is in S2 will offer frequency levels Fs2 power source 162, and power controller 152 judgements will offer power level Ps2 power source 162 during in S2 when the state of pulse signal 102.As another example, AFT142 judges when state when pulse signal 102 is in S3 will offer frequency levels Fs3 power source 162, and power controller 154 judgements will offer power level Ps3 power source 162 during in S3 when the state of pulse signal 102.
In some embodiments, level comprises one or more values.For example, frequency levels comprises that one or more frequency values and power level comprise one or more performance numbers.
In some embodiments, frequency levels Fp1, Fp2, Fp3 are identical.In various execution modes, at least two in frequency levels Fp1, Fp2, Fp3 is unequal.For example, frequency levels Fp1 is not equal to frequency levels Fp2, and frequency levels Fp2 is not equal to frequency levels Fp3.In this example, frequency levels Fp3 is not equal to frequency levels Fp1.As another example, frequency levels Fp1 is not equal to frequency levels Fp2, and frequency levels Fp2 equals frequency levels Fp3.
Similarly, in some embodiments, frequency levels Fs1, Fs2, Fs3 are identical, or at least two unequal and remaining frequency levels in frequency levels Fs1, Fs2, Fs3 are to equate, or in frequency levels Fs1, Fs2, Fs3 at least two are that frequency levels that equate and remaining is unequal.
In various execution modes, power level Pp1, Pp2, Pp3 are identical.For example, power level Pp1 equals power level Pp2, and power level Pp2 equals power level Pp3.In numerous embodiments, at least two in power level Pp1, Pp2, Pp3 is unequal, and remaining power level equates.For example, power level Pp1 is not equal to power level Pp2, and power level Pp2 equals power level Pp3.As another example, power level Pp2 is not equal to power level Pp3, and power level Pp3 equals power level Pp1.As another example, power level Pp1 equals power level Pp2, and power level Pp2 is not equal to power level Pp3.In some embodiments, at least two in power level Pp1, Pp2, Pp3 equate, and remaining power level is unequal.
Similarly, in some embodiments, power level Ps1, Ps2, Ps3 are identical.In various execution modes, at least two in power level Ps1, Ps2, Ps3 is unequal, and remaining power level equates.In some embodiments, at least two in power level Ps1, Ps2, Ps3 equate, and remaining power level is unequal.
In one embodiment, according to training routine, produce frequency levels Fs1 and power level Ps1.During training routine, when the r-f generator of x MHz changes over high power level by its RF power signal from low power level, or while changing over high power level from low power level, between the one or more parts in plasma chamber 104 and the r-f generator of y MHz, there is not mating of impedance.High power level is higher than low power level.When offering the state of pulse signal 102 of the r-f generator of x MHz and change over S1 from S3, the r-f generator of x MHz changes its RF power signal.In this case, during the power of the power level that starts to provide high when the r-f generator of x MHz or low power level, the r-f generator of y MHz is undertaken tuning by its frequency and power.In order to reduce impedance mismatch, the r-f generator of y MHz starts tuning (for example, convergence (converge)) to power level and frequency levels.Can by DSP153, judge the realization of convergence according to standard error or other technologies.In order to allow the r-f generator of y MHz to converge to power level and frequency levels with the more time, the r-f generator of x MHz is remained on to high power level or the low lasting time period extending than the common time period of power level.The common time period is the time quantum that does not wherein reduce (for example, removing) impedance mismatch.When the RF of y MHz generator converges to this frequency levels and this power level, the frequency levels of this convergence is stored in AFT138 as frequency levels Fs1, and using the power level of this convergence in power level Ps1 is stored in power controller 150.Similarly, during training routine, produce frequency levels Fs2, Fs3, Fp1, Fp2 and Fp3, and power level Ps2, Ps3, Pp1, Pp2 and Pp3.Frequency levels Fs2 is stored in AFT141, frequency levels Fs3 is stored in AFT142, frequency levels Fp1 is stored in AFT130, frequency levels Fp2 is stored in AFT132, frequency levels Fp3 is stored in AFT134, power level Ps2 is stored in power controller 152, and power level Ps3 is stored in power controller 154, power level Pp1 is stored in power controller 144, power level Pp2 is stored in power controller 146, and power level Pp3 is stored in power controller 148.
When the state of pulse signal 102 is S1, power controller 144 offers power source 160 by power level Pp1, and power controller 150 offers power source 162 by power level Ps1.During state S1, frequency levels Fp1 is offered to power source 160 to AFT130 and AFT138 offers power source 162 by frequency levels Fs1.
In addition, in one embodiment, when the state of pulse signal 102 is S1, power controller 146 does not provide power level Pp2 to power source 160, and power controller 148 does not provide power level Pp3 to power source 160.In addition, in the present embodiment, AFT132 does not provide frequency levels Fp2 to power source 160, and AFT134 does not provide frequency levels Fp3 to power source 160.In addition, when the state of pulse signal 102 is S1, power controller 152 does not provide power level Ps2 to power source 162, and power controller 154 does not provide power level Ps3 to power source 162.In addition, AFT141 does not provide frequency levels Fs2 to power source 162, and AFT142 does not provide frequency levels Fs3 to power source 162.In various execution modes, do not provide power level to comprise and be supplied as zero power level.
In some embodiments, during a kind of state, the power level of this state is offered to power source 160, the power level of this state is offered to power source 162 simultaneously.For example, during state S1, power level Pp1 is offered to power source 160, power level Ps1 is offered to power source 162 simultaneously.In order to further illustrate, in state S1, pulse signal 102 offer the clock edge of power source 162 with by power level Ps1 during during identical clock edge, power level Pp1 is offered to power source 160.
Similarly, in various execution modes, during a kind of state, the frequency levels of this state is offered to power source 160, the frequency levels of this state is offered to power source 162 simultaneously.For example, during state S1, frequency levels Fp1 is offered to power source 160, frequency levels Fs1 is offered to power source 162 simultaneously.In order to further illustrate, in state S1, pulse signal 102 offer the clock edge of power source 162 with by frequency levels Fs1 during during identical clock edge, frequency levels Fp1 is offered to power source 160.
In some embodiments, during a kind of state, the frequency levels of the power level of this state and this state is offered to power source 160, the frequency levels of the power level of this state and this state is offered to power source 162 simultaneously.For example, during state S3, frequency levels Fp3 and power level Pp3 are offered to power source 160 simultaneously, frequency levels Fs3 and power level Ps3 are offered to power source 162 simultaneously.In order to further illustrate, in state S1, pulse signal 102 offer the clock edge of power source 162 with by frequency levels Fs3 and power level Ps3 during during identical clock edge, frequency levels Fp3 and power level Pp3 are offered to power source 160.
In various execution modes, during a kind of state, at the power controller of the r-f generator with by y MHz, power level is offered to almost identical time of time of power source 162 of the r-f generator of y MHz, the power controller of the r-f generator by x MHz offers power level the power source 160 of the r-f generator of x MHz.For example, during state S1, in the almost identical time of the time with power level Ps1 being offered to power source 162, power level Pp1 is offered to power source 160.In order to further illustrate, in state S1, in the time of the part before or after the generation at the clock edge of pulse signal 102 second (as, several microseconds, several milliseconds, several nanoseconds etc.), power level Pp1 is offered to power source 160.In this example, between the emergence period at this clock edge, power level Ps1 is offered to power source 162.
Similarly, in various execution modes, during a kind of state, at the AFT of the r-f generator with by y MHz, frequency levels is offered to almost identical time of time of power source 162 of the r-f generator of y MHz, the AFT of the r-f generator by x MHz offers frequency levels the power source 160 of the r-f generator of xMHz.For example, during state S2, in the almost identical time of the time with frequency levels Fs2 being offered to power source 162, frequency levels Fp2 is offered to power source 160.In order to further illustrate, in state S2, in the time of the part before or after the generation at the clock edge of pulse signal 102 second, frequency levels Fp2 is offered to power source 160.In this example, between the emergence period at this clock edge, frequency levels Fs2 is offered to power source 162.
Similarly, in various execution modes, during a kind of state, at the tuner of the r-f generator with by y MHz, the power controller of frequency levels and the r-f generator by y MHz is offered power-frequency to almost identical time of time of power source 162 of the r-f generator of y MHz, the tuner of the r-f generator by x MHz offers the power controller of frequency levels and the r-f generator by x MHz the power source 160 of the r-f generator of x MHz by power-frequency.For example, during state S3, in the almost identical time of the time with frequency levels Fs3 and power level Ps3 being offered to power source 162, frequency levels Fp3 and power level Pp3 are offered to power source 160.In order to further illustrate, in state S3, in the time of the part before or after the generation at the clock edge of pulse signal 102 second, frequency levels Fp3 and power level Pp3 are offered to power source 160.In this example, between the emergence period at this clock edge, power level Ps3 and frequency levels Fs3 are offered to power source 162.
During state S1, power source 160 receive frequency level Fp1 and power level Pp1.When receiving level Fp1 and Pp1, power source 160 is created in the RF power of frequency levels Fp1, and this RF power has the power level of Pp1.In addition, during state S1, power source 162 receive frequency level Fs1 and power level Ps1.When receiving level Fs1 and Ps1, the power source 162 of the r-f generator of y MHz produces the RF signal with frequency levels Fs1 and power level Ps1.
In addition, in one embodiment, when the state of pulse signal 102 is S2, power controller 144 does not provide power level Pp1 to power source 160, and power controller 148 does not provide power level Pp3 to power source 160.In addition, in the present embodiment, AFT130 does not provide frequency levels Fp1 to power source 160, and AFT134 does not provide frequency levels Fp3 to power source 160.In addition, when the state of pulse signal 102 is S2, power controller 150 does not provide power level Ps1 to power source 162, and power controller 154 does not provide power level Ps3 to power source 162.In addition, during the state S2 of pulse signal 102, AFT138 does not provide frequency levels Fs1 to power source 162, and AFT142 does not provide frequency levels Fs3 to power source 162.
In addition, during state S2, power source 160 receive frequency level Fp2 and power level Pp2.When receiving level Fp2 and Pp2, power source 160 is created in the RF power of frequency levels Fp2, and this RF power has the power level of Pp2.In addition, during state S2, power source 162 receive frequency level Fs2 and power level Ps2.When receiving level Fs2 and Ps2, the power source 162 of the r-f generator of y MHz produces the RF signal with frequency levels Fs2 and power level Ps2.
In addition, in one embodiment, when the state of pulse signal 102 is S3, power controller 144 does not provide power level Pp1 to power source 160, and power controller 146 does not provide power level Pp2 to power source 160.In addition, in the present embodiment, AFT130 does not provide frequency levels Fp1 to power source 160, and AFT132 does not provide frequency levels Fp2 to power source 160.In addition, when the state of pulse signal 102 is S3, power controller 150 does not provide power level Ps1 to power source 162, and power controller 152 does not provide power level Ps2 to power source 162.In addition, AFT138 does not provide frequency levels Fs1 to power source 162, and AFT141 does not provide frequency levels Fs2 to power source 162.
In addition, during state S3, power source 160 receive frequency level Fp3 and power level Pp3.When receiving level Fp3 and Pp3, power source 160 produces the RF power with frequency levels Fp3 and RF power level Pp3.In addition, during state S3, power source 162 receive frequency level Fs3 and power level Ps3.When receiving level Fs3 and Ps3, the power source 162 of the r-f generator of y MHz produces the RF signal with frequency levels Fs3 and power level Ps3.
In one embodiment, during a kind of state, for remaining state, do not provide power level to power source 160 and do not provide power level to carry out to power source 162 for remaining state simultaneously.For example, during state S1, pulse signal 102 do not provide the edge of power level to power source 162 with power controller 152 during during identical edge, power controller 146 does not provide power level to power source 160.As another example, during state S2, pulse signal 102 do not provide the edge of power level to power source 162 with power controller 150 and 154 during during identical edge, power controller 144 and 148 does not provide power level to power source 160.As another example, during state S3, pulse signal 102 do not provide the edge of power level to power source 162 with power controller 150 and 152 during during identical edge, power controller 144 and 146 does not provide power level to power source 160.
In some embodiments, during a kind of state, for remaining state, do not provide frequency levels to power source 160 and do not provide frequency levels to carry out to power source 162 for remaining state simultaneously.For example, in state S1, pulse signal 102 do not provide the edge of frequency levels to power source 162 with AFT141 during during identical edge, AFT132 does not provide frequency levels to power source 160.As another example, during state S2, pulse signal 102 do not provide the edge of frequency levels to power source 162 with AFT138 and 142 during during identical edge, AFT130 and 134 does not provide frequency levels to power source 160.As another example, during state S3, pulse signal 102 do not provide the edge of frequency levels to power source 162 with AFT138 and 141 during during identical edge, AFT130 and 132 does not provide frequency levels to power source 160.
In some embodiments, during a kind of state, for remaining state, do not provide frequency levels and power level to power source 160 and do not provide frequency levels and power level to carry out to power source 162 for remaining state simultaneously.For example, in state S1, pulse signal 102 do not provide frequency levels and power controller 152 that the edge of power level to power source 162 is not provided with AFT141 during during identical edge, AFT132 does not provide frequency levels, and power controller 146 does not provide power level to power source 160.
In some embodiments, during a kind of state, for remaining state, do not provide power level to power source 160 and do not provide power level to carry out to power source 162 for remaining state simultaneously.In various execution modes, during a kind of state, for remaining state, do not provide frequency levels to power source 160 and do not provide frequency levels to carry out to power source 162 for remaining state simultaneously.In some embodiments, during a kind of state, for remaining state, do not provide frequency and power level to power source 160 and do not provide frequency and power level to carry out to power source 162 for remaining state simultaneously.
In some embodiments, power source (for example, RF power source etc.) comprises the exciter that is coupled to amplifier.This exciter produces RF signal.This amplifier amplification RF signal offers plasma chamber 104 by the forward power of RF signal via RF cable, impedance matching circuit 106 and RF transmission line 184.For example, during state S1, the amplifier of power source 160 offers plasma chamber 104 by forward power via RF cable 180, impedance matching circuit 106 and RF transmission line 184, that this forward power has is proportional to power level Pp1 (for example, identical, become multiple etc.) power level there is frequency levels Fp1.In this example, during state S1, the amplifier of power source 162 offers plasma chamber 104 by forward power via RF cable 182, impedance matching circuit 106 and RF transmission line 184, and this forward power has proportional power level to power level Ps1, and has frequency levels Fs1.
As another example, in state S2, the amplifier of power source 160 offers plasma chamber 104 by forward power via RF cable 180, impedance matching circuit 106 and RF transmission line 184, that this forward power has is proportional to power level Pp2 (for example, identical, become multiple etc.) power level there is frequency levels Fp2.In this example, during state S2, the amplifier of power source 162 offers plasma chamber 104 by forward power via RF cable 182, impedance matching circuit 106 and RF transmission line 184, and this forward power has proportional power level to power level Ps2, and has frequency levels Fs2.As another example, in state S3, the amplifier of power source 160 offers plasma chamber 104 by forward power via RF cable 180, impedance matching circuit 106 and RF transmission line 184, that this forward power has is proportional to power level Pp3 (for example, identical, become multiple etc.) power level there is frequency levels Fp3.In this example, during state S3, the amplifier of power source 162 offers plasma chamber 104 by forward power via RF cable 182, impedance matching circuit 106 and RF transmission line 184, and this forward power has proportional power level to power level Ps3, and has frequency levels Fs3.
In one embodiment, during each state S1, S2 and S3, the reflection power of transducer 210 sensings of the r-f generator of x MHz on RF cable 180, this reflection power is the RF power from the plasma reflection of plasma chamber 104.In addition, during each state S1, S2 and S3, when forward power sends to plasma chamber 104 from the r-f generator of x MHz via RF cable 180, transducer 210 sensings this forward power on RF cable 180.Similarly, during each state S1, S2 and S3, transducer 212 sensings of the r-f generator of y MHz are from the RF power of the plasma reflection of plasma chamber 104.By the detected reflection power of transducer 212, be to reflex to radio frequency cable 182 from the plasma of plasma chamber 104.In addition, during each state S1, S2 and S3, when forward power sends to plasma chamber 104 from the r-f generator of y MHz via RF cable 182, transducer 212 sensings this forward power on RF cable 182.
By the reflected power signal by 210 sensings of transducer, formal transformation becomes digital form to the analogue-to-digital converters of the r-f generator of x MHz (ADC) 220 with forward power signal from analog, and the ADC222 of the r-f generator of yMHz by the reflected power signal by 212 sensings of transducer, formal transformation becomes digital form with forward power signal from analog.During each state S1, S2 and S3, the reflected power signal digital value that DSP140 reception is sensed by transducer 210 (for example, amplitude, phase place, or their combination etc.) and the digital value of forward power signal, and DSP153 receive the digital value of reflected power signal and the digital value of forward power signal being sensed by transducer 212.
In some embodiments, the digital value of power signal is that the voltage of power signal is, the combination of the curtage of signal and electric current.In various execution modes, the digital value of signal comprises the amplitude of signal and the phase place of signal.
In state S1, S2 and S3 one or all during, DSP140 carrys out calculating parameter value according to the forward direction on RF cable 180 and the digital value of reflected power signal, for example, the ratio of numeral reflected power signal and digital forward power signal, or voltage standing wave ratio (VSWR), or the variation of gamma value or impedance etc.In some embodiments, gamma value 1 represents that the height between source impedance and load impedance does not mate, and the minuent between gamma value 0 expression source impedance and load impedance is not mated.Similarly, DSP153 carrys out calculating parameter value according to the forward direction on RF cable 182 and the digital value of reflected power signal.In various execution modes, voltage standing wave ratio is calculated as equaling the ratio of RC-1 and RC+1, and wherein RC is reflection coefficient.
In some embodiments, the transducer of RF generator is to measure via the telegram in reply stream (complex current) of the RF cable transmission between RF generator and impedance matching circuit 106 and the voltage and current probe of complex voltage (complex voltage).For example, transducer 210 is voltage and current probes of measuring via the r-f generator of x MHz and the complex voltage of 180 transmission of the RF cable between impedance matching circuit 106 and telegram in reply stream.As another example, transducer 212 is voltage and current probes of measuring via the r-f generator of y MHz and the complex voltage of 182 transmission of the RF cable between impedance matching circuit 106 and telegram in reply stream.In these execution modes, by the parameter value of sensor measurement, comprise the impedance of plasma or the variation of plasma impedance.The impedance of plasma is determined the ratio with telegram in reply stream as complex voltage by transducer.As time goes on the variation of impedance is confirmed as poor between two plasma impedances.In some embodiments, parameter value is definite by AFT, power controller or the DSP of RF generator.
Parameter value for a kind of state is sent to the AFT in the r-f generator relevant to this state from the DSP of r-f generator.For example, the parameter value obtaining is sent to AFT130 from DSP140 during state S1, and the parameter value obtaining during state S1 is sent to AFT138 from DSP153.As another example, the parameter value obtaining is sent to AFT132 from DSP140, and the parameter value obtaining during state S2 is sent to AFT141 from DSP153 during state S2.As another example, the parameter value obtaining is sent to AFT134 from DSP140, and the parameter value obtaining during state S3 is sent to AFT142 from DSP153 during state S3.
During a kind of state, the AFT of RF generator receives parameter value from the DSP during this state of r-f generator, and AFT determines the frequency levels being associated with the parameter value receiving.For example, during state S1, AFT130 determines the frequency levels that the parameter value that receives from DSP140 during state S1 is associated, and AFT138 determines frequency levels according to the parameter value during state S1 receiving from DSP153.As another example, during state S2, AFT132 determines the frequency levels corresponding to the parameter value receiving from DSP140 during state S2, and AFT141 determines frequency levels according to the parameter value during state S2 receiving from DSP153.As another example, during state S3, AFT134 determines the frequency levels being associated with the parameter value during state S3 receiving from DSP140, and AFT142 determines frequency levels according to the parameter value during state S3 receiving from DSP153.
It should be pointed out that the association (for example, correspondence, mapping, contact etc.) between parameter value and frequency levels is predetermined and is stored in AFT.Similarly, in some embodiments, the association between parameter value and power level is predetermined and is stored in power controller.
In addition, during a kind of state, the frequency levels that the AFT of RF generator produces according to the parameter value from this state is carried out regulating frequency level, and the frequency levels through regulating is offered to the power source of RF generator.For example, during state S1, AFT130 carrys out regulating frequency level Fp1 according to the frequency levels being associated with the parameter value being produced by DSP140 of state S1, and the frequency levels through regulating is offered to power source 160.In this example, during state S1, AFT138 carrys out regulating frequency level Fs1 according to the frequency levels corresponding with the parameter value being produced by DSP153 of state S1, and the frequency levels through regulating is offered to power source 162.As another example, during state S2, AFT132 carrys out regulating frequency level Fp2 according to the frequency levels being associated with the parameter value being produced by DSP140 of state S2, and the frequency levels through regulating is offered to power source 160.In this example, during state S2, AFT141 carrys out regulating frequency level Fs2 according to the frequency levels being associated with the parameter value being produced by DSP153 of state S2, and the frequency levels through regulating is offered to power source 162.As another example, during state S3, AFT134 carrys out regulating frequency level Fp3 according to the frequency levels being associated with the parameter value being produced by DSP140 of state S3, and the frequency levels through regulating is offered to power source 160.In addition,, in this example, during state S3, AFT142 carrys out regulating frequency level Fs3 according to the frequency levels being associated with the parameter value being produced by DSP153 of state S3, and the frequency levels through regulating is offered to power source 162.
In addition,, during a kind of state, the parameter value that the power controller of RF generator receives according to the DSP from RF generator is determined power level.For example, during state S1, power controller 144 is determined power level according to the parameter value receiving from DSP140, and power controller 150 is determined power level according to the parameter value receiving from DSP153.As another example, during state S2, power controller 146 is determined power level according to the parameter value receiving from DSP140, and power controller 152 is determined power level according to the parameter value receiving from DSP153.As another example, during state S3, power controller 148 is determined power level according to the parameter value receiving from DSP140, and power controller 154 is determined power level according to the parameter value receiving from DSP153.
In addition, during a kind of state, the power controller of RF generator regulates the power level of the power source of RF generator according to the power level producing based on parameter value, and the power level through regulating is offered to power source.For example, during state S1, the power level that power controller 144 produces according to the parameter value from state S1 is carried out regulating power level Pp1, and the power level through regulating is offered to power source 160.In this example, during state S1, the power level that power controller 150 produces according to the parameter value from state S1 is carried out regulating power level Ps1, and the power level through regulating is offered to power source 162.As another example, during state S2, the power level that power controller 146 produces according to the parameter value from state S2 is carried out regulating power level Pp2, and the power level through regulating is offered to power source 160.In this example, during state S2, the power level that power controller 152 produces according to the parameter value from state S2 is carried out regulating power level Ps2, and the power level through regulating is offered to power source 162.As another example, during state S3, the power level that power controller 148 produces according to the parameter value from state S3 is carried out regulating power level Pp3, and the power level through regulating is offered to power source 160.In this example, during state S3, the power level that power controller 154 produces according to the parameter value from state S3 is carried out regulating power level Ps3, and the power level through regulating is offered to power source 162.
During a kind of state, the power source of RF generator produces the power RF signal that has the frequency levels through regulating of this state receiving from the AFT of RF generator and have the power level through regulating of this state receiving from the power controller of RF generator, and this power signal is offered to plasma chamber 104 via corresponding RF cable, impedance matching circuit 106 and RF transmission line 184.For example, during state S1, power source 160 produces the power signal that has the frequency levels through regulating receiving from AFT130 and have the power level through regulating receiving from power controller 144, and this power signal is offered to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184.Similarly, in this example, during state S1, power source 162 produces the power signal that has the frequency levels through regulating receiving from AFT138 and have the power level through regulating receiving from power controller 150, and this power signal is offered to plasma chamber 104 via RF cable 182, impedance matching circuit 106 and RF transmission line 184.
As another example, during state S2, power source 160 produces the power signal that has the frequency levels through regulating receiving from AFT132 and have the power level through regulating receiving from power controller 146, and this power signal is offered to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184.Similarly, in this example, during state S2, power source 162 produces the power signal that has the frequency levels through regulating receiving from AFT141 and have the power level through regulating receiving from power controller 152, and this power signal is offered to plasma chamber 104 via RF cable 182, impedance matching circuit 106 and RF transmission line 184.
As another example, during state S3, power source 160 produces the power signal that has the frequency levels through regulating receiving from AFT134 and have the power level through regulating receiving from power controller 148, and this power signal is offered to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184.Similarly, in this example, during state S3, power source 162 produces the power signal that has the frequency levels through regulating receiving from AFT142 and have the power level through regulating receiving from power controller 154, and this power signal is offered to plasma chamber 104 via RF cable 182, impedance matching circuit 106 and RF transmission line 184.
In one embodiment, use single controller to substitute power controller 144 and AFT130, use single controller to substitute power controller 146 and AFT132, and use single controller to substitute power controller 148 and AFT134.In some embodiments, use single controller to substitute power controller 150 and AFT138, use single controller to substitute power controller 152 and AFT141, and use single controller to substitute power controller 154 and AFT142.
In some embodiments, in system 100, except the r-f generator of x and y MHz, also used the r-f generator of z MHz.When the r-f generator of x MHz is the r-f generator of 2MHz, and the r-f generator of y MHz is while being the r-f generator of 27MHz, and the r-f generator of z MHz can be the r-f generator of 60MHz.The r-f generator of z MHz has the similar structure of structure with the r-f generator of x or y MHz, also has with the x of member of the outside system 100 of r-f generator with at x or y MHz or connects being connected similarly of r-f generator of y MHz.For example, the r-f generator of z MHz comprises three power controllers, three AFT, DSP, ADC, transducer and power sources.As another example, the DSP of the r-f generator of z MHz and 151 couplings of tool user interface are with return pulse signal 102.As another example, the power source of the r-f generator of z MHz is coupled to the bottom electrode 120 of plasma chamber 104 via radio frequency cable (not shown), impedance matching circuit 106 and RF transmission line 184.
It should be pointed out that execution mode as described herein is described to use three states.In some embodiments, also can use three above states.
Fig. 2 is the execution mode that curve Figure 190 of state S1, S2 and S3 is shown.Curve Figure 190 has described the power variation of t in time.Each state S1, S2 or S3 are associated with logic level.For example, state S1 has high logic level, and state S2 has medium logic level, and state S3 has low logic level.High logic level has than medium logic level ' power level that b' is higher ' a', medium logic level ' b' have than low logic level ' the power level that c' is higher.As example, state S1 has low, medium or high logic level.As example, state S2 has low, medium or high logic level.As example, state S3 has low, medium or high logic level.In some embodiments, state S1, S2 and S3 represent step function.
Each state S1, S2, S3 continues the equal time period.For example, the time period T3 of the generation of the time period T1 of the generation of state S1 and the time period T2 of the generation of state S2 or state S3 equates.In some embodiments, a kind of state continuance is compared the unequal time with one or more in remaining state.For example, state S1 continues and the unequal time period of state S2, and state S2 continues and the unequal time period of state S3.In this example, the time period of state S3 can be that equate or unequal with the time period of state S1.As another example, state S1 continues the time period longer than state S2, and state S2 continues the time period shorter than state S3.
Fig. 3 is the schematic diagram of execution mode of curve Figure 200 that the different time sections of different conditions is shown.Curve Figure 200 has described power over time.State S1 and S2 continue the identical time period, and lasting time period of state S3 and state S2 or lasting time period of S3 different.For example, state S1 duration section t1, state S2 duration section t2, and state S3 duration section t3.Time period t 3 is longer than time period t 1 or t2.
In some embodiments, any two in state S1, S2 and S3 continue the identical time period, and the different time period of remaining state continuance.For example, the time period of state S1 continues the time period lasting with state S3 and equates, and time period lasting from state S2 this lasting time period is different.As another example, the lasting time period lasting with state S3 time period of state S2 equates, and time period lasting from state S1 this lasting time period is different.
Fig. 4 is the schematic diagram of the execution mode of system 210, and system 210 is in process of production according to the condition selecting AFT220 of pulse signal 102,222 or 224 one.This system 210 comprises selects logical circuit 226, AFT220,222 and 224, DCS digital clock source 228, plasma chamber 104, impedance matching circuit 106 and power source 232.
In the r-f generator of x MHz RF generator or y MHz, arrange and select logical circuit 226, AFT220,222 and 224 and power source 232.AFT220 is set in the RF generator at x MHz, 222 and 224 time, AFT220 is the example of AFT130, AFT222 is the example of AFT132, AFT224 is the example of AFT134, power source 232 is examples (Fig. 1) of power source 160.Equally, AFT220,222 and is set in the RF of y MHz generator at 224 o'clock, AFT220 is the example of AFT138, and AFT222 is the example of AFT141, and AFT224 is the example of AFT142, and power source 232 is examples (Fig. 1) of power source 162.
Select the example of logical circuit 226 to comprise multiplexer.When selecting logical circuit 226 to comprise multiplexer, at the selection input of multiplexer return pulse signal 102.
In various execution modes, select logical circuit 226 to comprise processor.In one embodiment, in DSP140 or DSP153, arrange and select logical circuit 226.
DCS digital clock source 228, for operand power source 232, makes it synchronize with the dagital clock signal being produced by DCS digital clock source 228.In some embodiments, described dagital clock signal is synchronizeed with pulse signal 102.For example, dagital clock signal has the phase place identical with the phase place of pulse signal 102.As another example, the phase place of dagital clock signal is in the predetermined phase range of the phase place of pulse signal 102.For using of described predetermined phase range is described, the dagital clock signal forward position of clock source 228 (leading edge) is after the forward position of pulse signal 102 or part second before.
In one embodiment, replace the dagital clock signal from clock source 228, pulse signal 102 is provided for power source 232.
When pulse signal 102 is during in state S1, select logical circuit 226 to select AFT220.Equally, when pulse signal 102 is during in state S2, select logical circuit 226 to select AFT222, and when pulse signal 102 is during in state S3, select logical circuit 226 selection AFT224.When selecting AFT220, AFT220 offers power source 232 by frequency levels Fp1.Similarly, when selecting AFT222, AFT222 offers power source 232 by frequency levels Fp2, and when selecting AFT224, AFT224 offers power source 232 by frequency levels Fp3.
AFT220,222 and 224 is arranged in some execution modes of the RF generator of y MHz therein, and when selecting AFT220, AFT220 offers power source 232 by frequency levels Fs1.Similarly, in these execution modes, when selecting AFT222, AFT222 is by frequency levels Fs2 supply power source 232, and when selecting AFT224, AFT224 offers power source 232 by frequency levels Fs3.
In some embodiments, select logical circuit 226 to select between power controller, but not select between AFT220,222 and 224.For example, will select logical circuit 226 to be coupled to power controller 144,146 and 148(Fig. 1 of the r-f generator of x MHz).In this example, select logical circuit 226 at pulse signal 102, to select power controller 144 during in state S1, at pulse signal 102, select power controller 146 during in state S2, and select power controller 148 during in state S3 at pulse signal 102.As another example, select logical circuit 226 to be coupled to power controller 150,152 and 154(Fig. 1 of the r-f generator of y MHz).In this example, select logical circuit 226 at pulse signal 102, to select power controller 150 during in state S1, at pulse signal 102, select power controller 152 during in state S2, and select power controller 154 during in state S3 at pulse signal 102.
In various execution modes, when selecting the power controller 144 of r-f generator of x MHz during state S1, power controller 144 offers power source 232 by power level Pp1, and when selecting the power controller 146 of r-f generator of x MHz during state S2, power controller 146 offers power source 232 by power level Pp2.In addition,, when selecting the power controller 148 of r-f generator of x MHz during state S3, power controller 148 offers power source 232 by power level Pp3.
Equally, in some embodiments, when selecting the power controller 150 of r-f generator of y MHz during state S1, power controller 150 offers power source 232 by power level Ps1, and when selecting the power controller 152 of r-f generator of y MHz during state S2, power controller 152 offers power source 232 by power level Ps2.In addition,, when selecting the power controller 154 of r-f generator of y MHz during state S3, power controller 154 offers power source 232 by power level Ps3.
In some embodiments, in the r-f generator of z MHz, arrange and select logical circuit 226, and select logical circuit 226 with as described herein similarly mode work.For example, select logical circuit 226 to select between the AFT of the r-f generator of z MHz according to the state of pulse signal 102, or select between the power controller of the r-f generator of z MHz.
Fig. 5 is the schematic diagram of the execution mode of system 200, and system 200 is for controlling in process of production frequency and/or the power of the RF signal that the r-f generator by y MHz produces according to the variation of impedance of plasma in plasma chamber 104 and the state of pulse signal 102.The DSP153 of the r-f generator of y MHz receives the pulse signal 102 from tool user interface 151.
When pulse signal 102 is getted over to state S1 from state S3, and when the r-f generator of x MHz offers plasma chamber 104 by the forward power that has power level Pp1 and have a frequency levels Fp1, the impedance variation of the plasma of plasma chamber 104.When the impedance of the plasma in plasma chamber 104 changes from state S3 to getting over of state S1 due to pulse signal 102, transducer 212 is measured via the complex voltage of RF cable 182 transmission and telegram in reply stream.This transducer 212 offers ADC transducer 222 by the measured value of complex voltage and telegram in reply stream, and ADC transducer 222 is converted to number format by measured value from analog format.The digital value of the measured value of complex voltage and telegram in reply stream is offered to DSP153.
Should further be pointed out that, in one embodiment, DSP153 does not have return pulse signal 102.On the contrary, in the present embodiment, DSP153 receive can with nonsynchronous another digital pulse signal of pulse signal 102.The digital pulse signal of other that are received by DSP153 in one embodiment, is synchronizeed with pulse signal 102.
During the state S1 of pulse signal 102, for example, and then from the state S3 of pulse signal 102, get over to the state of state S1 and get over etc., DSP153 is according to complex voltage and Current calculation the first parameter value measured during state S1, the first parameter value for example, the variation of the square root of the reflected power signal of numeral and the ratio of digital forward power signal, gamma value, voltage standing wave ratio (VSWR), impedance etc.
DSP153 judges whether the first parameter value is more than or equal to first threshold.When DSP153 judges that the first parameter value is more than or equal to described first threshold, DSP153 provides this first parameter value to AFT138 and power controller 150.AFT138 determines that frequency levels Fs1 is corresponding to the first parameter value that at least equals described first threshold, and this frequency levels Fs1 is offered to power source 162.In addition, power controller 150 determines that power level Ps1 is corresponding to the first parameter value that at least equals described first threshold, and this power level Ps1 is offered to power source 162.For example, AFT138 at least equals mapping to the first parameter value of described first threshold and the form stores of frequency levels Fs1 in storage device, and power controller 150 will be stored in storage device at power level Ps1 and the mapping that at least equals between the first parameter value of described first threshold.
On the other hand, when DSP153 judges that the first parameter value is less than first threshold, DSP153 provides this first parameter value to AFT142 and power controller 154.AFT142 determines that frequency levels Fs3 is corresponding to the first parameter value that is less than described first threshold, and this frequency levels Fs3 is offered to power source 162.In addition, power controller 154 determines that power level Ps3 is corresponding to the first parameter value that is less than described first threshold, and this power level Ps3 is offered to power source 162.For example, AFT142 is less than the first parameter value of described first threshold and the form stores of frequency levels Fs3 in storage device by mapping, and power controller 154 is stored in the mapping being less than in power level Ps3 and its value between the first parameter value of described first threshold in storage device.
For example, when (having received frequency levels, frequency levels Fs1, Fs3 etc.) and power level is (for example, Ps1, Ps3 etc.) time, power source 162 produces to be had the RF signal of frequency levels and power level and via RF cable 182, impedance matching circuit 106 and RF transmission line 184, RF signal is provided to plasma chamber 104.For example, the amplifier of power source 162 provides the forward power that has proportional to power level Ps1 (for example, identical, multiple) and have frequency levels Fs1 to plasma chamber 104 via RF cable 182, impedance matching circuit 106 and RF transmission line 184.
When pulse signal 102 is getted over to state S2 and when the r-f generator of x MHz provides the forward power that has power level Pp2 and have frequency levels Fp2 to plasma chamber 104 from state S1, the impedance variation of the plasma of plasma chamber 104.When the impedance of the plasma in plasma chamber 104 changes from state S3 to getting over of state S1 due to pulse signal 102, transducer 212 is measured via the complex voltage of RF cable 182 transmission and telegram in reply stream.This transducer 212 offers ADC transducer 222 by the measured value of complex voltage and telegram in reply stream, and ADC transducer 222 is converted to number format by measured value from analog format.The digital value of the measured value of complex voltage and telegram in reply stream is offered to DSP153.
In addition, during the state S2 of pulse signal 102, for example, and then from the state S1 of pulse signal 102, get over and to the state of state S2, get over etc., DSP153 is according to complex voltage and Current calculation the second parameter value measured during state S2, for example, the variation of the square root of the reflected power signal of numeral and the ratio of digital forward power signal, gamma value, voltage standing wave ratio (VSWR), impedance etc.
DSP153 judges whether the second parameter value is greater than Second Threshold.When DSP153 judges that the second parameter value is more than or equal to described Second Threshold, DSP153 provides this second parameter value to AFT141 and power controller 152.AFT141 determines that frequency levels Fs2 is corresponding to the second parameter value that at least equals described Second Threshold, and this frequency levels Fs2 is offered to power source 162.In addition, power controller 152 determines that power level Ps2 is corresponding to the second parameter value that at least equals described Second Threshold, and this power level Ps2 is offered to power source 162.For example, AFT141 at least equals mapping to the second parameter value of described Second Threshold and the form stores of frequency levels Fs2 in storage device, and power controller 152 will be stored in storage device at power level Ps2 and the mapping that at least equals between the second parameter value of described Second Threshold.
On the other hand, when DSP153 judges that the second parameter value is less than Second Threshold, DSP153 provides this second parameter value to AFT138 and power controller 150.AFT138 determines that frequency levels Fs1 is corresponding to the second parameter value that is less than described Second Threshold, and this frequency levels Fs1 is offered to power source 162.In addition, power controller 152 determines that power level Ps2 is corresponding to the second parameter value that is less than described Second Threshold, and this power level Ps2 is offered to power source 162.For example, AFT138 is less than the second parameter value of described Second Threshold and the form stores of frequency levels Fs1 in storage device by mapping, and power controller 150 will be stored in storage device at power level Ps1 and the mapping that is less than between the second parameter value of described Second Threshold.
When pulse signal 102 is getted over to state S3 and when the r-f generator of x MHz provides the forward power that has power level Pp3 and have frequency levels Fp3 to plasma chamber 104 from state S2, the impedance variation of the plasma of plasma chamber 104.When the impedance of the plasma in plasma chamber 104 changes from state S2 to getting over of state S3 due to pulse signal 102, transducer 212 is measured via the complex voltage of RF cable 182 transmission and telegram in reply stream.This transducer 212 offers ADC transducer 222 by the measured value of complex voltage and telegram in reply stream, and ADC transducer 222 is converted to number format by measured value from analog format.The digital value of the measured value of complex voltage and telegram in reply stream is offered to DSP153.
In addition, during the state S3 of pulse signal 102, for example, and then from the state S2 of pulse signal 102, get over and to the state of state S3, get over etc., DSP153 is according to complex voltage and Current calculation the second parameter value measured during state S3, for example, the variation of the square root of the reflected power signal of numeral and the ratio of digital forward power signal, gamma value, voltage standing wave ratio (VSWR), impedance etc.
DSP153 judges whether the 3rd parameter value is greater than the 3rd threshold value.When DSP153 judges that the 3rd parameter value is more than or equal to described the 3rd threshold value, DSP153 provides the 3rd parameter value to AFT142 and power controller 154.AFT142 determines that frequency levels Fs3 is corresponding to the 3rd parameter value that at least equals described the 3rd threshold value, and this frequency levels Fs3 is offered to power source 162.In addition, power controller 154 determines that power level Ps3 is corresponding to the 3rd parameter value that at least equals described the 3rd threshold value, and this power level Ps3 is offered to power source 162.For example, AFT142 at least equals mapping to the 3rd parameter value of described the 3rd threshold value and the form stores of frequency levels Fs3 in storage device, and power controller 154 will be stored in storage device at power level Ps3 and the mapping that at least equals between the 3rd parameter value of described the 3rd threshold value.
On the other hand, when DSP153 judges that the 3rd parameter value is less than the 3rd threshold value, DSP153 provides the 3rd parameter value to AFT141 and power controller 152.AFT141 determines that frequency levels Fs2 is corresponding to the 3rd parameter value that is less than described the 3rd threshold value, and this frequency levels Fs2 is offered to power source 162.In addition, power controller 141 determines that power level Ps2 is corresponding to the 3rd parameter value that is less than described the 3rd threshold value, and this power level Ps2 is offered to power source 162.For example, AFT141 is less than the 3rd parameter value of described the 3rd threshold value and the form stores of frequency levels Fs2 in storage device by mapping, and power controller 152 will be stored in storage device at power level Ps2 and the mapping that is less than between the 3rd parameter value of described the 3rd threshold value.
The stability that the RF power being provided by power source 162 causes plasma is provided operation parameter value.In addition, the stability of plasma is the real-time measurement based on complex voltage and electric current.This real-time measurement provides the accuracy aspect stable plasma.
Except using the r-f generator of x and y MHz, also use therein in the execution mode of r-f generator of z MHz, the r-f generator of z MHz is coupled to tool user interface 151, and pulse signal 102 is sent to the r-f generator of z MHz from tool user interface 151.The r-f generator of z MHz works to be similar to the mode of the r-f generator of y MHz.For example, during certain state of pulse signal 102, whether critical parameter value surpasses threshold value.According to the judgement of parameter value, the first level of the first level of power or second electrical level and frequency or second electrical level are offered to the power source of the r-f generator of z MHz.
In one embodiment, during training routine, for example, during the process of study, produce first threshold, Second Threshold, the 3rd threshold value.During training routine, when the r-f generator of x MHz changes to the second power level by its RF power signal from the first power level, for example, between the one or more parts in plasma chamber 104 (, plasma, etc.) and the r-f generator of z MHz, there is not mating of impedance.When the state of pulse signal 102 changes over S1 from S3, the r-f generator of x MHz changes to the second power level by the level of its RF power signal from the first power level.In this case, when the r-f generator of x MHz starts to provide the power at power level Pp1, the r-f generator of y MHz is undertaken tuning by its frequency and power.In order to reduce impedance mismatch, the r-f generator of y MHz starts tuning (for example, convergence) power level and frequency levels.Can by DSP153, judge convergence according to standard deviation or other technologies.In order to allow the r-f generator of y MHz to converge to power level and frequency levels with the more time, the r-f generator of x MHz is remained on to the second power level and continue the time period extending than the common time period.The common time period is the time quantum that does not wherein reduce (for example, removing) impedance mismatch.
When the RF of y MHz generator converges to this power level and this frequency levels, the power level of this convergence, in power level Ps1 is stored in power controller 150, and is stored in the frequency levels of this convergence in AFT138 as frequency levels Fs1.During training routine, from power level Ps1, produce described first threshold, and described first threshold is corresponding to frequency levels Fs1.For example, during training routine, transducer 212 is measured complex voltage and telegram in reply stream.During training routine, when the frequency of the r-f generator of y MHz is Fs1, transducer 212 is measured complex voltages and telegram in reply stream.This DSP153 receives complex voltage and telegram in reply stream, and according to the complex voltage recording during training routine and the raw described first threshold of telegram in reply miscarriage.
Similarly, during training routine, by DSP153, determine the second and the 3rd threshold value.
Fig. 6 is the schematic diagram of a kind of execution mode of table 250, and table 250 illustrates the variation of impedance and the comparison of threshold value, so that power level or the frequency levels of the RF signal being provided by RF generator to be provided.When the state of pulse signal changes to state S2 from state S1, judge whether the changes delta z12 of plasma impedance is greater than Second Threshold, Second Threshold is expressed as " m ".When judging that the changes delta z12 of impedance at least equals Second Threshold m, power level Ps2 or frequency levels Fs2 are offered to the power source 162 of the r-f generator of y MHz.On the other hand, when judging that the changes delta z12 of impedance is less than Second Threshold m, power level Ps1 or frequency levels Fs1 are offered to the power source 162 of the r-f generator of y MHz.
Similarly, when the state of pulse signal is getted over to state S3 from state S2, judge whether the changes delta z23 of the impedance of plasma is greater than the 3rd threshold value, the 3rd threshold value table is shown ' n'.When judging that the changes delta z23 of impedance is greater than the 3rd threshold value n, power level Ps3 or frequency levels Fs3 are offered to the power source 162 of the r-f generator of yMHz.On the other hand, when judging that the changes delta z23 of impedance is less than the 3rd threshold value n, power level Ps2 or frequency levels Fs2 are offered to the power source 162 of the r-f generator of y MHz.
In addition, when the state of pulse signal is getted over to state S1 from state S3, judge whether the changes delta z31 of the impedance of plasma is greater than first threshold, first threshold is expressed as ' o'.When judging that the changes delta z31 of impedance is greater than first threshold o, power level Ps1 or frequency levels Fs1 are offered to the power source 162 of the r-f generator of yMHz.On the other hand, when judging that the changes delta z31 of impedance is less than first threshold o, power level Ps3 or frequency levels Fs3 are offered to the power source 162 of the r-f generator of y MHz.
In some embodiments, replace the variation of impedance, another parameter value (for example, gamma value, voltage standing wave ratio (VSWR), etc.) can be used to determine the power level and/or the frequency levels that offer power source 162.
Fig. 7 is the schematic diagram of the execution mode of system 260, and whether system 260 is for selecting AFT220,222 or 224 over threshold value according to the state of pulse signal 102 and according to parameter value in process of production.When pulse signal 102 is in state S1, and the parameter value of measuring during state S1 is while at least equaling described first threshold, selects logical circuit 226 to select AFT220.On the other hand, when pulse signal 102 is during in state S1, and the parameter value of measuring during state S1 is while being less than described first threshold, selects logical circuit 226 to select AFT224.
When selecting logical circuit 226 to comprise multiplexer, from the selection input of the multiplexer of DSP270, receive the signal that the parameter value of indication during a state of pulse signal 102 is at least equal to or less than threshold value.
DSP270 is the example (Fig. 1) of DSP153.According to the complex voltage receiving from transducer 272 during state S1 and telegram in reply stream, DSP270 determines the first parameter value.This DSP270 further judges that the first parameter value at least equals described first threshold, and the signal of this judgement of indication is offered and selects logical circuit 226.When receiving the signal of the judgement of indicating the first parameter value at least to equal described first threshold, select logical circuit 226 to select AFT220.On the other hand, DSP270 judges that the first parameter value of confirming during the state S1 of pulse signal 102 is less than first threshold, and the signal of this judgement of indication is offered and selects logical circuit 226.When receiving the signal of this judgement of indicating the first parameter value to be less than described first threshold, select logical circuit 226 to select AFT224.Transducer 212(Fig. 1 of the r-f generator that this transducer 272 is yMHz) example.
In addition,, according to the complex voltage receiving from transducer 272 during state S2 and telegram in reply stream, DSP270 determines the second parameter value.This DSP270 further judges that the second parameter value at least equals described Second Threshold, and the signal of this judgement of indication is offered and selects logical circuit 226.When receiving the signal of the judgement of indicating the second parameter value at least to equal described Second Threshold, select logical circuit 226 to select AFT222.On the other hand, DSP270 judges that the second parameter value of confirming during the state S2 of pulse signal 102 is less than Second Threshold, and the signal of this judgement of indication is offered and selects logical circuit 226.When receiving the signal of the judgement of indicating the second parameter value to be less than described Second Threshold, select logical circuit 226 to select AFT220.
In addition,, according to the complex voltage receiving from transducer 272 during state S3 and telegram in reply stream, DSP270 determines the 3rd parameter value.This DSP270 further judges that the 3rd parameter value at least equals described the 3rd threshold value, and the signal of this judgement of indication is offered and selects logical circuit 226.When receiving the signal of the judgement of indicating the 3rd parameter value at least to equal described the 3rd threshold value, select logical circuit 226 to select AFT224.On the other hand, DSP270 judges that the 3rd parameter value of confirming during the state S3 of pulse signal 102 is less than the 3rd threshold value, and the signal of this judgement of indication is offered and selects logical circuit 226.When receiving the signal of the judgement of indicating the 3rd parameter value to be less than described the 3rd threshold value, select logical circuit 226 to select AFT222.
In some embodiments, select logical circuit 226 to select between power controller, rather than select between AFT220,222 and 224.For example, will select logical circuit 226 to be coupled to power controller 150,152 and 154(Fig. 1 of the r-f generator of y MHz).In this example, when receiving the signal of the judgement of indicating the first parameter value at least to equal described first threshold, select logical circuit 226 to select power controller 150, and when receiving the signal of the judgement of indicating the first parameter value to be less than described first threshold, select logical circuit 226 to select power controllers 154.As another example, when receiving the signal of the judgement of indicating the second parameter value at least to equal described Second Threshold, select logical circuit 226 to select power controller 152, and when receiving the signal of the judgement of indicating the second parameter value to be less than described Second Threshold, select logical circuit 226 to select power controllers 150.As another example, when receiving the signal of the judgement of indicating the 3rd parameter value at least to equal described the 3rd threshold value, select logical circuit 226 to select power controller 154, and when receiving the signal of the judgement of indicating the 3rd parameter value to be less than described the 3rd threshold value, select logical circuit 226 to select power controllers 152.
In some embodiments, in the r-f generator of z MHz, arrange and select logical circuit 226, and work in the similar mode of mode as described herein.For example, select logical circuit 226 according to the state of pulse signal 102 and whether surpass threshold value according to parameter value to select between the AFT of the r-f generator of z MHz, or select between the power controller of the r-f generator of z MHz.
Fig. 8 A is the schematic diagram of the execution mode of curve chart 302,304,306 and 308.Each curve chart 302,304,306 and 308 describes to using the function of kilowatt performance number that (kW) is unit as time t.As represented in curve chart 302, the power signal of 2MHz is the power signal that the power source by 2MHz provides, and the power signal of this 2MHz has the performance number of a4 during state S1 and S2, and during state S3, has 0 performance number.In addition, the power signal of 60MHz is that the power signal of this 60MHz has the performance number of a1 during state S1, and during state S2, has the performance number of a2, has the performance number of a3 during state S3 by the power signal of the power source supply of 60MHz.The performance number of a4 is greater than the performance number of a3, and the performance number of a3 is greater than the performance number of a2.The performance number of a2 is greater than the performance number of a1, and the performance number of a1 is greater than 0.
As shown at curve chart 304, the power signal of 60MHz has performance number a0 during state S3.The performance number of a0 is greater than the performance number of a1.In addition, as shown in curve chart 306, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a3.As shown in curve chart 308, the signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a0.
Fig. 8 B is the schematic diagram of the execution mode of curve chart 310,312,314 and 316.Each curve chart 310,312,314 and 316 is described the performance number of YikWWei unit as the function of time t.As shown in curve chart 310, the power signal of 60MHz has the performance number of a1 during state S1, has the performance number of a2 during state S2, and during state S3, has the performance number of a2.
As shown in curve chart 312, the power signal of 60MHz has the performance number of a1 during state S1, has the performance number of a2 during state S2, and during state S3, has the performance number of a1.In addition, as shown in curve chart 314, the signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a1.As shown in curve chart 316, the signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a2.
Fig. 9 A is the schematic diagram of the execution mode of curve chart 320,322,324 and 326.Each curve chart 320,322,324 and 326 is described the performance number of YikWWei unit as the function of time t.As shown in curve chart 320, the power signal of 60MHz has the performance number of a1 during state S1, has the performance number of a2 during state S2, and during state S3, has the performance number of a3.In addition, in curve chart 320, the power signal of 2MHz has the performance number of a4 during state S1, has the performance number of a4 during state S2, and during state S3, has the performance number of a0.The performance number of a0 is less than the performance number of a1, and is greater than zero.
In addition, as shown in curve chart 322, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a3 during state S2, and during state S3, has the performance number of a1.In addition, in curve chart 324, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a3.In addition, as shown in curve chart 326, the power signal of 60MHz has the performance number of a3 during state S1, has the performance number of a2 during state S2, and during state S3, has the performance number of a1.
Fig. 9 B is the schematic diagram of the execution mode of curve chart 328,330,332 and 334.Each curve chart 328,330,332 and 334 is described the performance number of YikWWei unit as the function of time t.As shown in curve chart 328, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a3 during state S2, and during state S3, has the performance number of a3.In addition, in curve chart 330, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a3 during state S2, and during state S3, has the performance number of a2.In addition, in curve chart 332, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a1.In addition, in curve chart 334, the power signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3, has the performance number of a2.
Figure 10 A is the schematic diagram of the execution mode of curve chart 336,338,340 and 342.Each curve chart 336,338,340 and 342 is described the performance number of YikWWei unit as the function of time t.As shown in curve chart 336, the power signal of 27MHz is that the power source by 27MHz provides, and the power signal of this 27MHz has the performance number of a31 during state S1, S2, S3.The performance number of a31 is greater than the performance number of a3 and is less than the performance number of a4.The remainder of curve chart 336 is similar to curve chart 302(Fig. 8 A).
As shown in each curve chart 338,340 and 342, the power signal of 27MHz has the performance number of a31 during state S1, S2, S3.In addition, the remainder of curve chart 338 is similar to curve chart 304(Fig. 8 A), the remainder of curve chart 340 is similar to curve chart 306(Fig. 8 A), and the remainder of curve chart 342 is similar to curve chart 308(Fig. 8 A).
In some embodiments, performance number a31 is the performance number between zero-sum a4.
Figure 10 B is the schematic diagram of the execution mode of curve chart 344,346,348 and 350.Each curve chart 344,346,348 and 350 is described the performance number of YikWWei unit as the function of time t.As shown in Figure 34 4, the power signal of 27MHz is to be supplied with by the power source of 27MHz, and the power signal of this 27MHz has the performance number of a31 during state S1, S2, S3.The remainder 344 of curve chart is similar to curve chart 310(Fig. 8 B).
As shown in each curve chart 346,348 and 350, the power signal of 27MHz has the performance number of a31 during state S1, S2, S3.In addition, the remainder of curve chart 346 is similar to curve chart 312(Fig. 8 B), the remainder of curve chart 348 is similar to curve chart 314(Fig. 8 B), and the remainder of curve chart 350 is similar to curve chart 316(Fig. 8 B).
Figure 11 A is the schematic diagram of the execution mode of curve chart 352,354,356 and 358.Each curve chart 352,354,356 and 358 is described the performance number of YikWWei unit as the function of time t.As shown in Figure 35 2, the power signal of 27MHz is to be supplied with by the power source of 27MHz, and the power signal of this 27MHz has the performance number of a31 during state S1, S2, S3.The remainder of curve chart 352 is to be similar to curve chart 320(Fig. 9 A).
As shown in each curve chart 354,356 and 358, the power signal of 27MHz has the performance number of a31 during state S1, S2, S3.In addition, the remainder of curve chart 354 is similar to curve chart 322(Fig. 9 A), the remainder of curve chart 356 is similar to curve chart 324(Fig. 9 A), and the remainder of curve chart 358 is similar to curve chart 326(Fig. 9 A).
Figure 11 B is the schematic diagram of the execution mode of curve chart 360,362,364 and 366.Each curve chart 360,362,364 and 366 is described the performance number of YikWWei unit as the function of time t.As shown in each curve chart 360,362,364 and 366, the power signal of 27MHz has the performance number of a31 during state S1, S2, S3.The remainder of curve chart 360 is similar to curve chart 328(Fig. 9 B).In addition, the remainder of curve chart 362 is similar to curve chart 330(Fig. 9 B), the remainder of curve chart 364 is similar to curve chart 332(Fig. 9 B), the remainder of curve chart 366 is similar to curve chart 334(Fig. 9 B).
Figure 12 A is the schematic diagram of the execution mode of curve chart 368,370,372 and 374.Each curve chart 368,370,372,374 is described the performance number of YikWWei unit as the function of time t.As shown in each curve chart 368,370,372 and 374, the power signal of 27MHz has the performance number of a31 during state S1, S2, S3.The remainder of curve chart 368 is similar to curve chart 302(Fig. 8 A).In addition, the remainder of curve chart 370 is similar to curve chart 304(Fig. 8 A), the remainder of curve chart 372 is similar to curve chart 306(Fig. 8 A), and the remainder of curve chart 374 is similar to curve chart 308(Fig. 8 A).
Figure 12 B is the schematic diagram of the execution mode of curve chart 376,378,380 and 382.Each curve chart 376,378,380 and 382 is described the performance number of Liao YikWWei unit as the function of time t.As shown in each curve chart 376,378,380 and 382, the power signal of 27MHz has the performance number of a31 during state S1 and S2, and during state S3, has the performance number of a32.The performance number of a32 is greater than performance number a31.The remainder of curve chart 376 is similar to curve chart 310(Fig. 8 B).In addition, the remainder of curve chart 378 is similar to curve chart 312(Fig. 8 B), the remainder of curve chart 380 is similar to curve chart 314(Fig. 8 B), and the remainder of curve chart 382 is similar to curve chart 316(Fig. 8 B).
Figure 13 A is the schematic diagram of the execution mode of curve chart 384,386,388 and 390.Each curve 384,386,388 and 390 is described the performance number of Liao YikWWei unit as the function of time t.As shown in curve 384, the power signal of 27MHz has the performance number of a31 during state S1 and S2, and during state S3, has the performance number of a32.The remainder of curve chart 384 is similar to curve 320(Fig. 9 A).In addition, the remainder of curve chart 386 is similar to curve chart 322(Fig. 9 A), the remainder of curve chart 388 is similar to curve chart 324(Fig. 9 A), and the remainder of curve chart 390 is similar to curve chart 326(Fig. 9 A).
Figure 13 B is the schematic diagram of the execution mode of curve chart 392,394,396 and 398.Each curve chart 392,394,396 and 398 is described the performance number of Liao YikWWei unit as the function of time t.As shown at curve chart 392,394,396,398, the power signal of 27MHz has the performance number of a31 during state S1 and S2, has the performance number of a32 during state S3.The remainder of curve chart 392 is similar to curve chart 328(Fig. 9 B).In addition, the remainder of curve chart 394 is similar to curve chart 330(Fig. 9 B), the remainder of curve chart 396 is similar to curve chart 332(Fig. 9 B), the remainder of curve chart 398 is similar to curve chart 334(Fig. 9 B).
Figure 14 A is the schematic diagram of the execution mode of curve chart 402,404,406 and 408.Each curve chart 402,404,406 and 408 is described the performance number of Liao YikWWei unit as the function of time t.As shown in curve chart 402,404,406 and 408, the power signal of the power signal 27MHz of 27MHz has the performance number of a32 during state S1 and S2, has the performance number of a31 during state S3.The remainder of curve chart 402 is similar to curve chart 302(Fig. 8 A).In addition, the remainder of curve chart 404 is similar to curve chart 304(Fig. 8 A), the remainder of curve chart 406 is similar to curve chart 306(Fig. 8 A), and the remainder of curve chart 408 is similar to curve chart 308(Fig. 8 A).
Figure 14 B is the schematic diagram of the execution mode of curve chart 410,412,414 and 416.Each curve chart 410,412,414 and 416 is described the performance number of Liao YikWWei unit as the function of time t.As shown at each curve chart 410,412,414 and 416, the power signal of 27MHz has the performance number of a32 during state S1 and S2, has the performance number of a31 during state S3.The remainder of curve chart 410 is similar to curve chart 310(Fig. 8 B).In addition, the remainder of curve chart 412 is similar to curve chart 312(Fig. 8 B), the remainder of curve chart 414 is similar to curve chart 314(Fig. 8 B), and the remainder of curve chart 416 is similar to curve chart 316(Fig. 8 B).
Figure 15 A is the schematic diagram of the execution mode of curve chart 418,420,422 and 424.Each curve chart 418,420,422 and 424 is described the performance number of Liao YikWWei unit as the function of time t.As shown at curve chart 418, the power signal of 27MHz has the performance number of a32 during state S1 and S2, has the performance number of a31 during state S3.The remainder of curve chart 418 is similar to curve chart 320(Fig. 9 A).In addition, the remainder of curve chart 420 is similar to curve chart 322(Fig. 9 A), the remainder of curve chart 422 is similar to curve chart 324(Fig. 9 A), and the remainder of curve chart 424 is similar to curve chart 326(Fig. 9 A).
Figure 15 B is the schematic diagram of the execution mode of curve chart 426,428,430 and 432.Each curve chart 426,428,430 and 432 is described the performance number of Liao YikWWei unit as the function of time t.As shown in each curve chart 426,428,430 and 432, the power signal of 27MHz has the performance number of a32 during described state S1 and S2, has the performance number of a31 during state S3.The remainder of curve chart 426 is similar to curve chart 328(Fig. 9 B).In addition, the remainder of curve chart 428 is similar to curve chart 330(Fig. 9 B), the remainder of curve chart 430 is similar to curve chart 332(Fig. 9 B), and the remainder of curve chart 432 is similar to curve chart 334(Fig. 9 B).
It should be noted that, although described execution mode mentioned above according to parallel-plate plasma chamber, but in one embodiment, execution mode mentioned above is applicable to the plasma chamber of other types, for example, the plasma chamber that comprises inductively coupled plasma (ICP) reactor, comprises the plasma chamber of electron cyclotron resonace (ECR) reactor etc.For example, power source 160 and 162 is coupled to the indoor inductor of ICP plasma.
Should be noted that, although above-mentioned execution mode relates to, the radiofrequency signal of the radiofrequency signal of the RF signal of 2MHz and/or 60MHz and/or 27 megahertzes is offered to bottom electrode 120 and top electrode 122 ground connection, but in some embodiments, the signal of 2MHz, 60MHz and 27MHz is offered to top electrode 122, and bottom electrode 120 ground connection.
In one embodiment, by the performed operation of the power controller of AFT and/or RF generator, the DSP by RF controller carries out.For example, the operations by AFT130,312 and 134 execution as described herein are carried out (Fig. 1) by DSP140.As another example, the operation of being carried out by AFT138, AFT141, AFT142, power controller 150, power controller 152 and power controller 154 as described herein is carried out (Fig. 1) by DSP153.
Execution mode described herein can be implemented by various computer system configurations, and this computer system configurations comprises handheld device, microprocessor system, based on consumer electronic devices microprocessor or programmable, microcomputer, mainframe computer etc.Those execution modes also can be carried out in distributed computing environment (DCE), and in this environment, task is carried out by the teleprocessing equipment by network linking.
Note, for above-mentioned execution mode, should be appreciated that these execution modes can adopt the operation of the various computers execution that relate to the data that are stored in computer system.These operations are to need the physical manipulation of physical quantity.The described herein any operation that forms the part in these execution modes is useful machine operation.These execution modes also relate to for carrying out equipment or the device of these operations.This device can be specifically constructed for special purpose computer.When being defined as special purpose computer, this computer can also not belong to other processing, the program of special purpose to be carried out or routine, still can operate for special purpose simultaneously.Alternately, these operations also can be by by being stored in computer storage, high-speed cache, or the all-purpose computer that the one or more computer programs that obtain by network optionally activate or configure is processed.When data obtain on network, these data can be processed by other computers on network, for example, by cloud computing resources, are processed.
Also one or more execution mode can be made as to the computer-readable code on computer-readable medium.Computer-readable medium is any data storage device (for example, memory devices) that can store data, can be by computer system reads after these data.The example of computer-readable medium comprises hard disk drive, network attached storage (NAS), ROM, RAM, compact disc read-only memory (CD-ROM), compact disc recordable (CD-R), can rewrite CD(CD-RW), tape and other optics and non-optical data storage device.Computer-readable medium can comprise the computer-readable tangible medium being distributed in the computer system being coupled with network, makes computer-readable code store and carry out in the mode distributing.
Although described these method operations with specific order, but should understand, as long as carry out in a desired manner the processing of overlap-add operation, other house-keepings can be carried out between operation, or operation can regulate, make to operate in slightly different time generation, or can be distributed in the system that allows processing to operate in the different time interval generations relevant from this processing.
One or more features in any execution mode can be in the situation that the one or more Feature Combinations in the scope of describing in the various execution modes of describing in not departing from the disclosure and any other execution mode.
Although for the object of clear understanding, some details in above-mentioned execution mode have been described, apparent, can carry out within the scope of the appended claims some variation and change.Therefore, embodiments of the present invention should be regarded as illustrative, rather than restrictive, and these execution modes details of being not limited to provide herein, but can in the scope of claims and equivalent, modify.

Claims (26)

1. a plasma process system, it comprises
Main generator, it comprises three main power controllers, each in described main power controller disposes predefined power setting;
From generator, it comprises that three from power controller, and described each from power controller disposes predefined power setting; And
Control circuit, its as input be connected to described main generator and described each from generator, described control circuit is configured to produce pulse signal, described pulse signal is defined as comprising three states in the cycle of carrying out repetition in the operating process that is defined in a plurality of cycles, each state is defined to select first or second or the 3rd in described three main power controllers, also selects described three from power controller first or second or the 3rd simultaneously.
2. plasma process system according to claim 1, wherein, described main generator comprises three main automatic-frequency tuners, each in described main automatic-frequency tuner disposes predefined set of frequency, wherein, describedly from generator, comprise that three from automatic-frequency tuner, described each from automatic-frequency tuner disposes predefined set of frequency, each state is defined to select first or second or the 3rd in described three main automatic-frequency tuners, also select described three from automatic-frequency tuner first or second or the 3rd simultaneously.
3. plasma process system according to claim 1, wherein, described main generator comprises main r-f generator and describedly from generator, comprises from r-f generator.
4. plasma process system according to claim 1, wherein, described main power controller is the parts of the processor of described main generator, wherein, described is the parts of the described processor from generator from power controller.
5. plasma process system according to claim 1, wherein, described pulse signal is digital pulse signal.
6. plasma process system according to claim 1, wherein, described operation comprises described main r-f generator and the described operation from r-f generator.
7. a plasma system, it is arranged to the operation of using a plurality of states, and described plasma system comprises:
Main r-f generator, it is for return pulse signal, and described pulse signal has three or more states, and described three or more states comprise the first state, the second state and the third state, described main r-f generator is coupled to plasma chamber via impedance matching circuit
From r-f generator, it is for receiving described pulse signal, describedly from r-f generator, via described impedance matching circuit, is coupled to described plasma chamber,
Described main r-f generator and described each from r-f generator are configured to judge that whether described pulse signal is in described the first state or described the second state or the described third state,
Described main r-f generator is configured to the judgement in described the first state in response to described pulse signal the radiofrequency signal with the first main quantization level is offered to described impedance matching circuit,
Describedly from r-f generator, be configured to the judgement in described the first state in response to described pulse signal and offer described impedance matching circuit by thering is the first radiofrequency signal from quantization level,
Described main r-f generator is configured to the judgement in described the second state in response to described pulse signal the radiofrequency signal with described the first main quantization level is offered to described impedance matching circuit,
Describedly from r-f generator, be configured to the judgement in described the second state in response to described pulse signal and offer described impedance matching circuit by thering is the second radiofrequency signal from quantization level,
Described main r-f generator is configured to the judgement in the described third state in response to described pulse signal the radiofrequency signal with the second main quantization level is offered to described impedance matching circuit,
Describedly from r-f generator, be configured to the judgement in the described third state in response to described pulse signal and offer described impedance matching circuit by thering is the 3rd radiofrequency signal from quantization level.
8. plasma system according to claim 7, wherein, described the first and second states are associated with the identical power level of described main r-f generator.
9. plasma system according to claim 7, wherein, described first, second, and third state is associated from the different power level of described main r-f generator.
10. plasma system according to claim 7, wherein, occurs during the time period that described the first state equated in the time period of the generation with described the second state.
11. plasma systems according to claim 7, wherein, described the first state occurs during the unequal time period of time period of the generation with described the second state.
12. plasma systems according to claim 7, wherein, occur during the time period that described the second state equated in the time period of the generation with the described third state.
13. plasma systems according to claim 7, wherein, described the second state occurs during the unequal time period of time period of the generation with the described third state.
14. plasma systems according to claim 7, wherein, described the first main quantization level, described the second main quantization level, described first are power level from quantization level and the described the 3rd from quantization level from quantization level, described second.
15. plasma systems according to claim 7, wherein, described the first main quantization level, described the second main quantization level, described first are frequency levels from quantization level and the described the 3rd from quantization level from quantization level, described second.
16. 1 kinds of plasma systems, it is configured to operate according to a plurality of states, and described plasma system comprises:
Main r-f generator, it is for return pulse signal, and described pulse signal has three or more states, and described three or more states comprise the first state, the second state and the third state, described main r-f generator is coupled to plasma chamber via impedance matching circuit
Described main r-f generator is used for judging that whether described pulse signal is in described the first state or described the second state or the described third state,
Described main r-f generator is configured to the judgement in described the first state in response to described pulse signal the radiofrequency signal with the first main quantization level is offered to described plasma chamber with excitation plasma,
Described main r-f generator is configured to the judgement in described the second state in response to described pulse signal the radiofrequency signal with described the first main quantization level is offered to described plasma chamber,
Described main r-f generator is configured to the judgement in the described third state in response to described pulse signal the radiofrequency signal with the second main quantization level is offered to described plasma chamber,
From r-f generator, it is coupled to described plasma chamber via described impedance matching circuit, described from r-f generator for judging whether the parameter that is associated with described plasma surpasses first threshold,
The described judgement that is configured to be no more than described first threshold in response to the described parameter being associated with described plasma from r-f generator provides has the first radiofrequency signal from quantization level,
The described judgement that is configured to surpass described first threshold in response to the described parameter being associated with described plasma from r-f generator provides has the second radiofrequency signal from quantization level.
17. plasma systems according to claim 16, wherein, are describedly used for judging from r-f generator whether described pulse signal is getted over to described the first state from the described third state,
When from the described third state to getting over described in described the first state while occurring, described from r-f generator for judging whether the described parameter being associated with described plasma surpasses described first threshold.
18. plasma systems according to claim 17, wherein, are describedly used for judging from r-f generator whether described pulse signal is getted over to described the second state from described the first state,
When from described the first state to getting over described in described the second state while occurring, described from r-f generator for judging whether the described parameter being associated with described plasma surpasses Second Threshold,
The described judgement that is configured to be no more than described Second Threshold in response to the described parameter being associated with described plasma from r-f generator provides has the described second radiofrequency signal from quantization level,
The described judgement that is configured to surpass described Second Threshold in response to the described parameter being associated with described plasma from r-f generator provides has the 3rd radiofrequency signal from quantization level.
19. plasma systems according to claim 18, wherein, are describedly used for judging from r-f generator whether described pulse signal is getted over to the described third state from described the second state,
When from described the second state to getting over described in the described third state while occurring, described from r-f generator for judging whether the described parameter being associated with described plasma surpasses the 3rd threshold value,
The described judgement that is configured to be no more than described the 3rd threshold value in response to the described parameter being associated with described plasma from r-f generator provides has described the 3rd radiofrequency signal from quantization level,
The described judgement that is configured to surpass described the 3rd threshold value in response to the described parameter being associated with described plasma from r-f generator provides has the described first radiofrequency signal from quantization level.
20. plasma systems according to claim 16, wherein, described the first main quantization level and described the second main quantization level are power levels.
21. plasma systems according to claim 16, wherein, described the first main quantization level and described the second main quantization level are frequency levels.
, wherein, during the time period equating in the time period of the generation with described the second state, there is described the first state in 22. plasma systems according to claim 16.
, wherein, during the unequal time period of time period of the generation with described the second state, there is described the first state in 23. plasma systems according to claim 16.
24. plasma systems according to claim 16, wherein, the described parameter being associated with described plasma comprises variation or the gamma value being associated with described plasma or the voltage standing wave ratio being associated with described plasma or their combination of the impedance of described plasma.
25. 1 kinds of plasma methods, it comprises:
Return pulse signal, wherein, receives described pulse signal and carries out by processor,
Receive described pulse signal, wherein, receive described pulse signal by carrying out from processor,
Judge that whether described pulse signal is in the first state or the second state or the third state, wherein, judgement is carried out by described primary processor;
Judge that whether described pulse signal is in described the first state or the second state or the third state, wherein, judgement is carried out from processor by described;
Judgement in response to described pulse signal in described the first state offers main power source by the first main quantization level of the first radiofrequency signal, and wherein, providing by described primary processor of described the first main quantization level carried out;
Judgement in response to described pulse signal in described the first state offers from power source from quantization level first of the second radiofrequency signal, and wherein, described first carries out from processor from providing by described of quantization level;
Judgement in response to described pulse signal in described the second state offers described main power source by the described first main quantization level of described the first radiofrequency signal, and wherein, providing by described primary processor of described the first main quantization level carried out;
Judgement in response to described pulse signal in described the second state offers described from power source from quantization level by second of described the second radiofrequency signal, wherein, described second carries out from processor from providing by described of quantization level;
Judgement in response to described pulse signal in the described third state offers described main power source by the second main quantization level of described the first radiofrequency signal, and wherein, providing by described primary processor of described the second main quantization level carried out; And
Judgement in response to described pulse signal in the described third state offers described from power source from quantization level by the 3rd of described the second radiofrequency signal the, wherein, the described the 3rd carries out from processor from providing by described of quantization level.
, wherein, during the time period equating in the time period of the generation with described the second state, there is described the first state in 26. methods according to claim 25.
CN201310422704.3A 2012-09-14 2013-09-16 Power based on three or more states and the regulation of frequency Active CN103681195B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201611234961.4A CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261701574P 2012-09-14 2012-09-14
US61/701,574 2012-09-14
US14/016,841 US9462672B2 (en) 2012-02-22 2013-09-03 Adjustment of power and frequency based on three or more states
US14/016,841 2013-09-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201611234961.4A Division CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Publications (2)

Publication Number Publication Date
CN103681195A true CN103681195A (en) 2014-03-26
CN103681195B CN103681195B (en) 2017-03-01

Family

ID=50318412

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310422704.3A Active CN103681195B (en) 2012-09-14 2013-09-16 Power based on three or more states and the regulation of frequency
CN201611234961.4A Active CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201611234961.4A Active CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Country Status (3)

Country Link
KR (1) KR102165741B1 (en)
CN (2) CN103681195B (en)
TW (1) TWI599272B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105047513A (en) * 2014-04-23 2015-11-11 朗姆研究公司 SOFT PULSING moderator
CN108140530A (en) * 2015-09-01 2018-06-08 Mks仪器有限公司 Plasma RF biases elimination system
CN108886345A (en) * 2016-02-18 2018-11-23 先进能源工业公司 Equipment for the controlled overshoot in RF generator
CN109315059A (en) * 2016-04-12 2019-02-05 海别得公司 For providing the system and method for being used for the power of plasma arc cutting
WO2020001361A1 (en) * 2018-06-27 2020-01-02 北京北方华创微电子装备有限公司 Radio frequency pulse matching method and apparatus therefor, and pulsing plasma generating system
CN111295731A (en) * 2017-08-31 2020-06-16 朗姆研究公司 System and method for achieving peak ion energy enhancement with low angular dispersion
CN114041201A (en) * 2019-04-29 2022-02-11 朗姆研究公司 System and method for multi-stage pulsing in RF plasma tools

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI735912B (en) * 2014-08-22 2021-08-11 美商蘭姆研究公司 Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state
JP5797313B1 (en) 2014-08-25 2015-10-21 株式会社京三製作所 Regenerative circulator, high frequency power supply device, and high frequency power regeneration method
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
JP6826165B1 (en) * 2019-08-06 2021-02-03 株式会社京三製作所 Pulsed high frequency monitor

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010006849A1 (en) * 1999-12-09 2001-07-05 Kawasaki Steel Corporation Methods and apparatus for producing semiconductor devices
CN1816893A (en) * 2003-05-06 2006-08-09 兰姆研究有限公司 RF pulsing of a narrow gap capacitively coupled reactor
US20060232471A1 (en) * 2005-04-18 2006-10-19 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
CN1973364A (en) * 2003-08-22 2007-05-30 拉姆研究有限公司 Multiple frequency plasma etch reactor
TW200847855A (en) * 2007-05-22 2008-12-01 Advanced Micro Fab Equip Inc Radio frequency power source system and the plasma reaction chamber using that radio frequency power source system
US20100130018A1 (en) * 2008-11-24 2010-05-27 Samsung Electronics Co., Ltd. Synchronous pulse plasma etching equipment and method of fabricating a semiconductor device
CN101803193A (en) * 2007-09-21 2010-08-11 高通股份有限公司 Signal generator with adjustable phase
CN101896034A (en) * 2007-04-13 2010-11-24 中微半导体设备(上海)有限公司 Radio frequency power source system and plasma reaction chamber applying same
CN102027810A (en) * 2008-05-14 2011-04-20 应用材料股份有限公司 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
KR101124770B1 (en) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus, plasma processing method and computer readable storage medium
JP5141519B2 (en) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 Plasma processing apparatus and method of operating plasma processing apparatus
JP2010250959A (en) * 2009-04-10 2010-11-04 Hitachi High-Technologies Corp Plasma processing system
KR101888324B1 (en) * 2009-11-19 2018-09-06 램 리써치 코포레이션 Methods and apparatus for controlling a plasma processing system
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010006849A1 (en) * 1999-12-09 2001-07-05 Kawasaki Steel Corporation Methods and apparatus for producing semiconductor devices
CN1816893A (en) * 2003-05-06 2006-08-09 兰姆研究有限公司 RF pulsing of a narrow gap capacitively coupled reactor
CN1973364A (en) * 2003-08-22 2007-05-30 拉姆研究有限公司 Multiple frequency plasma etch reactor
US20060232471A1 (en) * 2005-04-18 2006-10-19 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
CN101896034A (en) * 2007-04-13 2010-11-24 中微半导体设备(上海)有限公司 Radio frequency power source system and plasma reaction chamber applying same
TW200847855A (en) * 2007-05-22 2008-12-01 Advanced Micro Fab Equip Inc Radio frequency power source system and the plasma reaction chamber using that radio frequency power source system
CN101803193A (en) * 2007-09-21 2010-08-11 高通股份有限公司 Signal generator with adjustable phase
CN102027810A (en) * 2008-05-14 2011-04-20 应用材料股份有限公司 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US20100130018A1 (en) * 2008-11-24 2010-05-27 Samsung Electronics Co., Ltd. Synchronous pulse plasma etching equipment and method of fabricating a semiconductor device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105047513A (en) * 2014-04-23 2015-11-11 朗姆研究公司 SOFT PULSING moderator
CN105047513B (en) * 2014-04-23 2018-03-30 朗姆研究公司 Soft pulse is modulated
CN108140530A (en) * 2015-09-01 2018-06-08 Mks仪器有限公司 Plasma RF biases elimination system
CN108886345A (en) * 2016-02-18 2018-11-23 先进能源工业公司 Equipment for the controlled overshoot in RF generator
CN108886345B (en) * 2016-02-18 2022-06-21 先进工程解决方案全球控股私人有限公司 Apparatus for controlled overshoot in an RF generator
CN109315059A (en) * 2016-04-12 2019-02-05 海别得公司 For providing the system and method for being used for the power of plasma arc cutting
CN111295731A (en) * 2017-08-31 2020-06-16 朗姆研究公司 System and method for achieving peak ion energy enhancement with low angular dispersion
CN111295731B (en) * 2017-08-31 2024-04-09 朗姆研究公司 Systems and methods for achieving peak ion energy enhancement with low angular dispersion
KR20210002740A (en) * 2018-06-27 2021-01-08 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. RF pulse matching method and device, pulsed plasma generation system
KR102251093B1 (en) 2018-06-27 2021-05-12 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. RF pulse matching method and device, pulsed plasma generation system
US11056316B2 (en) 2018-06-27 2021-07-06 Beijing Naura Microelectronics Equipment Co., Ltd. Radio frequency pulse matching method and device thereof and pulsing plasma generation system
WO2020001361A1 (en) * 2018-06-27 2020-01-02 北京北方华创微电子装备有限公司 Radio frequency pulse matching method and apparatus therefor, and pulsing plasma generating system
CN114041201A (en) * 2019-04-29 2022-02-11 朗姆研究公司 System and method for multi-stage pulsing in RF plasma tools

Also Published As

Publication number Publication date
CN106935473B (en) 2018-11-02
TW201427496A (en) 2014-07-01
CN106935473A (en) 2017-07-07
TWI599272B (en) 2017-09-11
KR102165741B1 (en) 2020-10-15
CN103681195B (en) 2017-03-01
KR20140035860A (en) 2014-03-24

Similar Documents

Publication Publication Date Title
CN103681195A (en) Adjustment of power and frequency based on three or more states
US11361942B2 (en) Adjustment of power and frequency based on three or more states
KR102130921B1 (en) State-based adjustment of power and frequency
CN103295866B (en) The adjustment based on impedance of power and frequency
CN103681194B (en) Edge is gradual
CN103871810A (en) Method and system for determining value of variable on radio frequency (RF) transmission model
KR20140113612A (en) Dual control mode
CN103926850A (en) Tuning A Parameter Associated With Plasma Impedance
JP2022535282A (en) Systems and methods for adjusting a MHZ RF generator within the operating cycle of a KHZ RF generator

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant