CN103681195B - Power based on three or more states and the regulation of frequency - Google Patents

Power based on three or more states and the regulation of frequency Download PDF

Info

Publication number
CN103681195B
CN103681195B CN201310422704.3A CN201310422704A CN103681195B CN 103681195 B CN103681195 B CN 103681195B CN 201310422704 A CN201310422704 A CN 201310422704A CN 103681195 B CN103681195 B CN 103681195B
Authority
CN
China
Prior art keywords
state
generator
power
pulse signal
main
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310422704.3A
Other languages
Chinese (zh)
Other versions
CN103681195A (en
Inventor
约翰·C·小瓦尔考
布拉德福德·J·林达克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/016,841 external-priority patent/US9462672B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN201611234961.4A priority Critical patent/CN106935473B/en
Publication of CN103681195A publication Critical patent/CN103681195A/en
Application granted granted Critical
Publication of CN103681195B publication Critical patent/CN103681195B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32128Radio frequency generated discharge using particular waveforms, e.g. polarised waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2223/00Details of transit-time tubes of the types covered by group H01J2225/00
    • H01J2223/16Circuit elements, having distributed capacitance and inductance, structurally associated with the tube and interacting with the discharge
    • H01J2223/18Resonators
    • H01J2223/20Cavity resonators; Adjustment or tuning thereof
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

The present invention relates to the regulation of power based on three or more states and frequency, specifically describe for the system and method according to three or more status adjustment power and frequency.One of methods described includes:Receive the pulse signal with multiple states.By multiple radio frequencies(RF)Generator receives described pulse signal.When receiving the described pulse signal with first state, produced the RF signal with power level set in advance by a RF generator and produced the RF signal with power level set in advance by the 2nd RF generator.Additionally, when receiving the described pulse signal with the second state, producing the RF signal with power level set in advance by described first and second r-f generators.Additionally, when receiving the described pulse signal with the third state, producing the RF signal with power level set in advance by described first and second r-f generators.

Description

Power based on three or more states and the regulation of frequency
Technical field
Embodiments of the present invention are related to improve the response time of the change of plasma impedance, relate more specifically to use Device, method and computer program in the power based on three or more states and frequency regulation.
Background technology
In plasma process system, multiple radio frequency (RF) signals are provided to one or more electricity in plasma chamber Pole.RF signal helps in plasma interior generation plasma.This plasma is used for various operations, for example, clean bits Substrate on bottom electrode, etch this substrate, etc..
In this context, occur in that the embodiment described in the disclosure.
Content of the invention
Embodiment of the present disclosure supplied the device adjusting for power based on three or more states and frequency, Method and computer program.It is appreciated that the various these embodiments can be implemented as various ways, for example, operation, device, Method on system, equipment or computer-readable medium.Some embodiments are described below.
In some embodiments, describe a kind of plasma process system.This plasma system includes main product life Device, this main generator includes three main power controllers.Each in described main power controller is configured with predefined power Setting.This plasma system includes from generator, described includes three from power controller from generator.Described from power control Each in device processed is configured with predefined power setting.This plasma system includes control circuit, this control circuit conduct Input be connected to described main generator and described from each of generator.Described control circuit is configured to produce pulse letter Number, described pulse signal is defined to include three shapes being defined on the cycle repeated in the operating process in multiple cycles State.Each state is defined to select first or second in described three main power controllers or the 3rd, also selects simultaneously Described three from power controller first or second or the 3rd.
In one embodiment, describe a kind of plasma system being configured to and being operated according to multiple states System.Described plasma system includes main r-f generator, and described main r-f generator is used for return pulse signal.Described pulse Signal has three or more states.Three or more states described include first state, the second state and the third state. Described main r-f generator is configured to be coupled to plasma chamber via impedance matching circuit.Described plasma system also wraps Include for receive described pulse signal from r-f generator.Described it is configured to via described impedance matching from r-f generator Circuit is coupled to described plasma chamber.Described main r-f generator and each from r-f generator described are configured to sentence Whether fixed described pulse signal is in described first state or described second state or the described third state.Described main radio frequency produces Device is configured to respond to described pulse signal and is in the judgement of described first state will have the radio frequency of the first main quantization level Signal is supplied to described impedance matching circuit.Described from r-f generator be configured to respond to described pulse signal be in described The judgement of first state is supplied to described impedance matching circuit by having first from the radiofrequency signal of quantization level.Described main radio frequency Generator be configured to respond to described pulse signal be in described second state judgement will have described first main quantify electricity Flat radiofrequency signal is supplied to described impedance matching circuit.Described it is configured to respond to described pulse signal from r-f generator The judgement being in described second state is supplied to described impedance matching circuit by having second from the radiofrequency signal of quantization level.Institute State main r-f generator and be configured to respond to described pulse signal and be in the judgement of the described third state to have the second main amount The radiofrequency signal changing level is supplied to described impedance matching circuit.Described it is configured to respond to described pulse from r-f generator The judgement that signal is in the described third state is supplied to described impedance matching electricity by having the 3rd from the radiofrequency signal of quantization level Road.
In some embodiments, describe a kind of plasma system being configured to and operating according to multiple states.Institute State the main r-f generator that plasma system includes for return pulse signal, described pulse signal has three or more State.Three or more states described include first state, the second state and the third state.Described main r-f generator is joined It is set to and be coupled to plasma chamber via impedance matching circuit.Described main r-f generator is configured to judge described pulse signal Whether it is in described first state or described second state or the described third state.Described main r-f generator is configured to respond to In the judgement that described pulse signal is in described first state, the radiofrequency signal with the first main quantization level is supplied to described To excite plasma, described main r-f generator is configured to respond to described pulse signal and is in described plasma chamber The radiofrequency signal with the described first main quantization level is supplied to described plasma chamber by the judgement of two-state, and described master penetrates Frequency generator is configured to respond to described pulse signal and is in the judgement of the described third state to have the second main quantization level Radiofrequency signal be supplied to described plasma chamber.Described plasma system includes from r-f generator, described from radio frequency product Raw device is configured to be coupled to described plasma chamber via described impedance matching circuit.Described from r-f generator judge and institute State whether the associated parameter of plasma exceedes first threshold.Described from r-f generator be configured to respond to described etc. The judgement offer that the described parameter that gas ions are associated is less than described first threshold has the first radio frequency letter from quantization level Number, and the described parameter being configured to respond to be associated with described plasma exceedes the judgement offer tool of described first threshold There is the second radiofrequency signal from quantization level.
In some embodiments, plasma method includes return pulse signal.Receive the operation of described pulse signal Executed by primary processor.Described plasma method further includes to receive described pulse signal.Receive described pulse The operation of signal is by from computing device.Methods described include determining whether described pulse signal whether be in first state or Second state or the third state.Judge whether described pulse signal is in first state or the operation of the second state or the third state Executed by described primary processor.Methods described includes determining whether whether described pulse signal is in described first state or Two-state or the third state.Judge whether described pulse signal is in first state or the operation of the second state or the third state is By described from computing device.Methods described further includes to be in described first state in response to described pulse signal Judge for the first of the first radiofrequency signal the main quantization level to be supplied to main power source.The operation of the described first main quantization level is provided Executed by described primary processor.Methods described includes being in the judgement of described first state in response to described pulse signal From power source described in the first of described second radiofrequency signal is supplied to from quantization level.There is provided described first from quantization level Operation is from computing device by described.
In some embodiments, described plasma method includes being in described second shape in response to described pulse signal First main quantization level of described first radiofrequency signal is supplied to described main power source by the judgement of state.Described first main amount is provided The operation changing level is executed by described primary processor.Methods described includes being in described in response to described pulse signal The judgement of two-state by the second of described second radiofrequency signal from quantization level be supplied to described from power source.There is provided described second It is from computing device by described from the operation of quantization level.Methods described includes being in institute in response to described pulse signal Second main quantization level of described first radiofrequency signal is supplied to described main power source by the judgement stating the third state.There is provided described The operation of the second main quantization level is from primary processor execution by described.Methods described is included in response to described pulse signal Be in the judgement of the described third state by the 3rd of described second radiofrequency signal the from quantization level be supplied to described from power source.Carry For the described 3rd from the operation of quantization level be from computing device by described.
Some advantages of above-mentioned embodiment include reducing the sound of the indoor change of plasma impedance of plasma The response time answered.For example, when status signal (for example, transistor-transistor logic circuit (TTL) signal, etc.) quilt During for controlling the frequency being provided by multiple RF power sources and/or power, first in described RF source is simultaneously not require time to Respond the change of the power of second in described RF source and/or frequency.In general, when to a RF source frequency and/or When power input is changed, plasma impedance has change and reacts to the change of described impedance in a described RF source. This reaction requires time for, thus producing negative shadow to techniques such as the such as etching occurring in plasma interior, deposition, cleanings Ring.When the change of the RF source preset frequency and/or predetermined power state to status signal is reacted, plasma hinders The time minimizing that anti-change is reacted required.The time that this temporal minimizing leads to technique is had a negative impact Reduce.
Some extra advantages in above-mentioned embodiment include providing accurate power and/or frequency levels with stable etc. Gas ions, for example, to reduce the difference between source and load impedance.When power and/or frequency levels are according to plasma impedance When change produces, described frequency and/or power level are accurate.For example, complex voltage and telegram in reply flow measured and are used for producing The change of plasma impedance.Judge whether the change of plasma impedance exceedes threshold value, if if exceeding, change power And/or frequency levels are with stable plasma.
Other advantages of embodiment include:Reduce the time quantum of the stability reaching in plasma.Training routine is used In determination frequency and/or power level to be applied to activator and amplifier system.During training routine, also determine power And/or frequency levels correspond to the change of plasma impedance.Training routine saves the time in process of production, for example, uses In the time of cleaning substrate, for processing the time of substrate, for etching the time of substrate, for deposition materials on substrate Time etc..In process of production, when the change judging plasma impedance exceedes threshold value, power and/or frequency levels are applied It is added to power source, without tune power and/or frequency levels.
From the detailed description that ensuing combination accompanying drawing is carried out, other aspects of the present invention can become apparent.
Brief description
With reference to the description carrying out below in conjunction with the accompanying drawings, embodiments of the present invention can be best understood.
The penetrating for being adjusted according to multiple states of pulse signal of embodiment according to described by the present invention for the Fig. 1 Frequently the schematic block diagram of the embodiment of system of the power of (RF) generator and/or frequency.
Fig. 2 is the curve chart illustrating state S1, S2 and S3 of embodiment having thus described the invention.
Fig. 3 is the curve chart of the different time sections illustrating different conditions of embodiment having thus described the invention.
Fig. 4 is the schematic diagram of the system of embodiment having thus described the invention, and this system is according to the shape of pulse signal State selects one of automatic frequency tuner (AFTS).
Fig. 5 is the schematic diagram of the system of embodiment having thus described the invention, and this system is used for according to pulse signal State and plasma impedance change come to control by y MHz r-f generator produce RF signal frequency and/or Power.
Fig. 6 is that the change of the impedance of embodiment having thus described the invention is produced by RF with determining with the comparison of threshold value Power level and the schematic diagram of frequency levels that device provides.
Fig. 7 is the schematic diagram of the system of embodiment having thus described the invention, and this system is used for according to pulse signal State and select AFT according to whether parameter value exceedes threshold value.
Fig. 8 A is the curve chart of the signal being produced by two RF generators of embodiment having thus described the invention, its Described in one of signal there is another in different performance numbers, and described signal in a shape for each state Have during state be zero performance number.
Fig. 8 B is the curve chart of the signal being produced by two RF generators of embodiment having thus described the invention, its Described in one of signal there is another in identical performance number, and described signal in a shape for two states Have during state be zero performance number.
Fig. 9 A is the curve chart of the signal being produced by two RF generators of embodiment having thus described the invention, its Described in one of signal there is another in identical performance number, and described signal in all shapes for each state There is during state the performance number of non-zero.
Fig. 9 B is the curve chart of the signal being produced by two RF generators of embodiment having thus described the invention, its Described in one of signal there is another in identical performance number, and described signal in all shapes for two states There is during state the performance number of non-zero.
Figure 10 A is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in different performance numbers, and described signal at one for each state Have during state be zero performance number, and in described signal also have the work(having during all states for constant Rate value.
Figure 10 B is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in identical performance number, and described signal at one for two states Have during state be zero performance number, and in described signal also have the work(having during all states for constant Rate value.
Figure 11 A is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in different performance numbers, and described signal all for each state There is during state the work(having during all states for constant also having in the performance number of non-zero, and described signal Rate value.
Figure 11 B is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in identical performance number, and described signal all for two states There is during state the work(having during all states for constant also having in the performance number of non-zero, and described signal Rate value.
Figure 12 A is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in different performance numbers, and described signal at one for each state Have during state be zero performance number, and in described signal also have one for two states, there is identical power Value.
Figure 12 B is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in identical performance number, and described signal at one for two states Have during state be zero performance number, and in described signal also have one for two states, there is identical power Value.
Figure 13 A is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in different performance numbers, and described signal for institute for each state There is state to have one also had in the performance number of non-zero, and described signal, for two states, there is identical performance number.
Figure 13 B is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in identical performance number, and described signal for institute for two states There is state to have one also had in the performance number of non-zero, and described signal, for two states, there is identical performance number.
Figure 14 A is the curve chart of the signal being produced by three RF generators of embodiment having thus described the invention, One of wherein said signal has another in different performance numbers, and described signal at one for each state Have during state be zero performance number, and in described signal also have one for two states, there is identical power Value.
The curve chart of the signal being produced by three RF generators of embodiment according to described by the present invention for Figure 14 B, One of wherein said signal has identical performance number for two states, and another in described signal is in a state Period have be zero performance number, and in described signal also have one for two states, there is identical performance number.
The curve chart of the signal being produced by three RF generators of Figure 15 A embodiment having thus described the invention, its Described in one of signal for each state, there are different performance numbers, another in described signal is for all states Another having in the performance number of non-zero, and described signal has identical performance number for two states.
The curve chart of the signal being produced by three RF generators of embodiment according to described by the present invention for Figure 15 B, One of wherein said signal has identical performance number for two states, and another in described signal is for all shapes State have in the performance number of non-zero, and described signal also have one for two states, there is identical performance number.
Specific embodiment
Embodiments below describes the system of the regulation based on three or more states for power and frequency And method.It is clear that present embodiment can also be implemented in the case of not having these details some or all of.At it In his example, it is not described in known process and operates, so as not to can unnecessarily obscure embodiments of the present invention.
Fig. 1 be in process of production according to multiple states of pulse signal 102 adjust RF generator power and/ Or the schematic block diagram of the embodiment of system 100 of frequency.System 100 includes penetrating for x megahertz (MHz) producing RF signal Frequently (RF) power generator, and this RF signal is supplied to the bottom electrode of plasma chamber 104 via impedance matching circuit 106 120.Similarly, the power source of yMHz produces RF signal, and via impedance matching circuit 106, this RF signal is supplied to bottom electrode 120.
The value of x can be 2,27 or 60.In addition, the value of y can be 27,60 or 2.For example, when x is 2, y is 27 or 60. As another example, when x is 27, y is 2 or 60.As another example, when x is 60, y is 2 or 27.In addition it should be pointed out that , value 2MHz, 27MHz, 60MHz to provide as an example, are not restricted.It is, for example possible to use 2.5MHz R-f generator substitutes the r-f generator of 2MHz, it is possible to use the radio frequency that the r-f generator of 65MHz substitutes 60MHz produces Device.In one embodiment, in addition to the r-f generator of 2MHz and the r-f generator of 27MHz, also penetrating using 60MHz RF power is supplied to bottom electrode 120 by frequency generator.
Impedance matching circuit include component so that the impedance in the source coupling with this impedance matching circuit and with this impedance The matches impedances of the load of match circuit coupling, this component such as inducer, capacitor etc..For example, impedance matching circuit The 106 RF generators making x MHz and any component (example that the RF generator of x MHz is coupled to impedance matching circuit 106 As RF cable etc.) impedance and plasma chamber 104 and plasma chamber 104 is coupled to appointing of impedance matching circuit 106 The matches impedances of what component (for example, RF transmission line).In one embodiment, impedance matching circuit is tuned to promote Enter to be coupled to mating between the impedance in source of this impedance matching circuit and the impedance of the load being coupled to this impedance matching circuit. Impedance matching between source and load decreases power from load to the probability of source reflection.
Plasma chamber 104 includes bottom electrode 120, Top electrode 122 and other component (not shown), for example, around upper electricity The upper dielectric ring of pole 122, the bottom electrode extension around upper dielectric ring, the lower dielectric ring around this bottom electrode, around The lower dielectric ring of bottom electrode 120, the bottom electrode extension around bottom electrode 120, top plasma exclusion zone (PEZ) ring, Bottom PEZ ring etc..Top electrode 122 is located at the opposite side of bottom electrode 120 and towards bottom electrode 120.
The upper surface 126 supporting substrate 124 (for example, semiconductor wafer) of bottom electrode 120.Substrate 124 is developed integrated Circuit, for example, ASIC (ASIC), PLD (PLD) etc., and it is used in each in this integrated circuit The equipment of kind, for example, cell phone, panel computer, smart mobile phone, computer, notebook computer, network equipment etc..Bottom electrode 120 It is made up of the such as metal such as anodized aluminum, aluminium alloy.Additionally, Top electrode 122 is made up of the such as metal such as aluminum, aluminium alloy.
In one embodiment, Top electrode 122 includes being communicated to the hole of center gas feeder (not shown).This central authorities Gas feeder receives one or more processing gas from gas supplier (not shown).The example of processing gas includes such as O2 Deng oxygen-containing gas.The example of other processing gas includes such as carbon tetrafluoride (CF4), sulfur hexafluoride (SF6), perfluoroethane (C2F6) fluoro-gas such as.Top electrode 122 is grounded.Bottom electrode 120 is produced with one or more RF via impedance matching circuit 106 Raw device coupling.For example, Top electrode 122 is coupled and via impedance matching with the RF generator of x MHz via impedance matching circuit 106 Circuit 106 is coupled with the RF power source of y MHz.
When supplying process gas between Top electrode 122 and bottom electrode 120, and as RF generator (for example, x MHz The RF generator of RF generator and/or y MHz) when power is supplied to bottom electrode 120 via impedance matching circuit 106, light Processing gas are to produce plasma in plasma chamber 104.For example, the RF generator of 2MHz is via impedance matching circuit 106 provide power to light processing gas, thus producing plasma.In some embodiments, the RF generator of 2MHz is Main RF generator.
The aid-user-interface such as the such as control circuit on computer (not shown) (UI) 151 are used for producing pulse signal 102, such as transistor-transistor logic (TTL) signal, digital pulse signal, clock signal, there is dutycycle (duty Cycle signal) etc..In one embodiment, computer includes TTL circuit.As it is used herein, using processor, Controller, ASIC or PLD replacement computer, and these terms are used interchangeably herein.
Pulse signal 102 includes state S1, S2 and S3.In various embodiments, state S1, S2 and S3 are with the clock cycle Repeat.Each clock cycle includes state S1, S2 and S3.For example, during the half period of clock cycle, execution state S1 and S2, and during the remaining half period of clock cycle, execute state S3.As another example, the clock cycle three/ During one time period, execute state S1, during another 1/3rd of clock cycle time period, execute state S2, and During remaining 1/3rd time period, execute state S3.In some embodiments, the shape that pulse signal 102 includes State is more or less than three states.The example of state S1 includes the state with the power level of the first scope.The showing of state S2 Example includes the state with the power level of the second scope.The example of third state S3 includes the power level with the 3rd scope State.In some embodiments, the power level of described second scope is more than power level and the institute of described first scope The power level stating the 3rd scope is more than the power level of described second scope.In various embodiments, the work(of the 3rd scope The power level of power level and the second scope that rate level is less than the second scope is less than the power level of described first scope.? In a kind of embodiment, the power level of the 3rd scope is not equal to the power level of the second scope and the power level of the second scope It is not equal to the power level of described first scope.
In some embodiments, the power level of a certain scope includes one or more power levels.
In various embodiments, replacement computer, clock source (for example, crystal oscillator etc.) is used for producing simulation clock Signal, this simulation clock signal is converted into the digital signal similar to pulse signal 102 by analogue-to-digital converters.For example, lead to Cross on the electrode applying a voltage on crystal oscillator or near crystal oscillator, so that crystal oscillator is vibrated in the electric field.
In some embodiments, two DCS digital clock sources (for example, processor, computer, etc.) be used for producing pulse Signal 102.First clock signal of the first DCS digital clock source has state 1 and 0, and the second clock letter of the second DCS digital clock source Number there is state 1 and 0.Adder (for example, add circuit etc.) is coupled with two clock sources with to the first and second digital signals Summation, thus produce the pulse signal 102 with three states.
Pulse signal 102 is sent to the digital signal processor (DSP) 140 of the RF generator of x MHz and other y The DSP153 of the RF generator of MHz.Each DSP140 and 153 return pulse signals 102 simultaneously identify the state of pulse signal 102 S1, S2 and S3.For example, DSP140 makes a distinction between state S1, S2 and S3.In order to illustrate DSP140 in state S1, S2 and The mode making a distinction between S3, DSP140 determines that pulse signal 102 has the power of the first scope during first time period Level, has the power level of the second scope during second time period, has the work(of the 3rd scope during the 3rd time period Rate level.State S1, the power level pair of the second scope are corresponded to by the power level that DSP140 predefines the first scope Should be in state S2, the power level of the 3rd scope corresponds to state S3.
In some embodiments, first time period is equal to each in second time period and the 3rd time period.Various In embodiment, first time period is equal to second time period or the 3rd time period.In one embodiment, first time period is not Equal to the second and the 3rd each in the time period.In various embodiments, first time period is not equal to second time period or Three time periods.
State S1, S2 and S3 are stored in the storage of the one or more memory devices in DSP by each DSP140 and 153 In position.The example of storage device includes random access memory (RAM) and read only memory (ROM).Storage device can be Flash memories, hard disk, storage device, computer-readable medium etc..
In various embodiments, the corresponding relation between the state of the power level of a certain scope and pulse signal 102 It is stored in the storage device of DSP.For example, the mapping between the power level of the first scope and state S1 is stored in DSP140 Storage device in.As another example, the mapping between the power level of the second scope and state S2 is stored in DSP153 Storage device in.As another example, the mapping between the power level of the 3rd scope and state S3 is stored in DSP140 Storage device in.
State S1, S2 identifying from corresponding storage location and S3 are supplied to corresponding automatic by each DSP140 and 153 Frequency tuner (AFT) 130,132,134,138,141 and 142 and corresponding power controller 144,146,148,150, 152 and 154.For example, DSP140 indicates arteries and veins between time t1 and t2 of first time period to AFT130 and power controller 144 Rush signal 102 and be in state S1.As another example, DSP140 indicates in the second time to AFT132 and power controller 146 Between time t2 and t3 of section, pulse signal 102 is in state S2.As another example, DSP140 is to AFT134 and Power Control Device 148 indicates that pulse signal 102 is in state S3 between time t3 and t4 of the 3rd time period.As another example, To AFT138 and power controller 150, DSP153 indicates that pulse signal 102 is between time t1 and t2 of first time period State S1.As another example, DSP153 indicates time t2 and t3 in second time period to AFT141 and power controller 152 Between pulse signal 102 be in state S2.As another example, DSP153 indicates to AFT142 and power controller 154 Between time t3 and t4 of three time periods, pulse signal 102 is in state S3.In some embodiments, tuner and controller It is used interchangeably herein.United States Patent (USP) No.6, provides the example of AFT in 020,794, pass through to draw in this entire contents With being expressly incorporated herein.
Each AFT130,132,134,138,141 and 142 determine frequency levels according to the state of pulse signal 102, and Each power controller 144,146,148,150,152 and 154 determines power level according to the state of pulse signal 102.Example As AFT130 judges that radio frequency frequency levels Fp1 being supplied to x MHz when the state of pulse signal 102 is in S1 produces The power source 160 of device, and power controller 144 judges to put forward power level Pp1 when the state of pulse signal 102 is in S1 Supply power source 160.As another example, AFT132 judges frequency levels when the state of pulse signal 102 is in S2 Fp2 is supplied to power source 160, and power controller 146 judges power level when the state of pulse signal 102 is in S2 Pp2 is supplied to power source 160.As another example, AFT134 judges frequency when the state of pulse signal 102 is in S3 Level Fp3 is supplied to power source 160, and power controller 148 judges power when the state of pulse signal 102 is in S3 Level Pp3 is supplied to power source 160.
As another example, judge to put forward frequency levels Fs1 when the state of pulse signal 102 is in S1 in AFT138 The power source 162 of the r-f generator of supply y MHz, and power controller 150 judges that the state working as pulse signal 102 is in S1 When power level Ps1 is supplied to power source 162.As another example, AFT141 judges at the state of pulse signal 102 When S2, frequency levels Fs2 are supplied to power source 162, and power controller 152 judges at the state of pulse signal 102 When S2, power level Ps2 is supplied to power source 162.As another example, AFT142 judges the shape when pulse signal 102 State is in, during S3, frequency levels Fs3 is supplied to power source 162, and power controller 154 judges the shape when pulse signal 102 State is in, during S3, power level Ps3 is supplied to power source 162.
In some embodiments, level includes one or more values.For example, frequency levels include one or more frequencies Value and power level include one or more performance numbers.
In some embodiments, frequency levels Fp1, Fp2, Fp3 are identicals.In various embodiments, frequency electricity In flat Fp1, Fp2, Fp3 at least two are unequal.For example, frequency levels Fp1 are not equal to frequency levels Fp2, frequency electricity Flat Fp2 is not equal to frequency levels Fp3.In this illustration, frequency levels Fp3 are not equal to frequency levels Fp1.Show as another Example, frequency levels Fp1 are not equal to frequency levels Fp2, and frequency levels Fp2 are equal to frequency levels Fp3.
Similarly, in some embodiments, frequency levels Fs1, Fs2, Fs3 are identicals, or frequency levels Fs1, In Fs2, Fs3 at least two are unequal and remaining frequency levels is equal, or in frequency levels Fs1, Fs2, Fs3 At least two be equal and remaining frequency levels be unequal.
In various embodiments, power level Pp1, Pp2, Pp3 are identicals.For example, power level Pp1 is equal to power Level Pp2, power level Pp2 is equal to power level Pp3.In numerous embodiments, in power level Pp1, Pp2, Pp3 extremely Few two is unequal, and remaining power level is equal.For example, power level Pp1 is not equal to power level Pp2, Power level Pp2 is equal to power level Pp3.As another example, power level Pp2 is not equal to power level Pp3, power level Pp3 is equal to power level Pp1.As another example, power level Pp1 is equal to power level Pp2, and power level Pp2 is not equal to Power level Pp3.In some embodiments, power level Pp1, Pp2, in Pp3 at least two be equal, and remaining Power level is unequal.
Similarly, in some embodiments, power level Ps1, Ps2, Ps3 are identicals.In various embodiments, In power level Ps1, Ps2, Ps3 at least two are unequal, and remaining power level is equal.In some enforcements In mode, in power level Ps1, Ps2, Ps3 at least two is equal, and remaining power level is unequal.
In one embodiment, frequency levels Fs1 and power level Ps1 are produced according to training routine.In training routine Period, when its RF power signal is changed over high power level from low power level by the r-f generator of x MHz, or from low Power level when changing over high power level, the one or more parts in plasma chamber 104 and the radio frequency of y MHz There is the mismatch of impedance between generator.High power level is higher than low power level.When the radio frequency being supplied to x MHz produces When S3 changes over S1, the r-f generator of x MHz changes its RF power signal to the state of the pulse signal 102 of raw device.At this In the case of kind, when the r-f generator of x MHz starts to provide the power of high power level or low power level, y MHz R-f generator its frequency and power are tuned.In order to reduce impedance mismatch, the r-f generator of y MHz starts to adjust Humorous (for example, restraining (converge)) is to power level and frequency levels.Can be passed through according to standard error or other technologies DSP153 come to judge restrain realization.R-f generator in order to allow y MHz converges to power level with more times And frequency levels, by the r-f generator of x MHz be maintained at high power level or low power level persistently than common when Between elongated segment time period.The common time period is the time quantum wherein not reducing (for example, removing) impedance mismatch.Work as y When the RF generator of MHz converges to this frequency levels and this power level, the frequency levels that this is restrained are as frequency levels Fs1 It is stored in AFT138, and the power level that this is restrained is stored in power controller 150 as power level Ps1.Similar Ground, training routine during, produce frequency levels Fs2, Fs3, Fp1, Fp2 and Fp3, and power level Ps2, Ps3, Pp1, Pp2 and Pp3.Frequency levels Fs2 are stored in AFT141, and frequency levels Fs3 are stored in AFT142, frequency levels Fp1 It is stored in AFT130, frequency levels Fp2 are stored in AFT132, frequency levels Fp3 are stored in AFT134, power Level Ps2 is stored in power controller 152, and power level Ps3 is stored in power controller 154, power level Pp1 is stored in power controller 144, and power level Pp2 is stored in power controller 146, and power level Pp3 quilt It is stored in power controller 148.
When the state of pulse signal 102 is S1, power level Pp1 is supplied to power source 160 by power controller 144, And power level Ps1 is supplied to power source 162 by power controller 150.During state S1, AFT130 is by frequency levels Fp1 It is supplied to power source 160 and frequency levels Fs1 are supplied to power source 162 by AFT138.
Additionally, in one embodiment, when the state of pulse signal 102 is S1, power controller 146 does not provide work( Rate level Pp2 is to power source 160, and power controller 148 does not provide power level Pp3 to power source 160.In addition, in this reality Apply in mode, AFT132 does not provide frequency levels Fp2 to power source 160, and AFT134 does not provide frequency levels Fp3 to power source 160.Additionally, when the state of pulse signal 102 is S1, power controller 152 does not provide power level Ps2 to power source 162, And power controller 154 does not provide power level Ps3 to power source 162.Additionally, AFT141 does not provide frequency levels Fs2 to work( Rate source 162, and AFT142 does not provide frequency levels Fs3 to power source 162.In various embodiments, do not provide power level Including the power level being supplied as zero.
In some embodiments, during a kind of state, the power level of this state is supplied to power source 160, with When the power level of this state is supplied to power source 162.For example, during state S1, power level Pp1 is supplied to power Power level Ps1 is supplied to power source 162 by source 160 simultaneously.In order to further illustrate, in state S1, in pulse signal 102 with the clock edge that power level Ps1 is supplied to power source 162 during during identical clock edge, by power electricity Flat Pp1 is supplied to power source 160.
Similarly, in various embodiments, during a kind of state, the frequency levels of this state are supplied to power source 160, the frequency levels of this state are supplied to power source 162 simultaneously.For example, during state S1, frequency levels Fp1 are provided To power source 160, frequency levels Fs1 are supplied to power source 162 simultaneously.In order to further illustrate, in state S1, in pulse Signal 102 with the clock edge that frequency levels Fs1 are supplied to power source 162 during during identical clock edge, will frequency Rate level Fp1 is supplied to power source 160.
In some embodiments, during a kind of state, by the frequency levels of the power level of this state and this state It is supplied to power source 160, the frequency levels of the power level of this state and this state are supplied to power source 162 simultaneously.For example, During state S3, frequency levels Fp3 and power level Pp3 are simultaneously supplied to power source 160, simultaneously by frequency levels Fs3 It is supplied to power source 162 with power level Ps3.In order to further illustrate, in state S1, in pulse signal 102 and general's frequency During identical clock edge during the clock edge that rate level Fs3 and power level Ps3 are supplied to power source 162, by frequency Level Fp3 and power level Pp3 are supplied to power source 160.
In various embodiments, during a kind of state, in the power controller with the r-f generator by y MHz Power level is supplied to almost identical time time of the power source 162 of the r-f generator of y MHz, by x MHz's Power level is supplied to the power source 160 of the r-f generator of x MHz by the power controller of r-f generator.For example, in shape During state S1, in the time almost identical with the time that power level Ps1 is supplied to power source 162, power level Pp1 is carried Supply power source 160.In order to further illustrate, in state S1, before the generation at the clock edge of pulse signal 102 Or in the time (e.g., several microseconds, several milliseconds, a few nanosecond etc.) of part second afterwards, power level Pp1 is supplied to power Source 160.In this illustration, during the generation at this clock edge, power level Ps1 is supplied to power source 162.
Similarly, in various embodiments, during a kind of state, in the AFT with the r-f generator by y MHz Frequency levels are supplied to almost identical time time of the power source 162 of the r-f generator of y MHz, by x MHz's Frequency levels are supplied to the power source 160 of the r-f generator of xMHz by the AFT of r-f generator.For example, during state S2, In the time almost identical with the time that frequency levels Fs2 are supplied to power source 162, frequency levels Fp2 are supplied to power Source 160.In order to further illustrate, in state S2, before or after the generation at the clock edge of pulse signal 102 In the time of part second, frequency levels Fp2 are supplied to power source 160.In this illustration, at this clock edge Generation during, frequency levels Fs2 are supplied to power source 162.
Similarly, in various embodiments, during a kind of state, in the tune with the r-f generator by y MHz Power-frequency is supplied to the radio frequency of y MHz by humorous device by frequency levels with by the power controller of the r-f generator of y MHz Almost identical time time of the power source 162 of generator, by the tuner of the r-f generator of x MHz by frequency levels And by the power controller of the r-f generator of x MHz, power-frequency is supplied to the power of the r-f generator of x MHz Source 160.For example, during state S3, several with the time that frequency levels Fs3 and power level Ps3 are supplied to power source 162 The identical time, frequency levels Fp3 and power level Pp3 are supplied to power source 160.In order to further illustrate, in state In S3, within the time of the part second before or after the generation at the clock edge of pulse signal 102, by frequency electricity Flat Fp3 and power level Pp3 are supplied to power source 160.In this illustration, during the generation at this clock edge, will Power level Ps3 and frequency levels Fs3 are supplied to power source 162.
During state S1, power source 160 receives frequency level Fp1 and power level Pp1.When receive level Fp1 and During Pp1, power source 160 produces the RF power in frequency levels Fp1, and this RF power has the power level of Pp1.In addition, During state S1, power source 162 receives frequency level Fs1 and power level Ps1.When receiving level Fs1 and Ps1, y MHz The power source 162 of r-f generator produce there is the RF signal of frequency levels Fs1 and power level Ps1.
Additionally, in one embodiment, when the state of pulse signal 102 is S2, power controller 144 does not provide work( Rate level Pp1 is to power source 160, and power controller 148 does not provide power level Pp3 to power source 160.In addition, in this reality Apply in mode, AFT130 does not provide frequency levels Fp1 to power source 160, and AFT134 does not provide frequency levels Fp3 to power source 160.Additionally, when the state of pulse signal 102 is S2, power controller 150 does not provide power level Ps1 to power source 162, And power controller 154 does not provide power level Ps3 to power source 162.Additionally, during state S2 of pulse signal 102, AFT138 does not provide frequency levels Fs1 to power source 162, and AFT142 does not provide frequency levels Fs3 to power source 162.
Additionally, during state S2, power source 160 receives frequency level Fp2 and power level Pp2.When receiving level During Fp2 and Pp2, power source 160 produces the RF power in frequency levels Fp2, and this RF power has the power level of Pp2.Separately Outward, during state S2, power source 162 receives frequency level Fs2 and power level Ps2.When receiving level Fs2 and Ps2, The power source 162 of the r-f generator of y MHz produces the RF signal with frequency levels Fs2 and power level Ps2.
In addition, in one embodiment, when the state of pulse signal 102 is S3, power controller 144 does not provide work( Rate level Pp1 is to power source 160, and power controller 146 does not provide power level Pp2 to power source 160.In addition, in this reality Apply in mode, AFT130 does not provide frequency levels Fp1 to power source 160, and AFT132 does not provide frequency levels Fp2 to power source 160.Additionally, when the state of pulse signal 102 is S3, power controller 150 does not provide power level Ps1 to power source 162, And power controller 152 does not provide power level Ps2 to power source 162.Additionally, AFT138 does not provide frequency levels Fs1 to work( Rate source 162, and AFT141 does not provide frequency levels Fs2 to power source 162.
Additionally, during state S3, power source 160 receives frequency level Fp3 and power level Pp3.When receiving level During Fp3 and Pp3, power source 160 produces the RF power with frequency levels Fp3 and RF power level Pp3.In addition, in state S3 Period, power source 162 receives frequency level Fs3 and power level Ps3.When receiving level Fs3 and Ps3, the radio frequency of y MHz The power source 162 of generator produces the RF signal with frequency levels Fs3 and power level Ps3.
In one embodiment, during a kind of state, do not provide power level to power source for remaining state 160 do not provide power level to carry out to power source 162 with for remaining state simultaneously.For example, during state S1, in pulse Signal 102 with power controller 152 do not provide power level to the edge of power source 162 during during identical edge, work( Rate controller 146 does not provide power level to power source 160.As another example, during state S2, in pulse signal 102 With power controller 150 and 154 do not provide power level to the edge of power source 162 during during identical edge, power Controller 144 and 148 does not provide power level to power source 160.As another example, during state S3, in pulse signal 102 with power controller 150 and 152 do not provide power level to the edge of power source 162 during during identical edge, work( Rate controller 144 and 146 does not provide power level to power source 160.
In some embodiments, during a kind of state, frequency levels are not provided to power source for remaining state 160 do not provide frequency levels to carry out to power source 162 with for remaining state simultaneously.For example, in state S1, in pulse letter Numbers 102 with AFT141 do not provide frequency levels to the edge of power source 162 during during identical edge, AFT132 does not provide Frequency levels are to power source 160.As another example, during state S2, in pulse signal 102 with AFT138 and 142 not There is provided frequency levels to the edge of power source 162 during during identical edge, AFT130 and 134 does not provide frequency levels to work( Rate source 160.As another example, during state S3, do not provide frequency levels in pulse signal 102 with AFT138 and 141 During identical edge during to the edge of power source 162, AFT130 and 132 does not provide frequency levels to power source 160.
In some embodiments, during a kind of state, frequency levels and power electricity are not provided for remaining state Put down do not provide frequency levels and power level to carry out to power source 162 to power source 160 with for remaining state simultaneously.Example As, in state S1, do not provided frequency levels and power controller 152 not to provide power in pulse signal 102 with AFT141 Level to the edge of power source 162 during during identical edge, AFT132 does not provide frequency levels, and power controller 146 Not provide power level to power source 160.
In some embodiments, during a kind of state, do not provide power level to power source for remaining state 160 do not provide power level to carry out to power source 162 with for remaining state simultaneously.In various embodiments, in one kind During state, frequency levels are not provided or not frequency electricity to power source 160 with for remaining state for remaining state Put down and carry out to power source 162 simultaneously.In some embodiments, during a kind of state, do not provide frequency for remaining state Rate and power level do not provide frequency and power level to enter to power source 162 to power source 160 with for remaining state simultaneously OK.
In some embodiments, power source (for example, RF power source etc.) includes being coupled to the activator of amplifier.This swashs Encourage device and produce RF signal.This amplifier amplifies RF signal by the forward power of RF signal via RF cable, impedance matching circuit 106 It is supplied to plasma chamber 104 with RF transmission line 184.For example, during state S1, the amplifier of power source 160 is by front to work( Rate is supplied to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184, and this forward power has Have proportional to power level Pp1 (for example, identical, become multiple etc.) power level there are frequency levels Fp1.At this In individual example, during state S1, the amplifier of power source 162 is by forward power via RF cable 182, impedance matching circuit 106 and RF transmission lines 184 are supplied to plasma chamber 104, and this forward power has the power electricity proportional to power level Ps1 Flat, and there are frequency levels Fs1.
As another example, in state S2, the amplifier of power source 160 is by forward power via RF cable 180, resistance Anti- match circuit 106 and RF transmission line 184 are supplied to plasma chamber 104, and this forward power has to become to compare with power level Pp2 Example (for example, identical, become multiple etc.) power level there are frequency levels Fp2.In this illustration, in the state S2 phase Between, forward power is provided by the amplifier of power source 162 via RF cable 182, impedance matching circuit 106 and RF transmission line 184 To plasma chamber 104, this forward power has the power level proportional to power level Ps2, and has frequency levels Fs2.As another example, in state S3, the amplifier of power source 160 is by forward power via RF cable 180, impedance Distribution road 106 and RF transmission line 184 are supplied to plasma chamber 104, and this forward power has proportional to power level Pp3 (for example, identical, become multiple etc.) power level there are frequency levels Fp3.In this illustration, during state S3, Forward power is supplied to by the amplifier of power source 162 via RF cable 182, impedance matching circuit 106 and RF transmission line 184 Gas ions room 104, this forward power has the power level proportional to power level Ps3, and has frequency levels Fs3.
In one embodiment, during each state S1, S2 and S3, the sensor 210 of the r-f generator of x MHz Reflection power on RF cable 180 for the sensing, this reflection power is the RF work(of the plasma reflection from plasma chamber 104 Rate.Additionally, during each state S1, S2 and S3, when forward power from the r-f generator of x MHz via 180, RF cable When delivering to plasma chamber 104, sensor 210 senses this forward power on RF cable 180.Similarly, in each state During S1, S2 and S3, the sensor 212 of the r-f generator of y MHz senses and reflects from the plasma of plasma chamber 104 RF power.It is to reflex to radio-frequency cable from the plasma of plasma chamber 104 by the reflection power that sensor 212 detects On 182.Additionally, during each state S1, S2 and S3, when forward power from the r-f generator of y MHz via RF cable 182 when being sent to plasma chamber 104, and sensor 212 senses this forward power on RF cable 182.
The analogue-to-digital converters (ADC) 221 of the r-f generator of x MHz are by the reflection work(being sensed by sensor 210 Rate signal and forward power signal from analog form are converted into digital form, and the ADC223 of the r-f generator of yMHz will be by passing The reflected power signal that sensor 212 is sensed and forward power signal from analog form are converted into digital form.In each state During S1, S2 and S3, DSP140 reception sensed by sensor 210 reflected power signal digital value (for example, amplitude, phase place, Or combinations thereof etc.) and forward power signal digital value, and the DSP153 reflection work(that sensed by sensor 212 of reception The digital value of rate signal and the digital value of forward power signal.
In some embodiments, the digital value of power signal be the voltage of power signal, the curtage of signal and The combination of electric current.In various embodiments, the digital value of signal includes the amplitude of signal and the phase place of signal.
In one of state S1, S2 and S3 or all periods, DSP140 is according to forward and reflected on RF cable 180 The digital value of power signal carrys out calculating parameter value, for example, the ratio of digital reflected power signal and digital forward power signal, or Voltage standing wave ratio (VSWR), or the change of gamma value or impedance etc..In some embodiments, gamma value 1 represent source impedance and Height between load impedance mismatches, and gamma value 0 represents the low mismatch between source impedance and load impedance.Similar Ground, DSP153 is according to the digital value of the forward and reflected power signal on RF cable 182 come calculating parameter value.In various enforcements In mode, it is ratio equal to RC-1 and RC+1 that voltage standing wave ratio calculates, and wherein RC is reflection coefficient.
In some embodiments, the sensor of RF generator is measurement via RF generator and impedance matching circuit 106 Between the telegram in reply stream (complex current) of RF cable transmission and the voltage of complex voltage (complex voltage) and electricity Stream probe.For example, sensor 210 is the RF cable measuring between the r-f generator of xMHz and impedance matching circuit 106 The voltage and current probe of the complex voltage of 180 transmission and telegram in reply stream.As another example, sensor 212 is measurement via y The voltage of the complex voltage of RF cable 182 transmission between the r-f generator of MHz and impedance matching circuit 106 and telegram in reply stream and electricity Stream probe.In these embodiments, impedance or the plasma impedance of plasma is included by the parameter value that sensor measures Change.The impedance of plasma determines the ratio as complex voltage and telegram in reply stream by sensor.The change of impedance is determined For the difference between As time goes on two plasma impedances.In some embodiments, parameter value is to be produced by RF The AFT of device, power controller or DSP determine.
It is sent to being used for a kind of parameter value of state in the radio frequency generation related to this state from the DSP of r-f generator AFT in device.For example, the parameter value obtaining during state S1 is sent to AFT130 from DSP140, and will be in state S1 The parameter value that period obtains is sent to AFT138 from DSP153.As another example, by the parameter value obtaining during state S2 It is sent to AFT132 from DSP140, and the parameter value obtaining during state S2 is sent to AFT141 from DSP153.As Another example, the parameter value obtaining during state S3 is sent to AFT134 from DSP140, and will obtain during state S3 To parameter value be sent to AFT142 from DSP153.
During a kind of state, from r-f generator, the DSP during this state receives parameter to the AFT of RF generator Value, and the frequency levels that AFT determination is associated with the parameter value receiving.For example, during state S1, AFT130 determines in shape The frequency levels that the parameter value receiving from DSP140 during state S1 is associated, and AFT138 is according to receiving from DSP153 Parameter value during state S1 is determining frequency levels.As another example, during state S2, AFT132 determine corresponding to The frequency levels of the parameter value receiving from DSP140 during state S2, and AFT141 is according to receiving from DSP153 in state Parameter value during S2 is determining frequency levels.As another example, during state S3, AFT134 determination connects with from DSP140 The frequency levels that the parameter value during state S3 that receives is associated, and AFT142 is according to receiving from DSP153 in state Parameter value during S3 is determining frequency levels.
It should be pointed out that the association (for example, correspond to, map, contacting etc.) between parameter value and frequency levels is predetermined And be stored in AFT.Similarly, in some embodiments, the association between parameter value and power level is predetermined And be stored in power controller.
Additionally, during a kind of state, the AFT of RF generator according to the frequency levels producing from the parameter value of this state Lai Regulating frequency level, and adjusted frequency levels are supplied to the power source of RF generator.For example, during state S1, AFT130 according to the frequency levels being associated by the parameter value that DSP140 produces with state S1 come regulating frequency level Fp1, and Adjusted frequency levels are supplied to power source 160.In this illustration, during state S1, AFT138 according to state The frequency levels that the parameter value being produced by DSP153 of S1 is corresponding carry out regulating frequency level Fs1, and adjusted frequency is electric Put down and be supplied to power source 162.As another example, during state S2, AFT132 is produced by DSP140 according to state S2 The frequency levels that are associated of parameter value carry out regulating frequency level Fp2, and adjusted frequency levels are supplied to power source 160.In this illustration, during state S2, AFT141 is associated by the parameter value that DSP153 produces according to state S2 Frequency levels carry out regulating frequency level Fs2, and adjusted frequency levels are supplied to power source 162.As another example, During state S3, AFT134 adjusts frequency by the parameter value that DSP140 produces according to the frequency levels being associated with state S3 Rate level Fp3, and adjusted frequency levels are supplied to power source 160.Additionally, in this illustration, during state S3, AFT142 according to the frequency levels being associated by the parameter value that DSP153 produces with state S3 come regulating frequency level Fs3, and Adjusted frequency levels are supplied to power source 162.
Additionally, during a kind of state, the ginseng that the power controller of RF generator receives according to the DSP from RF generator Numerical value is determining power level.For example, during state S1, power controller 144 is according to the parameter value receiving from DSP140 To determine power level, and power controller 150 determines power level according to the parameter value receiving from DSP153.As another One example, during state S2, power controller 146 determines power level according to the parameter value receiving from DSP140, and Power controller 152 determines power level according to the parameter value receiving from DSP153.As another example, in the state S3 phase Between, power controller 148 determines power level according to the parameter value receiving from DSP140, and power controller 154 basis The parameter value receiving from DSP153 to determine power level.
Additionally, during a kind of state, the power controller of RF generator is according to the power level being produced based on parameter value To adjust the power level of the power source of RF generator, and adjusted power level is supplied to power source.For example, in state During S1, power controller 144 adjusts power level Pp1 according to the power level producing from the parameter value of state S1, and will Adjusted power level is supplied to power source 160.In this illustration, during state S1, power controller 150 according to from The power level that the parameter value of state S1 produces is adjusting power level Ps1, and adjusted power level is supplied to power Source 162.As another example, during state S2, power controller 146 is according to the power electricity producing from the parameter value of state S2 Put down to adjust power level Pp2, and adjusted power level is supplied to power source 160.In this illustration, in state S2 Period, power controller 152 adjusts power level Ps2 according to the power level producing from the parameter value of state S2, and will be through The power level adjusting is supplied to power source 162.As another example, during state S3, power controller 148 is according to from shape The power level that the parameter value of state S3 produces is adjusting power level Pp3, and adjusted power level is supplied to power source 160.In this illustration, during state S3, power controller 154 is according to the power level producing from the parameter value of state S3 To adjust power level Ps3, and adjusted power level is supplied to power source 162.
During a kind of state, the power source of RF generator produces has this state receiving from the AFT of RF generator Adjusted frequency levels and there is the adjusted power electricity of this state receiving from the power controller of RF generator Flat power RF signal, and this power signal is carried via corresponding RF cable, impedance matching circuit 106 and RF transmission line 184 Supply plasma chamber 104.For example, during state S1, power source 160 produce have from AFT130 receive adjusted Frequency levels and there is the power signal of the adjusted power level receiving from power controller 144, and this power is believed Number it is supplied to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184.Similarly, at this In example, during state S1, power source 162 produce have the adjusted frequency levels receiving from AFT138 and have from The power signal of the adjusted power level that power controller 150 receives, and by this power signal via RF cable 182, Impedance matching circuit 106 and RF transmission line 184 are supplied to plasma chamber 104.
As another example, during state S2, power source 160 produces has the adjusted frequency receiving from AFT132 Rate level and there is the power signal of the adjusted power level receiving from power controller 146, and by this power signal It is supplied to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184.Similarly, show at this In example, during state S2, power source 162 produces to be had the adjusted frequency levels receiving from AFT141 and has from work( The power signal of the adjusted power level that rate controller 152 receives, and by this power signal via RF cable 182, resistance Anti- match circuit 106 and RF transmission line 184 are supplied to plasma chamber 104.
As another example, during state S3, power source 160 produces has the adjusted frequency receiving from AFT134 Rate level and there is the power signal of the adjusted power level receiving from power controller 148, and by this power signal It is supplied to plasma chamber 104 via RF cable 180, impedance matching circuit 106 and RF transmission line 184.Similarly, show at this In example, during state S3, power source 162 produces to be had the adjusted frequency levels receiving from AFT142 and has from work( The power signal of the adjusted power level that rate controller 154 receives, and by this power signal via RF cable 182, resistance Anti- match circuit 106 and RF transmission line 184 are supplied to plasma chamber 104.
In one embodiment, power controller 144 and AFT130 are substituted using single controller, using single control Device substitutes power controller 146 and AFT132, and substitutes power controller 148 and AFT134 using single controller.At some In embodiment, substitute power controller 150 and AFT138 using single controller, substitute Power Control using single controller Device 152 and AFT141, and substitute power controller 154 and AFT142 using single controller.
In some embodiments, within system 100 in addition to the r-f generator of x and y MHz, also use z The r-f generator of MHz.When the r-f generator of x MHz is the r-f generator of 2MHz, and the r-f generator of y MHz is During the r-f generator of 27MHz, the r-f generator of z MHz can be the r-f generator of 60MHz.The radio frequency of z MHz produces Utensil has the structure similar with the structure of the r-f generator of x or yMHz, it may have produce with the radio frequency in x or y MHz The connection that the connection of the r-f generator of x or y MHz of the component of system 100 of the outside of device is similar to.For example, the penetrating of z MHz Frequency generator includes three power controllers, three AFT, DSP, ADC, sensor and power source.As another example, z MHz The DSP of r-f generator couple with aid-user-interface 151 with return pulse signal 102.As another example, z MHz's The power source of r-f generator is coupled to via radio-frequency cable (not shown), impedance matching circuit 106 and RF transmission line 184 The bottom electrode 120 of gas ions room 104.
It should be pointed out that embodiment as described herein is described as using three states.In some embodiments, The state of more than three can be used.
Fig. 2 is the embodiment of curve Figure 190 of state S1, S2 that illustrates and S3.Curve Figure 190 depicts power in time The change of t.Each state S1, S2 or S3 are associated with logic level.For example, state S1 has high logic level, state S2 There is medium logic level, and state S3 has low logic level.High logic level ' c' has than medium logic electricity Flat ' the higher power level of b', medium logic level ' b' have than low logic level ' the higher power level of a'.As Example, state S1 has low, medium or high logic level.As an example, state S2 has low, medium or high logic electricity Flat.As an example, state S3 has low, medium or high logic level.In some embodiments, state S1, S2 and S3 table Show step function.
Each state S1, S2, S3 continue the equal time period.For example, the time period T1 of the generation of state S1 and state S2 The time period T2 of generation or state S3 generation time period T3 equal.In some embodiments, a kind of state continue with One or more of remaining state compares the unequal time.For example, state S1 continues and the state S2 unequal time Section, state S2 continues and the state S3 unequal time period.In this illustration, the time period of state S3 can be with state S1 Time period is equal or unequal.As another example, state S1 persistently longer than the state S2 time period, state S2 is held The continuous time period more shorter than state S3.
Fig. 3 is the schematic diagram of the embodiment of curve chart 201 of the different time sections illustrating different conditions.Curve Figure 200 Depict power over time.State S1 and S2 continue the identical time period, and state S3 duration and state S2 or S3 duration is different.For example, state S1 persistent period section t1, state S2 persistent period section t2, and state S3 are held Continuous time period t 3.Time period t 3 is longer than time period t 1 or t2.
In some embodiments, any two in state S1, S2 and S3 continues the identical time period, and remaining shape State continues the different time periods.For example, the time period of state S1 is lasting equal with state S3 duration, and this is lasting Time period is different from state S2 duration.As another example, state S2 duration is lasting with state S3 Time period is equal, and this duration is different from state S1 duration.
Fig. 4 is the schematic diagram of the embodiment of system 210, and system 210 is used in process of production according to pulse signal 102 One of condition selecting AFT220,222 or 224.This system 210 includes selecting logic circuit 226, AFT220,222 and 224th, DCS digital clock source 228, plasma chamber 104, impedance matching circuit 106 and power source 232.
In the r-f generator of x MHz RF generator or y MHz, setting selects logic circuit 226, AFT220,222 and 224 and power source 232.When arranging AFT220,222 and 224 in the RF generator of x MHz, AFT220 is showing of AFT130 Example, AFT222 is the example of AFT132, and AFT224 is the example of AFT134, and power source 232 is the example (Fig. 1) of power source 160. Equally, when arranging AFT220,222 and 224 in the RF generator of y MHz, AFT220 is the example of AFT138, and AFT222 is The example of AFT141, AFT224 is the example of AFT142, and power source 232 is the example (Fig. 1) of power source 162.
The example selecting logic circuit 226 includes multiplexer.When selecting logic circuit 226 to include multiplexer, in multiplexing The selection input return pulse signal 102 of device.
In various embodiments, logic circuit 226 is selected to include processor.In one embodiment, in DSP140 Or setting selects logic circuit 226 in DSP153.
DCS digital clock source 228 be used for operation power source 232 so as to digital dock produced by DCS digital clock source 228 Signal is synchronous.In some embodiments, described dagital clock signal is synchronous with pulse signal 102.For example, dagital clock signal There is the phase place identical phase place with pulse signal 102.As another example, the phase place of dagital clock signal is in pulse signal In the predetermined phase range of 102 phase place.In order to the administration of described predetermined phase range, clock source are described 228 dagital clock signal forward position (leading edge) is the part second after or before the forward position of pulse signal 102.
In one embodiment, replace the dagital clock signal from clock source 228, pulse signal 102 is provided to Power source 232.
When pulse signal 102 is in state S1, logic circuit 226 is selected to select AFT220.Equally, work as pulse signal 102 when being in state S2, selects logic circuit 226 to select AFT222, and when pulse signal 102 is in state S3, selects to patrol Collect circuit 226 and select AFT224.When selecting AFT220, frequency levels Fp1 are supplied to power source 232 by AFT220.Similarly, When selecting AFT222, frequency levels Fp2 are supplied to power source 232 by AFT222, and when selecting AFT224, AFT224 will frequency Rate level Fp3 is supplied to power source 232.
In some embodiments in wherein, the 222 and 224 RF generators being located at y MHz, work as selection During AFT220, frequency levels Fs1 are supplied to power source 232 by AFT220.Similarly, in these embodiments, work as selection During AFT222, frequency levels Fs2 are supplied power source 232 by AFT222, and when selecting AFT224, AFT224 is by frequency levels Fs3 is supplied to power source 232.
In some embodiments, select logic circuit 226 select between power controller, rather than AFT220,222 And selected between 224.For example, by the power controller selecting logic circuit 226 to be coupled to the r-f generator of x MHz 144th, 146 and 148 (Fig. 1).In this illustration, logic circuit 226 is selected to select work(when pulse signal 102 is in state S1 Rate controller 144, selects power controller 146 when pulse signal 102 is in state S2, and is in shape in pulse signal 102 Power controller 148 is selected during state S3.As another example, logic circuit 226 is selected to be coupled to the r-f generator of yMHz Power controller 150,152 and 154 (Fig. 1).In this illustration, logic circuit 226 is selected to be in state in pulse signal 102 Select power controller 150 during S1, select power controller 152 when pulse signal 102 is in state S2, and in pulse signal 102 are in selection power controller 154 during state S3.
In various embodiments, when the power controller 144 of the r-f generator selecting x MHz during state S1 When, power level Pp1 is supplied to power source 232, and the radio frequency as selection x MHz during state S2 by power controller 144 During the power controller 146 of generator, power level Pp2 is supplied to power source 232 by power controller 146.Additionally, when in shape During state S3 during the power controller 148 of r-f generator of selection xMHz, power level Pp3 is provided by power controller 148 To power source 232.
Equally, in some embodiments, when the power controller of the r-f generator selecting y MHz during state S1 When 150, power level Ps1 is supplied to power source 232 by power controller 150, and ought select penetrating of y MHz during state S2 During the power controller 152 of frequency generator, power level Ps2 is supplied to power source 232 by power controller 152.Additionally, working as During state S3 during the power controller 154 of r-f generator of selection y MHz, power level Ps3 is carried by power controller 154 Supply power source 232.
In some embodiments, in the r-f generator of z MHz, setting selects logic circuit 226, and selects to patrol Collect circuit 226 to work with similar mode as described herein.For example, select logic circuit 226 according to pulse signal 102 The AFT of r-f generator in z MHz for the state between selected, or the power controller of the r-f generator in z MHz Between selected.
Fig. 5 is the schematic diagram of the embodiment of system 200, and system 200 is used in process of production according in plasma The state of the change of the impedance of the plasma in room 104 and pulse signal 102 is produced controlling the r-f generator by y MHz The raw frequency of RF signal and/or power.The DSP153 of the r-f generator of y MHz receives from aid-user-interface 151 Pulse signal 102.
When pulse signal 102 is getted over to state S1 from state S3, and when the r-f generator of x MHz will have power level When Pp1 is supplied to plasma chamber 104 with the forward power with frequency levels Fp1, the plasma in plasma chamber 104 Impedance variation.When the plasma in plasma chamber 104 impedance due to pulse signal 102 from state S3 to state S1 When getting over and changing, sensor 212 measure via RF cable 182 transmission complex voltage and telegram in reply stream.This sensor 212 will be answered The measured value of voltage and telegram in reply stream is supplied to ADC transducer 222, and measured value is converted to number from analog format by ADC transducer 222 Word format.The digital value of complex voltage and the measured value of telegram in reply stream is supplied to DSP153.
It should further be mentioned that, in one embodiment, DSP153 does not have return pulse signal 102.On the contrary, exist In present embodiment, DSP153 receives can another digital pulse signal nonsynchronous with pulse signal 102.In a kind of embodiment In, the other digital pulse signals being received by DSP153 are synchronous with pulse signal 102.
During state S1 of pulse signal 102, for example, and then get over to state from state S3 of pulse signal 102 The state of S1 is getted over, and DSP153 is according to the complex voltage of measurement and Current calculation first parameter value during state S1, the first ginseng Numerical value for example, numeral reflected power signal and numeral the square root of the ratio of forward power signal, gamma value, voltage standing wave(VSW) Than (VSWR), the change of impedance etc..
DSP153 judges whether the first parameter value is more than or equal to first threshold.When DSP153 judges that the first parameter value is more than Or when being equal to described first threshold, DSP153 provides this first parameter value to AFT138 and power controller 150.AFT138 determines Frequency levels Fs1 correspond to the first parameter value at least equal to described first threshold, and this frequency levels Fs1 is supplied to power Source 162.Additionally, power controller 150 determines that power level Ps1 corresponds to the first parameter at least equal to described first threshold Value, and this power level Ps1 is supplied to power source 162.For example, AFT138 will map at least equal to described first threshold the The form of one parameter value and frequency levels Fs1 is stored in storage device, and power controller 150 will in power level Ps1 and It is stored in storage device at least equal to the mapping between the first parameter value of described first threshold.
On the other hand, when DSP153 judges that the first parameter value is less than first threshold, DSP153 provides this first parameter value To AFT142 and power controller 154.AFT142 determines that frequency levels Fs3 correspond to the first parameter less than described first threshold Value, and this frequency levels Fs3 is supplied to power source 162.Additionally, power controller 154 determines power level Ps3 corresponding to little In the first parameter value of described first threshold, and this power level Ps3 is supplied to power source 162.For example, AFT142 will map It is stored in storage device less than the first parameter value of described first threshold and the form of frequency levels Fs3, and power controller The mapping being less than between the first parameter value of described first threshold in power level Ps3 and its value is stored in storage device by 154 Interior.
When have received frequency levels (for example, frequency levels Fs1, Fs3 etc.) and power level (for example, Ps1, Ps3 etc.), Power source 162 produces the RF signal with frequency levels and power level and via RF cable 182, impedance matching circuit 106 and RF transmission line 184 provides RF signal to plasma chamber 104.For example, the amplifier of power source 162 is via RF cable 182, resistance Anti- match circuit 106 and RF transmission line 184 provide have proportional to power level Ps1 (for example, identical, multiple) and The forward power with frequency levels Fs1 is to plasma chamber 104.
When pulse signal 102 is getted over to state S2 from state S1 and when the r-f generator offer of x MHz has power Level Pp2 and when there is the forward power of frequency levels Fp2 to plasma chamber 104, the plasma in plasma chamber 104 Impedance variation.When the plasma in plasma chamber 104 impedance due to pulse signal 102 from state S3 to state S1 When getting over and changing, sensor 212 measure via RF cable 182 transmission complex voltage and telegram in reply stream.This sensor 212 will be answered The measured value of voltage and telegram in reply stream is supplied to ADC transducer 222, and measured value is converted to number from analog format by ADC transducer 222 Word format.The digital value of complex voltage and the measured value of telegram in reply stream is supplied to DSP153.
Additionally, during state S2 of pulse signal 102, for example, and then state S1 from pulse signal 102 get over to The state of state S2 is getted over, and DSP153 is according to the complex voltage of measurement and Current calculation second parameter value, example during state S2 As the square root of the ratio of forward power signal of the reflected power signal of numeral and numeral, gamma value, voltage standing wave ratio (VSWR), change of impedance etc..
DSP153 judges whether the second parameter value is more than Second Threshold.When DSP153 judges that the second parameter value is more than or equal to During described Second Threshold, DSP153 provides this second parameter value to AFT141 and power controller 152.AFT141 determines frequency electricity Flat Fs2 corresponds to the second parameter value at least equal to described Second Threshold, and this frequency levels Fs2 is supplied to power source 162. Additionally, power controller 152 determines that power level Ps2 corresponds to the second parameter value at least equal to described Second Threshold, and will This power level Ps2 is supplied to power source 162.For example, AFT141 will map the second parameter at least equal to described Second Threshold The form of value and frequency levels Fs2 is stored in storage device, and power controller 152 will in power level Ps2 and at least etc. Mapping between the second parameter value of described Second Threshold is stored in storage device.
On the other hand, when DSP153 judges that the second parameter value is less than Second Threshold, DSP153 provides this second parameter value To AFT138 and power controller 150.AFT138 determines that frequency levels Fs1 correspond to the second parameter less than described Second Threshold Value, and this frequency levels Fs1 is supplied to power source 162.Additionally, power controller 150 determines power level Ps1 corresponding to little In the second parameter value of described Second Threshold, and this power level Ps1 is supplied to power source 162.For example, AFT138 will map It is stored in storage device less than the second parameter value of described Second Threshold and the form of frequency levels Fs1, and power controller 150 the mapping between the second parameter value in power level Ps1 with less than described Second Threshold is stored in storage device.
When pulse signal 102 is getted over to state S3 and when the r-f generator offer of x MHz has power from state S2 Level Pp3 and when there is the forward power of frequency levels Fp3 to plasma chamber 104, the plasma in plasma chamber 104 Impedance variation.When the plasma in plasma chamber 104 impedance due to pulse signal 102 from state S2 to state S3 When getting over and changing, sensor 212 measure via RF cable 182 transmission complex voltage and telegram in reply stream.This sensor 212 will be answered The measured value of voltage and telegram in reply stream is supplied to ADC transducer 222, and measured value is converted to number from analog format by ADC transducer 222 Word format.The digital value of complex voltage and the measured value of telegram in reply stream is supplied to DSP153.
Additionally, during state S3 of pulse signal 102, for example, and then state S2 from pulse signal 102 get over to The state of state S3 is getted over, and DSP153 is according to the complex voltage of measurement and Current calculation second parameter value, example during state S3 As the square root of the ratio of forward power signal of the reflected power signal of numeral and numeral, gamma value, voltage standing wave ratio (VSWR), change of impedance etc..
DSP153 judges whether the 3rd parameter value is more than the 3rd threshold value.When DSP153 judges that the 3rd parameter value is more than or equal to During described three threshold value, DSP153 provides the 3rd parameter value to AFT142 and power controller 154.AFT142 determines frequency electricity Flat Fs3 corresponds to the 3rd parameter value at least equal to described 3rd threshold value, and this frequency levels Fs3 is supplied to power source 162. Additionally, power controller 154 determines that power level Ps3 corresponds to the 3rd parameter value at least equal to described 3rd threshold value, and will This power level Ps3 is supplied to power source 162.For example, AFT142 will map the 3rd parameter at least equal to described 3rd threshold value The form of value and frequency levels Fs3 is stored in storage device, and power controller 154 will in power level Ps3 and at least etc. Mapping between the 3rd parameter value of described 3rd threshold value is stored in storage device.
On the other hand, when DSP153 judges that the 3rd parameter value is less than three threshold values, DSP153 provides the 3rd parameter value To AFT141 and power controller 152.AFT141 determines that frequency levels Fs2 correspond to the 3rd parameter less than described 3rd threshold value Value, and this frequency levels Fs2 is supplied to power source 162.Additionally, power controller 152 determines power level Ps2 corresponding to little In the 3rd parameter value of described 3rd threshold value, and this power level Ps2 is supplied to power source 162.For example, AFT141 will map It is stored in storage device less than described 3rd parameter value of the 3rd threshold value and the form of frequency levels Fs2, and power controller 152 the mapping between the 3rd parameter value in power level Ps2 with less than described 3rd threshold value is stored in storage device.
Change the stability that the RF power being provided by power source 162 leads to plasma using parameter value.In addition, wait from The stability of daughter is the real-time measurement based on complex voltage and electric current.This real-time measurement provides in stable plasma side The accuracy in face.
Wherein also using the embodiment of the r-f generator of z MHz in addition to the r-f generator using x and y MHz In, the r-f generator of z MHz is coupled to aid-user-interface 151, and by pulse signal 102 from aid-user-interface 151 Send to the r-f generator of z MHz.The r-f generator of z MHz is acted as in the way of the r-f generator similar to y MHz With.For example, during certain state of pulse signal 102, whether critical parameter value exceedes threshold value.According to the judgement of parameter value, will First level of the first level of power or second electrical level and frequency or second electrical level are supplied to the work(of the r-f generator of z MHz Rate source.
In one embodiment, training routine during, such as during the process of study, produce first threshold, second Threshold value, the 3rd threshold value.Training routine during, when x MHz r-f generator by its RF power signal from the first power level When changing to the second power level, one or more parts in plasma chamber 104 (for example, plasma, etc.) and z There is the mismatch of impedance between the r-f generator of MHz.When the state of pulse signal 102 changes over S1 from S3, x MHz's The level of its RF power signal is changed to the second power level by r-f generator from the first power level.In this case, When the r-f generator of x MHz starts to provide power in power level Pp1, the r-f generator of y MHz by its frequency and Power is tuned.In order to reduce impedance mismatch, the r-f generator of yMHz start tuning (for example, restraining) power level and Frequency levels.Can judge by DSP153 to restrain according to standard deviation or other technologies.Radio frequency in order to allow y MHz produces Device converges to power level and frequency levels with more times, and the r-f generator of x MHz is maintained at the second power electricity Put down the time period persistently than common time elongated segment.The common time period is wherein not reduce (for example, remove) impedance not The time quantum joined.
When the RF generator of y MHz converges to this power level and this frequency levels, this power level restraining is made It is stored in power controller 150 for power level Ps1, and the frequency levels that this is restrained are stored in as frequency levels Fs1 In AFT138.During training routine, produce described first threshold from power level Ps1, and described first threshold corresponds to frequency Rate level Fs1.For example, during training routine, sensor 212 measurement complex voltage and telegram in reply flow.During training routine, work as y When the frequency of the r-f generator of MHz is Fs1, sensor 212 measurement complex voltage and telegram in reply flow.This DSP153 receive complex voltage and Telegram in reply stream, and according to the complex voltage recording during training routine and the raw described first threshold of telegram in reply miscarriage.
Similarly, during training routine, second and the 3rd threshold value are determined by DSP153.
Fig. 6 is a kind of schematic diagram of embodiment of table 250, and table 250 illustrates the change of impedance and the comparison of threshold value, with true The fixed power level of RF signal being provided by RF generator or frequency levels.When the state of pulse signal changes to from state S1 During state S2, judge whether changes delta z12 of plasma impedance is more than Second Threshold, Second Threshold is expressed as " m ".Work as judgement When changes delta z12 of impedance is at least equal to Second Threshold m, power level Ps2 or frequency levels Fs2 are supplied to penetrating of y MHz The power source 162 of frequency generator.On the other hand, when changes delta z12 judging impedance is less than Second Threshold m, by power level Ps1 or frequency levels Fs1 are supplied to the power source 162 of the r-f generator of y MHz.
Similarly, when the state of pulse signal is getted over to state S3, judge the change of the impedance of plasma from state S2 Change Δ z23 and whether be more than the 3rd threshold value, the 3rd threshold value is expressed as ' n'.When changes delta z23 judging impedance is more than the 3rd threshold value n When, power level Ps3 or frequency levels Fs3 are supplied to the power source 162 of the r-f generator of yMHz.On the other hand, when sentencing When changes delta z23 of constant impedance is less than three threshold values n, power level Ps2 or frequency levels Fs2 are supplied to the radio frequency of y MHz The power source 162 of generator.
Additionally, when the state of pulse signal is getted over to state S1, judging the change of the impedance of plasma from state S3 Whether Δ z31 is more than first threshold, first threshold is expressed as ' o'.When changes delta z31 judging impedance is more than first threshold o, Power level Ps1 or frequency levels Fs1 are supplied to the power source 162 of the r-f generator of yMHz.On the other hand, when judgement resistance When anti-changes delta z31 is less than first threshold o, the radio frequency that power level Ps3 or frequency levels Fs3 are supplied to y MHz produces The power source 162 of device.
In some embodiments, the change of impedance, another parameter value (for example, gamma value, voltage standing wave ratio are replaced (VSWR), etc.) power level and/or the frequency levels being supplied to power source 162 can be used to determine.
Fig. 7 is the schematic diagram of the embodiment of system 260, and system 260 is used in process of production according to pulse signal 102 State and select AFT220,222 or 224 according to whether parameter value exceedes threshold value.When pulse signal 102 is in state S1, And during state S1 measurement parameter value at least equal to described first threshold when, select logic circuit 226 select AFT220.Separately On the one hand, when pulse signal 102 is in state S1, and the parameter value of measurement is less than described first threshold during state S1 When, select logic circuit 226 to select AFT224.
When selecting logic circuit 226 to include multiplexer, receive instruction from the selection input of the multiplexer of DSP270 and exist Parameter value during one state of pulse signal 102 at least equal to or less than threshold value signal.
DSP270 is the example (Fig. 1) of DSP153.According to the complex voltage receiving from sensor 272 during state S1 and Telegram in reply stream, DSP270 determines the first parameter value.This DSP270 judges the first parameter value further at least equal to described first threshold, And the signal indicating this judgement is supplied to selection logic circuit 226.Receiving instruction the first parameter value at least equal to described During the signal of the judgement of first threshold, logic circuit 226 is selected to select AFT220.On the other hand, DSP270 judges in pulse letter The first parameter value being confirmed during numbers 102 state S1 is less than first threshold, and the signal indicating this judgement is supplied to choosing Select logic circuit 226.When receiving the signal of this judgement that instruction the first parameter value is less than described first threshold, select logic Circuit 226 selects AFT224.This sensor 272 is the example of the sensor 212 (Fig. 1) of the r-f generator of yMHz.
Additionally, being flowed with telegram in reply according to the complex voltage receiving from sensor 272 during state S2, DSP270 determines second Parameter value.This DSP270 judges the second parameter value further at least equal to described Second Threshold, and will indicate the signal of this judgement It is supplied to selection logic circuit 226.In the signal receiving the instruction judgement at least equal to described Second Threshold for second parameter value When, select logic circuit 226 to select AFT222.On the other hand, DSP270 judges that institute is really during state S2 of pulse signal 102 The second parameter value recognized is less than Second Threshold, and the signal indicating this judgement is supplied to selection logic circuit 226.Receiving When indicating the signal of judgement that the second parameter value is less than described Second Threshold, logic circuit 226 is selected to select AFT220.
Additionally, being flowed with telegram in reply according to the complex voltage receiving from sensor 272 during state S3, DSP270 determines the 3rd Parameter value.This DSP270 judges the 3rd parameter value further at least equal to described 3rd threshold value, and will indicate the signal of this judgement It is supplied to selection logic circuit 226.In the signal receiving the instruction judgement at least equal to described 3rd threshold value for the 3rd parameter value When, select logic circuit 226 to select AFT224.On the other hand, DSP270 judges that institute is really during state S3 of pulse signal 102 The 3rd parameter value recognized is less than the 3rd threshold value, and the signal indicating this judgement is supplied to selection logic circuit 226.Receiving When indicating the signal of judgement that the 3rd parameter value is less than described 3rd threshold value, logic circuit 226 is selected to select AFT222.
In some embodiments, logic circuit 226 is selected to be selected between power controller, rather than Selected between AFT220,222 and 224.For example, by the work(selecting logic circuit 226 to be coupled to the r-f generator of y MHz Rate controller 150,152 and 154 (Fig. 1).In this illustration, when receive instruction the first parameter value at least equal to described first During the signal of the judgement of threshold value, select logic circuit 226 to select power controller 150, and indicate the first parameter when receiving When value is less than the signal of judgement of described first threshold, logic circuit 226 is selected to select power controller 154.Show as another Example, when receiving the signal of the instruction judgement at least equal to described Second Threshold for second parameter value, selects logic circuit 226 to select Select power controller 152, and when receiving the signal of the judgement that instruction the second parameter value is less than described Second Threshold, select Logic circuit 226 selects power controller 150.As another example, indicate the 3rd parameter value at least equal to described when receiving During the signal of judgement of the 3rd threshold value, select logic circuit 226 to select power controller 154, and indicate the 3rd when receiving When parameter value is less than the signal of judgement of described 3rd threshold value, logic circuit 226 is selected to select power controller 152.
In some embodiments, in the r-f generator of z MHz, setting selects logic circuit 226, and with such as herein Described mode similar mode works.For example, select logic circuit 226 according to the state of pulse signal 102 and root Selected between the AFT whether exceeding the r-f generator in z MHz for the threshold value according to parameter value, or the radio frequency generation in z MHz Selected between the power controller of device.
Fig. 8 A is the schematic diagram of the embodiment of curve chart 302,304,306 and 308.Each curve chart 302,304,306 Describe the function using kilowatt (kW) performance number as unit as time t with 308.As represented by curve chart 302, the work(of 2MHz Rate signal is the power signal being provided by the power source of 2MHz, and the power signal of this 2MHz has a4's during state S1 and S2 Performance number, and there is during state S3 0 performance number.Additionally, the power signal of 60MHz is by the power source supply of 60MHz Power signal, the power signal of this 60MHz has the performance number of a1 during state S1, and has a2's during state S2 Performance number, has the performance number of a3 during state S3.The performance number of a4 is more than the performance number of a3, and the performance number of a3 is more than a2's Performance number.The performance number of a2 is more than the performance number of a1, and the performance number of a1 is more than 0.
As shown in curve chart 304, the power signal of 60MHz has performance number a0 during state S3.The work(of a0 Rate value is more than the performance number of a1.Additionally, as shown in curve chart 306, the power signal of 60MHz has the work(of a2 during state S1 Rate value, has the performance number of a1 during state S2, and has the performance number of a3 during state S3.As shown in curve chart 308, The signal of 60MHz has the performance number of a2 during state S1, has the performance number of a1 during state S2, and in the state S3 phase Between there is the performance number of a0.
Fig. 8 B is the schematic diagram of the embodiment of curve chart 310,312,314 and 316.Each curve chart 310,312,314 Describe the performance number using in units of kW with 316 as the function of time t.As shown in curve chart 310, the power signal of 60MHz exists There is during state S1 the performance number of a1, there is during state S2 the performance number of a2, and there is during state S3 the work(of a2 Rate value.
As shown in curve chart 312, the power signal of 60MHz has the performance number of a1 during state S1, in the state S2 phase Between there is the performance number of a2, and there is during state S3 the performance number of a1.Additionally, as shown in curve chart 314, the letter of 60MHz Number there is during state S1 the performance number of a2, there is during state S2 the performance number of a1, and there is during state S3 a1 Performance number.As shown in curve chart 316, the signal of 60MHz has the performance number of a2 during state S1, has during state S2 There is the performance number of a1, and there is during state S3 the performance number of a2.
Fig. 9 A is the schematic diagram of the embodiment of curve chart 320,322,324 and 326.Each curve chart 320,322,324 Describe the performance number using in units of kW with 326 as the function of time t.As shown in curve chart 320, the power signal of 60MHz exists There is during state S1 the performance number of a1, there is during state S2 the performance number of a2, and there is during state S3 the work(of a3 Rate value.Additionally, in curve chart 320, the power signal of 2MHz has the performance number of a4 during state S1, during state S2 There is the performance number of a4, and there is during state S3 the performance number of a0.The performance number of a0 is less than the performance number of a1, and is more than Zero.
Additionally, as shown in curve chart 322, the power signal of 60MHz has the performance number of a2 during state S1, in state There is during S2 the performance number of a3, and there is during state S3 the performance number of a1.Additionally, in curve chart 324,60MHz's Power signal has the performance number of a2 during state S1, has the performance number of a1 during state S2, and during state S3 There is the performance number of a3.Additionally, as shown in curve chart 326, the power signal of 60MHz has the power of a3 during state S1 Value, has the performance number of a2 during state S2, and has the performance number of a1 during state S3.
Fig. 9 B is the schematic diagram of the embodiment of curve chart 328,330,332 and 334.Each curve chart 328,330,332 Describe the performance number using in units of kW with 334 as the function of time t.As shown in curve chart 328, the power signal of 60MHz exists There is during state S1 the performance number of a2, there is during state S2 the performance number of a3, and there is during state S3 the work(of a3 Rate value.Additionally, in curve chart 330, the power signal of 60MHz has the performance number of a2 during state S1, in the state S2 phase Between there is the performance number of a3, and there is during state S3 the performance number of a2.Additionally, in curve chart 332, the power of 60MHz Signal has the performance number of a2 during state S1, has the performance number of a1, and have during state S3 during state S2 The performance number of a1.In addition, in curve chart 334, the power signal of 60MHz has the performance number of a2 during state S1, in shape There is during state S2 the performance number of a1, and there is during state S3 the performance number of a2.
Figure 10 A is the schematic diagram of the embodiment of curve chart 336,338,340 and 342.Each curve chart 336,338,340 Describe the performance number using in units of kW with 342 as the function of time t.As shown in curve chart 336, the power signal of 27MHz is There is provided by the power source of 27MHz, the power signal of this 27MHz has the performance number of a31 during state S1, S2, S3.a31 Performance number be more than a3 performance number and less than a4 performance number.The remainder of curve chart 336 is similar to curve chart 302 (figure 8A).
As shown in each curve chart 338,340 and 342, the power signal of 27MHz has a31 during state S1, S2, S3 Performance number.Additionally, the remainder of curve chart 338 is similar to curve chart 304 (Fig. 8 A), the remainder classification of curve chart 340 It is similar to curve chart 306 (Fig. 8 A), and the remainder of curve chart 342 is similar to curve chart 308 (Fig. 8 A).
In some embodiments, performance number a31 is the performance number between zero-sum a4.
Figure 10 B is the schematic diagram of the embodiment of curve chart 344,346,348 and 350.Each curve chart 344,346,348 Describe the performance number using in units of kW with 350 as the function of time t.As shown in Figure 34 4, the power signal of 27MHz be by The power source supply of 27MHz, the power signal of this 27MHz has the performance number of a31 during state S1, S2, S3.Curve chart Remainder 344 similar to curve chart 310 (Fig. 8 B).
As shown in each curve chart 346,348 and 350, the power signal of 27MHz has a31 during state S1, S2, S3 Performance number.Additionally, the remainder of curve chart 346 is similar to curve chart 312 (Fig. 8 B), the remainder classification of curve chart 348 It is similar to curve chart 314 (Fig. 8 B), and the remainder of curve chart 350 is similar to curve chart 316 (Fig. 8 B).
Figure 11 A is the schematic diagram of the embodiment of curve chart 352,354,356 and 358.Each curve chart 352,354,356 Describe the performance number using in units of kW with 358 as the function of time t.As shown in Figure 35 2, the power signal of 27MHz be by The power source supply of 27MHz, the power signal of this 27MHz has the performance number of a31 during state S1, S2, S3.Curve chart 352 remainder is analogous to curve chart 320 (Fig. 9 A).
As shown in each curve chart 354,356 and 358, the power signal of 27MHz has a31 during state S1, S2, S3 Performance number.Additionally, the remainder of curve chart 354 is similar to curve chart 322 (Fig. 9 A), the remainder classification of curve chart 356 It is similar to curve chart 324 (Fig. 9 A), and the remainder of curve chart 358 is similar to curve chart 326 (Fig. 9 A).
Figure 11 B is the schematic diagram of the embodiment of curve chart 360,362,364 and 366.Each curve chart 360,362,364 Describe the performance number using in units of kW with 366 as the function of time t.As shown in each curve chart 360,362,364 and 366, The power signal of 27MHz has the performance number of a31 during state S1, S2, S3.The remainder of curve chart 360 is similar to song Line chart 328 (Fig. 9 B).Additionally, the remainder of curve chart 362 is similar to curve chart 330 (Fig. 9 B), the remainder of curve chart 364 Classification is similar to curve chart 332 (Fig. 9 B), and the remainder of curve chart 366 is similar to curve chart 334 (Fig. 9 B).
Figure 12 A is the schematic diagram of the embodiment of curve chart 368,370,372 and 374.Each curve chart 368,370, 372nd, 374 describe the performance number using in units of kW as the function of time t.As each curve chart 368,370,372 and 374 institute Show, the power signal of 27MHz has the performance number of a31 during state S1, S2, S3.The remainder of curve chart 368 similar to Curve chart 302 (Fig. 8 A).Additionally, the remainder of curve chart 370 is similar to curve chart 304 (Fig. 8 A), the residue of curve chart 372 Part is similar to curve chart 306 (Fig. 8 A), and the remainder of curve chart 374 is similar to curve chart 308 (Fig. 8 A).
Figure 12 B is the schematic diagram of the embodiment of curve chart 376,378,380 and 382.Each curve chart 376,378,380 Depict the performance number using in units of kW with 382 as the function of time t.As each curve chart 376,378,380 and 382 institute Show, the power signal of 27MHz has the performance number of a31 during state S1 and S2, and has the work(of a32 during state S3 Rate value.The performance number of a32 is more than performance number a31.The remainder of curve chart 376 is similar to curve chart 310 (Fig. 8 B).Additionally, , similar to curve chart 312 (Fig. 8 B), the remainder of curve chart 380 is similar to curve chart 314 for the remainder of curve chart 378 (Fig. 8 B), and the remainder of curve chart 382 is similar to curve chart 316 (Fig. 8 B).
Figure 13 A is the schematic diagram of the embodiment of curve chart 384,386,388 and 390.Each curve 384,386,388 and 390 depict the performance number using in units of kW as the function of time t.As shown in curve 384, the power signal of 27MHz is in shape There is during state S1 and S2 the performance number of a31, and there is during state S3 the performance number of a32.The remainder of curve chart 384 Similar to curve 320 (Fig. 9 A).Additionally, the remainder of curve chart 386 is similar to curve chart 322 (Fig. 9 A), curve chart 388 Remainder is similar to curve chart 324 (Fig. 9 A), and the remainder of curve chart 390 is similar to curve chart 326 (Fig. 9 A).
Figure 13 B is the schematic diagram of the embodiment of curve chart 392,394,396 and 398.Each curve chart 392,394,396 Depict the performance number using in units of kW with 398 as the function of time t.As shown in curve chart 392,394,396,398 , the power signal of 27MHz has the performance number of a31 during state S1 and S2, has the power of a32 during state S3 Value.The remainder of curve chart 392 is similar to curve chart 328 (Fig. 9 B).Additionally, the remainder of curve chart 394 is similar to song Line chart 330 (Fig. 9 B), the remainder of curve chart 396 is similar to curve chart 332 (Fig. 9 B), the remainder classification of curve chart 398 It is similar to curve chart 334 (Fig. 9 B).
Figure 14 A is the schematic diagram of the embodiment of curve chart 402,404,406 and 408.Each curve chart 402,404,406 Depict the performance number using in units of kW with 408 as the function of time t.As shown in curve chart 402,404,406 and 408 , the power signal of the power signal 27MHz of 27MHz has the performance number of a32 during state S1 and S2, during state S3 There is the performance number of a31.The remainder of curve chart 402 is similar to curve chart 302 (Fig. 8 A).Additionally, the residue of curve chart 404 , similar to curve chart 304 (Fig. 8 A), the remainder of curve chart 406 is similar to curve chart 306 (Fig. 8 A), and curve chart for part 408 remainder is similar to curve chart 308 (Fig. 8 A).
Figure 14 B is the schematic diagram of the embodiment of curve chart 410,412,414 and 416.Each curve chart 410,412,414 Depict the performance number using in units of kW with 416 as the function of time t.As in each curve chart 410,412,414 and 416 Shown, the power signal of 27MHz has the performance number of a32 during state S1 and S2, has the work(of a31 during state S3 Rate value.The remainder of curve chart 410 is similar to curve chart 310 (Fig. 8 B).Additionally, the remainder of curve chart 412 similar to Curve chart 312 (Fig. 8 B), the remainder of curve chart 414 is similar to curve chart 314 (Fig. 8 B), and the remainder of curve chart 416 Classification is similar to curve chart 316 (Fig. 8 B).
Figure 15 A is the schematic diagram of the embodiment of curve chart 418,420,422 and 424.Each curve chart 418,420,422 Depict the performance number using in units of kW with 424 as the function of time t.As shown in curve chart 418, the work(of 27MHz Rate signal has the performance number of a32 during state S1 and S2, has the performance number of a31 during state S3.Curve chart 418 Remainder is similar to curve chart 320 (Fig. 9 A).Additionally, the remainder of curve chart 420 is similar to curve chart 322 (Fig. 9 A), The remainder of curve chart 422 is similar to curve chart 324 (Fig. 9 A), and the remainder of curve chart 424 is similar to curve chart 326 (Fig. 9 A).
Figure 15 B is the schematic diagram of the embodiment of curve chart 426,428,430 and 432.Each curve chart 426,428,430 Depict the performance number using in units of kW with 432 as the function of time t.As institute in each curve chart 426,428,430 and 432 Show, the power signal of 27MHz has the performance number of a32 during described state S1 and S2, has a31's during state S3 Performance number.The remainder of curve chart 426 is similar to curve chart 328 (Fig. 9 B).Additionally, the remainder of curve chart 428 is similar to In curve chart 330 (Fig. 9 B), the remainder of curve chart 430 is similar to curve chart 332 (Fig. 9 B), and the residue of curve chart 432 Part is similar to curve chart 334 (Fig. 9 B).
Although it should be noted that above described embodiment is described according to parallel-plate plasma room, one Plant in embodiment, above described embodiment is applied to other kinds of plasma chamber, it may for example comprise inductive etc. The plasma chamber of gas ions (ICP) reactor, including the plasma chamber etc. of electron cyclotron resonace (ECR) reactor.For example, Power source 160 and 162 is coupled to the indoor inducer of ICP plasma.
Although it should be noted that above-mentioned embodiment is related to the RF signal of 2MHz and/or the radiofrequency signal of 60MHz And/or 27 megahertzs of radiofrequency signal is supplied to bottom electrode 120 and Top electrode 122 is grounded, but in some embodiments, will The signal of 2MHz, 60MHz and 27MHz is supplied to Top electrode 122, and bottom electrode 120 is grounded.
In one embodiment, controlled by RF by the operation performed by the power controller of AFT and/or RF generator The DSP of device processed is executing.For example, the operation by AFT130,132 and 134 execution as described herein executes (figure by DSP140 1).As another example, as described herein by AFT138, AFT141, AFT142, power controller 150, power controller 152 and power controller 154 execution operation pass through DSP153 execution (Fig. 1).
Embodiments described herein can be implemented with various computer system configurations, and this computer system configurations includes Handheld device, microprocessor system, based on microprocessor or programmable consumer electronic devices, microcomputer, large-scale meter Calculation machine etc..Those embodiments can also be carried out in a distributed computing environment, and task is by by network linking in this context Remote processing devices execution.
Note, for above-mentioned embodiment it will be appreciated that these embodiments can be using being related to be stored in department of computer science The operation of the various computer execution of the data in system.These operations are the physical manipulations needing physical quantity.Form these real The described herein any operation applying the part in mode is useful machine operation.These embodiments further relate to for holding The device of these operations of row.This device can be specifically constructed for special purpose computer.Special when being defined as During purposes computer, this computer can also carry out being not belonging to other process, program performing or the routine of specific use, still simultaneously So it is operable to for specific use.Alternately, these operations can also be by by being stored in computer storage, delaying at a high speed In depositing, or the one or more computer programs being obtained by network optionally activate or the general purpose computer that configures is located Reason.When data obtains on network, this data can be processed by other computers on network, for example, is provided by cloud computing Source is processed.
The computer-readable code that one or more embodiments can also be made as on a computer-readable medium.Calculate Machine computer-readable recording medium is can be with any data storage device (for example, memory devices) of data storage, can after these data Read by computer system.The example of computer-readable medium include hard disk drive, network attached storage (NAS), ROM, RAM, compact disc read-only memory (CD-ROM), compact disc recordable (CD-R), rewritable CD (CD-RW), tape and other optics and non- Optical data storage.Computer-readable medium may include the computer being distributed in the computer system coupling with network can Read tangible medium so that computer-readable code stores in a distributed fashion and executes.
As long as although describe these methods in a particular order operating it should be appreciated that executing superposition in a desired manner The process of operation, other house-keepings can execute between operations, or operation can be adjusted, and makes operation slightly different Time occur, or can be distributed in allow to process operation what the different time interval related from this process occurred and be In system.
One or more of any embodiment feature can be without departing from the various embodiments described in the disclosure Described in scope in the case of with one or more of any other embodiment combinations of features.
Although for the purpose that clearly understands it has been described that some details in above-mentioned embodiment, but it will be apparent that Some changes can be carried out within the scope of the appended claims and change.Therefore, embodiments of the present invention should be considered Bright property, rather than restricted, and these embodiments are not limited to details given herein, but can be in appended power Modify in the scope of profit requirement and equivalent.

Claims (26)

1. a kind of plasma process system, it includes
Main generator, it includes three main power controllers, and each in described main power controller is configured with predefined work( Rate is arranged;
From generator, it includes three from power controller, and each from power controller described is configured with predefined work( Rate is arranged;And
Control circuit, its be connected as input to described main generator and described from each of generator, described control electricity Road is configured to produce pulse signal, and described pulse signal is defined to include three shapes in the cycle defining described pulse signal State, the described cycle is repeated in the operating process in multiple cycles of described pulse signal, each in described three states State is defined to be selected in first, second, and third in described three main power controllers, simultaneously also in institute State in three first, second, and third from power controller and selected.
2. plasma process system according to claim 1, wherein, described main generator includes three main automatic frequencies Tuner, each in described main automatic frequency tuner is configured with predefined frequency configuration, wherein, described from generator bag Include three from automatic frequency tuner, each from automatic frequency tuner described is configured with predefined frequency configuration, often Individual state is defined to select first or second in described three main automatic frequency tuners or the 3rd, also selects institute simultaneously State three from automatic frequency tuner first or second or the 3rd.
3. plasma process system according to claim 1, wherein, described main generator include main r-f generator and Described include from r-f generator from generator.
4. plasma process system according to claim 1, wherein, described main power controller is described main generator Processor part, wherein, described from power controller be the described processor from generator part.
5. plasma process system according to claim 1, wherein, described pulse signal is digital pulse signal.
6. plasma process system according to claim 1, wherein, described operation includes described main generator and described Operation from generator.
7. a kind of plasma process system, it is configured to the operation of multiple states, described corona treatment system System includes:
Main r-f generator, it is used for return pulse signal, and described pulse signal has three or more states, described three Or more states include first state, the second state and the third state, described main r-f generator is via impedance matching circuit It is coupled to plasma chamber,
From r-f generator, it is used for receiving described pulse signal, described from r-f generator via described impedance matching circuit It is coupled to described plasma chamber,
From whether described main r-f generator and each from r-f generator described are configured to judge described pulse signal In described first state or described second state or the described third state,
Described main r-f generator is configured to respond to described pulse signal and is in the judgement of described first state to have The radiofrequency signal of one main quantization level is supplied to described impedance matching circuit,
Described it is configured to respond to described pulse signal and is in the judgement of described first state to have from r-f generator One is supplied to described impedance matching circuit from the radiofrequency signal of quantization level,
Described main r-f generator is configured to respond to described pulse signal and is in the judgement of described second state to have institute The radiofrequency signal stating the first main quantization level is supplied to described impedance matching circuit,
Described it is configured to respond to described pulse signal and is in the judgement of described second state to have from r-f generator Two are supplied to described impedance matching circuit from the radiofrequency signal of quantization level,
Described main r-f generator is configured to respond to described pulse signal and is in the judgement of the described third state to have The radiofrequency signal of two main quantization levels is supplied to described impedance matching circuit,
Described it is configured to respond to described pulse signal and is in the judgement of the described third state to have from r-f generator Three are supplied to described impedance matching circuit from the radiofrequency signal of quantization level.
8. plasma process system according to claim 7, wherein, described first and second states and described main radio frequency The identical power level of generator is associated.
9. plasma process system according to claim 7, wherein, described first, second, and third state with described The different power level of main r-f generator is associated.
10. plasma process system according to claim 7, wherein, described first state with described second state Equal time period time period of generation during occur.
11. plasma process systems according to claim 7, wherein, described first state with described second state The time period unequal time period of generation during occur.
12. plasma process systems according to claim 7, wherein, described second state with the described third state Equal time period time period of generation during occur.
13. plasma process systems according to claim 7, wherein, described second state with the described third state The time period unequal time period of generation during occur.
14. plasma process systems according to claim 7, wherein, described first main quantization level, described second master Quantization level, described first from quantization level, described second from quantization level and the described 3rd from quantization level be power level.
15. plasma process systems according to claim 7, wherein, described first main quantization level, described second master Quantization level, described first from quantization level, described second from quantization level and the described 3rd from quantization level be frequency levels.
A kind of 16. plasma process systems, it is configured to be operated according to multiple states, described plasma process system bag Include:
Main r-f generator, it is used for return pulse signal, and described pulse signal has three or more states, described three Or more states include first state, the second state and the third state, described main r-f generator is via impedance matching circuit It is coupled to plasma chamber,
Described main r-f generator be used for judging described pulse signal whether be in described first state or described second state or The described third state,
Described main r-f generator is configured to respond to described pulse signal and is in the judgement of described first state to have The radiofrequency signal of one main quantization level is supplied to described plasma chamber to encourage plasma,
Described main r-f generator is configured to respond to described pulse signal and is in the judgement of described second state to have institute The radiofrequency signal stating the first main quantization level is supplied to described plasma chamber,
Described main r-f generator is configured to respond to described pulse signal and is in the judgement of the described third state to have The radiofrequency signal of two main quantization levels is supplied to described plasma chamber,
From r-f generator, it is coupled to described plasma chamber via described impedance matching circuit, described from r-f generator Whether the parameter for judging to be associated with described plasma exceedes first threshold,
Described it is configured to respond to, from r-f generator, the described parameter that is associated with described plasma and is less than described the The judgement of one threshold value provides has the first radiofrequency signal from quantization level,
The described described parameter being configured to respond to be associated with described plasma from r-f generator exceedes described first The judgement of threshold value provides has the second radiofrequency signal from quantization level.
17. plasma process systems according to claim 16, wherein, described be used for judging from r-f generator described Whether pulse signal is getted over to described first state from the described third state,
When from the described third state to described first state when getting over generation, described from r-f generator be used for judging with described Whether the described parameter that plasma is associated exceedes described first threshold.
18. plasma process systems according to claim 17, wherein, described be used for judging from r-f generator described Whether pulse signal is getted over to described second state from described first state,
When from described first state to described second state when getting over generation, described from r-f generator be used for judging with described Whether the described parameter that plasma is associated exceedes Second Threshold,
Described it is configured to respond to, from r-f generator, the described parameter that is associated with described plasma and is less than described the The judgement of two threshold values provides has the described second radiofrequency signal from quantization level,
The described described parameter being configured to respond to be associated with described plasma from r-f generator exceedes described second The judgement of threshold value provides has the 3rd radiofrequency signal from quantization level.
19. plasma process systems according to claim 18, wherein, described be used for judging from r-f generator described Whether pulse signal is getted over to the described third state from described second state,
When from described second state to the described third state when getting over generation, described from r-f generator be used for judging with described Plasma be associated described parameter whether more than the 3rd threshold value,
Described it is configured to respond to, from r-f generator, the described parameter that is associated with described plasma and is less than described the The judgement of three threshold values provides has the described 3rd radiofrequency signal from quantization level,
The described described parameter being configured to respond to be associated with described plasma from r-f generator exceedes the described 3rd The judgement of threshold value provides has the described first radiofrequency signal from quantization level.
20. plasma process systems according to claim 16, wherein, described first main quantization level and described second Main quantization level is power level.
21. plasma process systems according to claim 16, wherein, described first main quantization level and described second Main quantization level is frequency levels.
22. plasma process systems according to claim 16, wherein, in the time with the generation of described second state There is described first state in section during the equal time period.
23. plasma process systems according to claim 16, wherein, in the time with the generation of described second state There is described first state in section during the unequal time period.
24. plasma process systems according to claim 16, wherein, the described ginseng that is associated with described plasma Number include the changes of impedance of described plasma or the gamma value being associated with described plasma or with described plasma Associated voltage standing wave ratio or combinations thereof.
A kind of 25. methods for plasma process system, it includes:
Return pulse signal, wherein, is received described pulse signal and is executed by primary processor,
Receive described pulse signal, wherein, receiving described pulse signal is by from computing device,
Judge whether described pulse signal is in first state or the second state or the third state, wherein, judgement is by described Primary processor execution;
Judge whether described pulse signal is in described first state or the second state or the third state, wherein, judgement is to pass through Described from computing device;
The first of first radiofrequency signal main quantization level is carried by the judgement being in described first state in response to described pulse signal Supply main power source, wherein, the offer of described first main quantization level is executed by described primary processor;
The judgement being in described first state in response to described pulse signal carries the first of the second radiofrequency signal from quantization level From power source, wherein, described first is from computing device by described from the offer of quantization level for supply;
It is in the judgement of described second state in response to described pulse signal by the described first main amount of described first radiofrequency signal Change level and be supplied to described main power source, wherein, the offer of described first main quantization level is to be executed by described primary processor 's;
The judgement being in described second state in response to described pulse signal is electric from quantifying by the second of described second radiofrequency signal Put down described in being supplied to from power source, wherein, described second is from computing device by described from the offer of quantization level;
The judgement being in the described third state in response to described pulse signal will be electric for the second of described first radiofrequency signal the main quantization Put down and be supplied to described main power source, wherein, the offer of described second main quantization level is executed by described primary processor;With And
The judgement being in the described third state in response to described pulse signal is electric from quantifying by the 3rd of described second radiofrequency signal the Put down described in being supplied to from power source, wherein, the described 3rd is from computing device by described from the offer of quantization level.
26. methods according to claim 25, wherein, in the time equal with the time period of the generation of described second state There is described first state during section.
CN201310422704.3A 2012-09-14 2013-09-16 Power based on three or more states and the regulation of frequency Active CN103681195B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201611234961.4A CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261701574P 2012-09-14 2012-09-14
US61/701,574 2012-09-14
US14/016,841 US9462672B2 (en) 2012-02-22 2013-09-03 Adjustment of power and frequency based on three or more states
US14/016,841 2013-09-03

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201611234961.4A Division CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Publications (2)

Publication Number Publication Date
CN103681195A CN103681195A (en) 2014-03-26
CN103681195B true CN103681195B (en) 2017-03-01

Family

ID=50318412

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310422704.3A Active CN103681195B (en) 2012-09-14 2013-09-16 Power based on three or more states and the regulation of frequency
CN201611234961.4A Active CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201611234961.4A Active CN106935473B (en) 2012-09-14 2013-09-16 The adjusting of power and frequency based on three or more states

Country Status (3)

Country Link
KR (1) KR102165741B1 (en)
CN (2) CN103681195B (en)
TW (1) TWI599272B (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677263B (en) * 2014-04-23 2019-11-11 美商蘭姆研究公司 Soft pulsing
TWI735912B (en) * 2014-08-22 2021-08-11 美商蘭姆研究公司 Plasma system, plasma tool, radio frequency generator, controller, and methods for sub-pulsing during a state
JP5797313B1 (en) 2014-08-25 2015-10-21 株式会社京三製作所 Regenerative circulator, high frequency power supply device, and high frequency power regeneration method
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9577516B1 (en) * 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
CN109315059B (en) * 2016-04-12 2021-08-24 海别得公司 System and method for providing power for plasma arc cutting
US20170330764A1 (en) * 2016-05-12 2017-11-16 Lam Research Corporation Methods and apparatuses for controlling transitions between continuous wave and pulsing plasmas
US10395894B2 (en) * 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
CN110648888B (en) * 2018-06-27 2020-10-13 北京北方华创微电子装备有限公司 Radio frequency pulse matching method and device and pulse plasma generating system
KR20210149225A (en) * 2019-04-29 2021-12-08 램 리써치 코포레이션 Systems and methods for multi-level pulsing of RF plasma tools
JP6826165B1 (en) * 2019-08-06 2021-02-03 株式会社京三製作所 Pulsed high frequency monitor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1816893A (en) * 2003-05-06 2006-08-09 兰姆研究有限公司 RF pulsing of a narrow gap capacitively coupled reactor
CN1973364A (en) * 2003-08-22 2007-05-30 拉姆研究有限公司 Multiple frequency plasma etch reactor
TW200847855A (en) * 2007-05-22 2008-12-01 Advanced Micro Fab Equip Inc Radio frequency power source system and the plasma reaction chamber using that radio frequency power source system
CN101803193A (en) * 2007-09-21 2010-08-11 高通股份有限公司 Signal generator with adjustable phase
CN101896034A (en) * 2007-04-13 2010-11-24 中微半导体设备(上海)有限公司 Radio frequency power source system and plasma reaction chamber applying same
CN102027810A (en) * 2008-05-14 2011-04-20 应用材料股份有限公司 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001168086A (en) * 1999-12-09 2001-06-22 Kawasaki Steel Corp Method of manufacturing semiconductor device and manufacturing apparatus
US7602127B2 (en) * 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7683289B2 (en) * 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
KR101124770B1 (en) * 2008-03-31 2012-03-23 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus, plasma processing method and computer readable storage medium
KR101510775B1 (en) * 2008-11-24 2015-04-10 삼성전자주식회사 synchronous pulse plasma etching equipment
JP5141519B2 (en) * 2008-12-02 2013-02-13 東京エレクトロン株式会社 Plasma processing apparatus and method of operating plasma processing apparatus
JP2010250959A (en) * 2009-04-10 2010-11-04 Hitachi High-Technologies Corp Plasma processing system
TWI531023B (en) * 2009-11-19 2016-04-21 蘭姆研究公司 Methods and apparatus for controlling a plasma processing system
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1816893A (en) * 2003-05-06 2006-08-09 兰姆研究有限公司 RF pulsing of a narrow gap capacitively coupled reactor
CN1973364A (en) * 2003-08-22 2007-05-30 拉姆研究有限公司 Multiple frequency plasma etch reactor
CN101896034A (en) * 2007-04-13 2010-11-24 中微半导体设备(上海)有限公司 Radio frequency power source system and plasma reaction chamber applying same
TW200847855A (en) * 2007-05-22 2008-12-01 Advanced Micro Fab Equip Inc Radio frequency power source system and the plasma reaction chamber using that radio frequency power source system
CN101803193A (en) * 2007-09-21 2010-08-11 高通股份有限公司 Signal generator with adjustable phase
CN102027810A (en) * 2008-05-14 2011-04-20 应用材料股份有限公司 Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery

Also Published As

Publication number Publication date
CN106935473B (en) 2018-11-02
TW201427496A (en) 2014-07-01
CN106935473A (en) 2017-07-07
KR20140035860A (en) 2014-03-24
KR102165741B1 (en) 2020-10-15
CN103681195A (en) 2014-03-26
TWI599272B (en) 2017-09-11

Similar Documents

Publication Publication Date Title
CN103681195B (en) Power based on three or more states and the regulation of frequency
US20220277934A1 (en) Adjustment of power and frequency based on three or more states
CN105742151B (en) The adjusting based on impedance of power and frequency
CN103298235B (en) State-based adjustment of power and frequency
CN103681194B (en) Edge is gradual
TWI695653B (en) System, method and apparatus for rf power compensation in a plasma processing system
CN103871810A (en) Method and system for determining value of variable on radio frequency (RF) transmission model
CN102709145B (en) Plasma processing apparatus
CN103926850B (en) Tuning A Parameter Associated With Plasma Impedance
KR20140113612A (en) Dual control mode
US11929235B2 (en) Systems and methods for tuning a MHz RF generator within a cycle of operation of a kHZ RF generator
JP2024514105A (en) Systems and methods for controlling plasma sheath properties

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant