TWI595308B - 光微影方法與系統 - Google Patents

光微影方法與系統 Download PDF

Info

Publication number
TWI595308B
TWI595308B TW103146493A TW103146493A TWI595308B TW I595308 B TWI595308 B TW I595308B TW 103146493 A TW103146493 A TW 103146493A TW 103146493 A TW103146493 A TW 103146493A TW I595308 B TWI595308 B TW I595308B
Authority
TW
Taiwan
Prior art keywords
mask
illumination pattern
intensity
radiation
illumination
Prior art date
Application number
TW103146493A
Other languages
English (en)
Other versions
TW201537280A (zh
Inventor
盧彥丞
游信勝
陳政宏
濤南 嚴
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201537280A publication Critical patent/TW201537280A/zh
Application granted granted Critical
Publication of TWI595308B publication Critical patent/TWI595308B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/701Off-axis setting using an aperture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

光微影方法與系統
本發明為一種半導體製程方法與系統,特別是一種光微影方法與系統。
半導體積體電路(IC)產業已經經歷了快速地成長。在IC的演進革命中,功能性密度(也就是指每一個晶片區域內可以內部連結的裝置的數量)也已經增加,且增加的同時,晶片尺寸的大小卻越來越小。這些縮小的製成通常提供了提升生產效率以及降低相關成本的優點。但是這些縮小發展也增加了製造的複雜度以及對積體電路驗證流程的複雜度,為了讓對這些缺點的改善可以實現,積體電路製造的進一步發展是有必要的。
在一個例子來說,微影技術(lithography)的進步對於裝置大小的減少佔了非常重要的角色。一般來說,微影技術是透過罩幕將一個圖案轉移到一工作部件(workpiece)上,如一半導體基底(substrate)。在光微影技術(photolithography)中,用來將圖案轉移到工作物間的輻射,會上形成在工作部件上的光感應材料,因為輻射而被改變。在曝光過後,該光感應材料可以被選擇性地移除以展示該圖案。該工作部件接著備進行處理以除掉在該工作部件上剩餘的光感應材料。
在一種微影技術的類型中,遠紫外線(extreme ultraviolet,EUV)輻射(如波長為1至100nm的輻射)被用來轉移該圖案。然而,因為有些材料是會讓遠紫外線輻射穿透,一個複雜的反射型光學系統被用來引導並塑形(shape)這些遠紫外線輻射。遠紫外光微影技術(EUV lithography)帶來了一些新的挑戰,舉例來說,很多反射的罩幕(reflective mask)並不是完美的平面,而且在形成在罩幕上的吸收體(absorber)的厚度可能會比遠紫外線的波長大得多。因此,如果入射輻射的角度並不是完全的垂直在反射罩幕的表面上,不樂見的陰影與其他3D影響就會發生。基於這些理由與其他理由,儘管遠紫外光微影技術有顯著的進步,進一步改善具有提供改進的分辨率,改善對準,並提高產量的潛力。因此,在現有的微影技術已經普遍足夠,但這些技術並沒有證明在所有方面都完全滿意的。
本揭露書提供一種光微影系統與方法,以減少或降低極點不平衡。該光微影方法包括接收一罩幕與一工作部件;判斷相對於該罩幕的一照明圖案(illumination pattern)的一方向:根據該方向調整該照明圖案的一強度分布剖面(intensity profile);根據該照明圖案與該強度分布剖面將該罩幕曝光於一輻射(radiation);以及利用肇因於曝光該罩幕的輻射對該工作部件曝光。在一實施例中,該強度分布剖面包括一強度(intensity),其會在跨過該照明圖案的一照明區域(illumination region)時變化。
本發明的一些實施例提供一個光微影方法,其包 括:判斷要被投射在一光微影罩幕的一照明圖案的複數個參考點中每一個參考點一入射角;根據該等入射角判斷該照明圖案的一強度,其中該強度會因為該照明圖案而變化;根據該照明圖案與該強度,投射輻射於該光微影罩幕;以及利用投射於該光微影罩幕的輻射對一工作部件曝光。在一些實施例的範例中,該照明圖案包括複數個形狀,且其中該強度會因為該等形狀中的至少一個形狀而變化。
本發明的一些實施例提供一光微影系統供。該光微影系統包括:一罩幕平台(mask stage),用以保留一罩幕;一基材平台(substrate stage),用以保留一工作部件;一輻射源;一發光器(illuminator),用以:塑形由該輻射源產生的輻射進入一照明圖案;以及藉由提供塑形進入該照明圖案的射線曝光該罩幕於該罩幕平台;以及一投射光學模組,用以引導來自該罩幕的輻射至該工作部件以在該工作部件上形成一圖案,其中該發光器更用以曝光該罩幕,使得該提供的輻射於該照明圖案內的強度變化。
100‧‧‧光微影系統
102‧‧‧輻射源
106‧‧‧罩幕
108‧‧‧罩幕級
110‧‧‧投射光學模組
112‧‧‧工作部件
114‧‧‧基材平台
202‧‧‧光罩基板
204‧‧‧反射結構
206A、206B、210A‧‧‧射線
208‧‧‧覆蓋層
210‧‧‧吸收層
212‧‧‧表面
302A、304A‧‧‧入射射線
302B、304B‧‧‧反射射線
400‧‧‧射線強度圖
402、404、406、408‧‧‧線
500‧‧‧降低極點不平衡的方法
602‧‧‧照明圖案
604‧‧‧光瞳
606‧‧‧位置
702‧‧‧形狀
704‧‧‧軸
900‧‧‧照明圖案
904‧‧‧軸
906、906‧‧‧線
1000‧‧‧強度分布剖面
1100‧‧‧照明圖案
1102‧‧‧中心區域
1104、1106‧‧‧軸
1200‧‧‧曝光強度分布剖面
本揭露書可由下列描述與對應的圖示得到最佳的理解。要強調的是,因為在產業界的標準實作,一些特徵並沒有被畫出來,只是用來說明而已。事實上,這些多變的特徵的尺寸可以被增加或減少以釐清討論。
第1圖為根據本揭露書的各方面的一光微影系統的一方塊圖。
第2圖為根據本揭露書的各方面的一反射式光罩的一截面 圖例子。
第3圖為根據本揭露書的各方面的的一反射式光罩的一部分的一截面圖例子。
第4圖為根據本揭露書的各方面之由一反射光罩反射的射線強度圖。
第5圖為根據本揭露書各方面的一種降低極點不平衡的方法500的流程圖。
第6圖根據本揭露書各方面的一光微影系統100的一部分的示意圖。
第7圖根據本揭露書各方面的一照明圖案的一範例圖。
第8圖根據本揭露書各方面的一照明圖案的一照明強度的曝光強度分布剖面的一範例圖。
第9圖和第11圖為根據本揭露書各方面的照明圖案的範例圖。
第10、12和13圖根據本揭露書各方面之分別對應到的照明圖案的曝光強度分布剖面的範例圖。
本揭露書說明關於積體電路(IC)裝置製造,特別是關於微影(lithography)的一個系統與方法,利用可變的照明密度(illumination intensity)以減少包含了極點不平衡(pole imbalance)在內的光學扭曲(optical distortion)。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用 以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
第1圖為根據本揭露書的各方面的一光微影系統100的一方塊圖。光微影系統100,其一般可能被指為是一掃描器,其運作用以利用一特點輻射源與曝光模式執行一微影曝光流程。在這些舉出的實施例,光微影系統100為一超紫外光微影系統,設計來使用遠紫外光將一工作部件曝光,遠紫外光的波長範圍為1~100nm。在一些範例的實施例中,光微影系統100包括一輻射源102,其用以產生遠紫外光輻射,且其中心波長約為13.5nm。在一這樣的實施例中,一遠紫外光輻射源102利用鐳射激發電漿(laser-produced plasma,LPP),藉由雷射加 熱一錫滴(droplets of tin)為高溫的電漿狀態,如以產生超紫外光輻射。
光微影系統100可能包含一發光器(illuminator)104,用以對焦與塑形(shapes)由輻射源102產生的輻射。發光器104可能包括屈光學元件,包括了單片鏡頭且/或鏡頭陣列,如帶片(zone plates),且可能包括反射光學元件,包括了單片鏡或是陣列鏡(mirror array)。第1圖所示的光學元件的數量可以被減少以方便說明,而實際的實施力中,發光器104包括了數打或是數百個鏡頭且/或鏡片。這些光學元件被安排與校正,以將由輻射源102發出的輻射投射到儲存在一罩幕級108的一罩幕106。一個罩幕106的例子會被詳細的描述在第2圖的敘述中。發光器104的光學元件會沿著光路徑(light path)去塑形輻射,用以產生對應罩幕106的一特定的照明圖案。
在通過或是反射該罩幕106,輻射透過一投射光學模組110被引導,投射光學模組110也就是一投射光學箱(projection optics box,POB)。與發光器104相似,投射光學模組110可能包括屈光學元件,包括了單片鏡頭且/或鏡頭陣列,如帶片(zone plates),且可能包括反射光學元件,包括了單片鏡或是陣列鏡(mirror array)。投射光學模組110的光學元件被安排與校正,以將由輻射源102發出的輻射穿過罩幕106或由罩幕106反射,且被投射到基材平台114上一工作部件112,如所示的半導體基板或是任何其他適合的工作部件。除了引導這些輻射,投射光學模組110的光學元件可以沿著光路徑,用來放大,縮小,對焦或是其他對輻射塑形的方式。
由投射光學模組110投射的輻射被投射在工作部件112,造成了目標的一光感應元件的變化。在一個正常的例子中,工作部件112包括了一半導體基底,且具有一抗光感應層(photosensitive resist layer)。部分的抗光感應層會暴露在該輻射下,經歷一化學反應,使得這些抗光感應層因為這些過程而增加或減低靈敏度。在一範例的實施例中,在曝光過後,抗光感應層經歷了一曝光後烘烤(post-exposure baking),顯影(developing),清洗(rinsing)與乾燥以完成這個反應。接下來的製程步驟會被執行在半導體基板上,可能會使用圖案以選擇性地執行在基板上的部分區域。
第2圖為根據本揭露書的各方面的一反射式光罩106的一截面圖例子。這個光罩106大致上是類似第1圖的罩幕106,而且可以適用在所示的光微影系統100。在揭露書的說明目的中,罩幕(mask),光罩(photomask),以及光罩(reticle)都可以參考光罩106。在說明的實施例中,光罩106為一反射式光罩,因為反射式光照被更廣泛的應用在遠紫外光微影系統。光罩106包括了複數個層,形成在一光罩基板202之上。光照基板202一般包括一低熱膨脹材料(low thermal expansion material,LTEM),如石英,低熱膨脹玻璃,矽,碳化矽,氧化矽,二氧化鈦,黑鑽石®(應用材料公司的一商標)且/或其他已知的低熱膨脹材質。
該等層可能包括一反射結構204,如一多層鏡(multilayer mirror,MLM)。一個MLM包括多個交替材料層(alternating material layers),且這些層的厚度與材料都是量 身定制,以達到最佳輻射長干涉,這些輻射在各材料界面反射,在降低光吸收的同時。在一範例的實施例中,一MIM包括40對交替的鉬與矽(Mo-Si)層。在進一步的範例的實施例中,一MIM包括20到80對的交替的鉬與鈹(Mo-Be)層。到達反射結構204的輻射,如舉例的射線206A,被反射回來用以曝光工作物間112,如射線206B所指。一個覆蓋層(capping layer)208,也就是已知的緩衝層(buffer layer),可以被設置在反射結構204之上。在一實施例中,該覆蓋層208在一蝕刻且/或一修復程序中保護該反射結構204。該覆蓋層可能包括下列材料,如釕,二氧化矽,和/或無定形碳(amorphous carbon)。
一吸收層(absorptive layer)210被設置在覆蓋層208之上。如名字所暗示的,該吸收層210吸收輻射,如射線210A,且避免該射線曝光該工作部件112。吸收層210與反射結構204結合工作以定義罩幕圖案。基於這樣的考量,吸收層210被圖案化,也就是吸收層210只會覆蓋在反射結構204的一部分。適合的材料被使用在吸收層210,包括了氮化鉭(TaN),氮化鉭硼化物(TaBN),氮化鈦(TiN),鉻,前述材料的組合,和/或其他合適的吸收材料。在一些實施例中,該吸收層210包括了複數層的吸收材料,舉例來說,一層鉻以及一層氮化鉭。吸收層210可能也包括一增透膜(anti-reflective coating,ARC),且適合的增透膜材料包括鉭硼氧化物基材料(TaBO),三氧化二鉻(Cr2O3),二氧化矽(SiO2),氮化矽(SiN),氮氧化鉭(TaON)且/或其他適合的材料。
在舉出的實施例中,輻射(如射線206A與206B) 是被投射到罩幕106上。該輻射被旋轉接近垂直罩幕106的表面212。然而,因為一些原因,入射角θ可能是非0,且可能會在罩幕106的曝光區域變化。在許多例子中,入射角θ的範圍由1°到11°。即使從垂直最少的偏差也可能產生光學效應的變化,影響了工作部件112上的圖案。這些影響中的一些在第3途中被描述。
請參考第3圖。第3圖為根據本揭露書的各方面的的一反射式光罩106的一部分的一截面圖例子。在很多的考量下,反射式光罩106大致上類似第2圖的罩幕,儘管第3圖的光罩106因為說明的關係而被簡化。在第3圖中,輻射源的兩個入射射線302A與304A被表示出來。射線302A與304A被用來概念化朝向光罩106的射線的影響。如圖所示,射線304A與304A被反射結構204反射以分別形成反射射線302B與304B。因為射線304A已經被吸收層210解除障礙(unblocked),反射射線304B可能俱有大致上相等於入射射線304A的強度(忽略因為反射結構204造成的損失)。相對的,一些但不是全部的射線302A會被吸收層201阻擋。事實上,由反射結構204的上部部分的部分反射射線302是被解除障礙的(unblocked),同時其他被反射結構204的深部部分反射的射線撞擊到吸收層210。因此,反射射線302B與反射射線304B的強度相比是比較小的。部分上來看,這也可以視為是一種3D影響,因為它是因為光罩106的3D結構所引起。當入射角θ變大,強度的差異也就更明顯。
在研究本揭露書時,這些影響都可以被分析以及被模擬。第4圖為根據本揭露書的各方面之由一反射光罩反射 的射線強度圖400。強度圖400描繪了一EVU光微影系統100使用的一反射光罩106所得到的模擬結果。X軸表示光罩106的位置與對應工作件112的位置。Y軸表示在對應位置的輻射的強度。第一條描繪的線402表示光罩106上具有形成在光罩基材202上的一吸收層210。這些區域,被認為具有非0值。可以理想的在工作部件112對應的位置上產生零輻射(zero radiation),因此線402表示要被形成在工作部件112上的圖案的反相。線404與408表是在工作部件112上的位置的對應的輻射量,這些輻射量是被以不同的入射角θ造成的。舉例來說,線404表示由較小的入射角所產生的輻射強度。線408表示由較大的入射角所產生的輻射強度。中間的線,如線406,表是線404與線408的平均輻射強度。
從圖上來看,很清楚的可以看到線404與線408的峰強度(peak intensities)是不一樣的。這個差異也就是一極點不平衡(pole imbalance)。當極點不平衡對功能裝置有一可量測的影響時,它可能對於定位與疊加功能(overlay feature)的形狀有極大的影響。因為疊加功能是被形成在一工作部件112之上,且是用來校正隨後的光微影製程,在疊加功能的偏移是因為不完全與不連接的內層連接(disconnected interlayer connections)而會降低疊加效能(overlay performance)。也因此極點不平衡會被連結到缺陷裝置(defective devices)與降低的產量(reduced yield)上。
一種藉由調變照明強度以降低極點不平衡的方法在第5~13圖中被描述。第5圖為根據本揭露書各方面的一種降 低極點不平衡的方法500的流程圖。要知道的是,額外的步驟可以被加入方法500的步驟前,後或是之間,而且步驟中的一些可以被以其他方法的實施例取代或是刪除。第6圖根據本揭露書各方面的一光微影系統100的一部分的示意圖。第7圖根據本揭露書各方面的一照明圖案的一範例圖。第7圖根據本揭露書各方面的一照明圖案的一照明強度的曝光強度分布剖面的一範例圖。第9和第11圖為根據本揭露書各方面的照明圖案的範例圖。第10,12和13圖根據本揭露書各方面之分別對應到的照明圖案的曝光強度分布剖面的範例圖。
參考第5圖的方塊502與第6圖,一光微影系統100,其大致上相似於第1圖的光微影系統,準備曝光。這可能包括了接收與載入一光罩106在光微影系統100的一光罩級108,以及接收與載入一工作部件112於光微影系統100的一基材平台。參考第6圖,光微影系統100的發光器104以在一照明圖案602塑形的輻射,曝光該光罩106的一部分。在一些實施例中,照明圖案602是藉由發光器104的一光瞳(pupil)604所產生。在一些實施例中,光瞳604可以是一實體結構,且可能包括一圖版(plate),或其他吸收結構(absorptive structure),吸收結構包括一個或多個穿透區,能讓輻射穿透。在其他實施例中,發光器104的光學元件會塑形輻射,以產生一輻射圖案,其模擬一實體光瞳604。這也可以說是一種虛擬光瞳。其它的實施例利用了光學元件的組合以及一實體光瞳604以產生一特定的照明圖案602。範例的照明圖案602則會在第7~13圖的說明中更詳細的討論。
參考第5圖的504,照明圖案602的兩個或更多個參考位置的606的入射角θ被決定。入射角θ量測照明圖案602相對表面212的方向。如圖所示,入射角θ可在整個照明圖案602具有一定的參考位置的照明圖案602的位置606而變化,一些參考位置606具有比其他入射θ的更小角度。
參照第7圖。根據本揭露書的範例性的照明圖案602的示意圖被提供。照明圖案602是典型的偶極圖樣(dipole pattern),並包括兩個形狀702(照明圖案區域),其為偶極形狀。在範例的實施例中,四個參考位置606,如圖所示,從形狀702的邊界被選出,且入射角在方塊504中被量測。
參照第5圖的方塊506以及參考第7圖。照明圖案602的一總方向是跟入參考位置606的入射角所決定。總方向(overall orientation)表示照明圖案602的每一個點的入射角度,並且表示照明圖案602的哪些區域具有最小入射角,哪些區域具有最大入射角,以及這些區域中間的相對方向。在舉例的實施例中,入射θ的角度在軸704變化,軸704穿過每個照明圖案區域702的直徑。在其它實施方案中,包括那些下文描述,入射角θ可以變化在任何合適的軸或軸(axes)。
參考第5圖的方框508與第8圖。一曝光強度分布剖面800為了照明圖案602被決定,使得照明圖案602中具有較大入射角θ的區域具有對應的較大曝光強度。換句話來說,較大入射角θ會減少因為光學影響的反射強度,如前述的3D影響。因此,發光器104產生的曝光強度在照明圖案602的對應的區域會增加,用以平衡通過照明圖案602的反射強度。
第8圖表示照明圖案602在跨過軸704量得的相對強度。在舉例的實施例中,曝光強度是根據對應入射角θ的函數線性增加。因此,在本實施例中,照明圖案區域702的照明強度的範圍是從0.5*I到2.0*I,I是用以量測照明圖案602的強度的基準線。照明圖案區域702外的照明強度則下降到零。
照明強度與線性關係的數字邊界都只是舉例說明而已。舉例來說,在一些實施例中,在一點的曝光強度是相關於一三角函數(如sine,cosine,tangent等)與對應的入射角。在一實施例中,在位置X的強度差不多等於Imin+λsin(θX),其中Imin是最小的合適強度,θX是對應位置X的入射角,λ是一個常數,相關於特定光罩106的一3D影響。在一些實施例中,曝光強度會以指數方式改變或是另一種非線性關係改變λ。
參考第9圖與第10圖。照明圖案900與強度分布剖面1000被繪出。照明圖案900同樣也是一偶極圖案,且入射角θ會因為單一軸904而有曝光強度的改變。然而,與前面的例子相比,軸904的方向與第7圖的軸704是不同的。軸904垂直於一線,該線與穿過兩個照明圖案702的直徑的線平行且位於兩者之間。同樣地與第7圖不同的是,參考位置606的位置位於照明圖案702的外面,而不是在照明圖案702的邊界之上。
接著,請參考第10圖,曝光強度分布剖面1000會根據入射角θ在跨過軸904而有曝光強度的改變,且是對兩個照明圖案702對稱。從而第10表示沿著參考線906與參考線908的曝光強度。範例的曝光強度分布剖面1000成指數的變化,變化範圍由峰值的4.0*I到最低的1.0*I,其中I是提供給曝光強度分 布剖面900的一參考強度。
參考第11~13圖,一範例的照明圖案1100與曝光強度分布剖面1200被顯示。照明圖案1100具有一同心圓特徵的照明圖案,且具有一同心圓照明圖案區域702。中心區域1102對應了照明圖案1100的一不照明(暗)區域。在舉例的實施例中,入射角θ的角度在一軸1104上改變,該軸跨過同心圓形狀的直徑,且造成了曝光強度分布剖面1200沿著軸1104上改變的結果。第12圖為曝光強度分布剖面1200沿著軸1104上改變的示意圖。第13圖曝光強度分布剖面1200沿著軸1106上改變的示意圖。要了解的是這些照明圖案與曝光強度分布剖面只是舉例說明,其它的圖案、設定都可以預期或被提供。
請參考第5圖的方塊510與第6圖。方塊504與508的判斷可以在光罩106的其他曝光中重複。在很多實施例中,照明圖案602一次只會曝光罩106的一部分,也因此照明圖案602是在光微影程序中被掃描光罩所形成。因為入射角θ的角度在照明圖案602被掃描時可能會改變,在一些實施例中,曝光強度分布剖面800會因為方塊504與508的一次或多次的判斷而被更新。因此,曝光強度分布剖面800會因為曝光位置而有所變化。
參考方塊512與第6圖。光微影系統100的發光器104根據照明圖案602與曝光強度分布剖面800投射輻射以對光罩106曝光。因此,投射到光罩106的輻射強度會因為入射角θ的角度而變化,由光罩106反射或穿透的輻射藉由光微影系統100的一投射光學模組110被接收,並投射到一工作部件112之 上。藉由根據入射角θ的角度調整光罩曝光強度,投射到工作部件112之輻射也會更均勻分布,且極點不平衡的情況也可以被降低。
目前的實施例都可以是完全的硬體實施例或是完全的軟體實施例,或是一實施例包含了軟體與硬體的元件。更進一步來說,本揭露書內的實施例可以是一電腦程式產品的形式,且可被從一具體電腦可使用(tangible computer-usable)或是電腦可讀取(computer-readable)的媒體中讀取,這些媒體提供了程式碼,藉由或連結到一電腦或是任何可執行指令的系統來使用。本描述的目的在于說明一具體的電腦可使用或是電腦可讀取的媒體可以是任何裝置,可儲存程式使用,或是聯結到指令執行系統,裝置或是設備。該媒體可能包括非揮發性媒體,包括了磁性儲存裝置,固態儲存裝置,快取記憶體,隨機存取記憶體。
因此,本揭露書提供一種系統與方法,以減少或降低極點不平衡。該方法包括接收一罩幕與一工作部件;判斷相對於該罩幕的一照明圖案(illumination pattern)的一方向:根據該方向調整該照明圖案的一強度分布剖面(intensity profile);根據該照明圖案與該強度分布剖面將該罩幕曝光於一輻射(radiation);以及利用肇因於曝光該罩幕的輻射對該工作部件曝光。在一實施例中,該強度分布剖面包括一強度(intensity),其會在跨過該照明圖案的一照明區域(illumination region)時變化。
在一些實施例中,一個方法被提供,其包括:判 斷要被投射在一光微影罩幕的一照明圖案的複數個參考點中每一個參考點一入射角;根據該等入射角判斷該照明圖案的一強度,其中該強度會因為該照明圖案而變化;根據該照明圖案與該強度,投射輻射於該光微影罩幕;以及利用投射於該光微影罩幕的輻射對一工作部件曝光。在一些實施例的範例中,該照明圖案包括複數個形狀,且其中該強度會因為該等形狀中的至少一個形狀而變化。
在一些實施例中,一系統被提供。該系統包括:一罩幕平台(mask stage),用以保留一罩幕;一基材平台(substrate stage),用以保留一工作部件;一輻射源;一發光器(illuminator),用以:塑形由該輻射源產生的輻射進入一照明圖案;以及藉由提供塑形進入該照明圖案的射線曝光該罩幕於該罩幕平台;以及一投射光學模組,用以引導來自該罩幕的輻射至該工作部件以在該工作部件上形成一圖案,其中該發光器更用以曝光該罩幕,使得該提供的輻射於該照明圖案內的強度變化。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100‧‧‧光微影系統
102‧‧‧輻射源
104‧‧‧發光器
106‧‧‧罩幕
108‧‧‧罩幕級
110‧‧‧投射光學模組
112‧‧‧工作部件
114‧‧‧基材平台

Claims (12)

  1. 一種光微影(photolithography)方法,該方法包括:接收一罩幕與一工作部件;判斷相對於該罩幕的一照明圖案(illumination pattern)的一方向;根據與該方向有關之一入射角的一三角函數調整該照明圖案的一強度分布剖面(intensity profile);根據該照明圖案與該強度分布剖面將該罩幕曝光於一輻射(radiation);以及利用肇因於曝光該罩幕的輻射對該工作部件曝光。
  2. 如申請專利範圍第1項所述之方法,其中該照明圖案包括複數個照明區域,且其中該強度分布剖面包括一強度,其會在跨過該照明圖案的至少一個照明區域時變化。
  3. 如申請專利範圍第2項所述之方法,其中該等照明區域包括一對偶極形狀,且其中該強度沿著一軸變化,該軸與該等偶極形狀的每一個偶極形狀的一直徑一同延長(coextensive)。
  4. 如申請專利範圍第2項所述之方法,其中該等照明區域包括一對偶極形狀,且其中該強度沿著一軸變化,該軸並沒有與一線平行,該線是該等偶極形狀的每一個偶極形狀的一直徑的延長(coextensive)。
  5. 如申請專利範圍第1項所述之方法,其中判斷相對於該罩幕的該照明圖案的該方向的步驟包括選擇該照明圖案的一個或多個參考點,並且判斷該個或該多個參考點與該罩幕相 關的一表面的一入射角,其中調整後的強度分布剖面係根據該個或該多個參考點之一或多個入射角的一或多個三角函數進行調整。
  6. 如申請專利範圍第1項所述之方法,其中該被判斷的該方向為一第一方向,且對應一第一曝光位置,該方法更包括:判斷相對於該罩幕的該照明圖案的一第二方向,該第二方向對應一第二曝光位置;且根據對應該第二曝光位置的該第二方向整該強度分布剖面。
  7. 如申請專利範圍第1項所述之方法,其中上述三角函數為一正弦函數且該強度分布剖面係根據下列算式所決定:Ix=Imin+λsin(θX),其中Ix係為於位置X上之強度,Imin是一最小的合適強度,θX是對應上述位置X的入射角,λ係為一個常數,該常數相關於該罩幕的一3D影響。
  8. 一種光微影技術的方法,包括:判斷要被投射在一光微影罩幕的一照明圖案的複數個參考點中每一個參考點一入射角;根據該等入射角的一或多個三角函數判斷該照明圖案的一強度,其中該強度會因為該照明圖案而變化;根據該照明圖案與該強度,投射輻射於該光微影罩幕;以及利用投射於該光微影罩幕的輻射對一工作部件曝光。
  9. 一種光微影系統,包括: 一罩幕平台(mask stage),用以保留一罩幕;一基材平台(substrate stage),用以保留一工作部件;一輻射源;一發光器(illuminator),用以:塑形由該輻射源產生的輻射進入一照明圖案;藉由提供塑形進入該照明圖案的射線曝光該罩幕於該罩幕平台;以及一投射光學模組,用以引導來自該罩幕的輻射至該工作部件以在該工作部件上形成一圖案;其中該發光器更用以曝光該罩幕,使得該提供的輻射於該照明圖案內的強度係根據關於該罩幕中該照明圖案的之一入射角的一三角函數發生變化。
  10. 如申請專利範圍第9項所述之系統,其中該發光器更用以曝光該罩幕,使得該提供的輻射於該照明圖案內的強度會根據該照明圖案相對於該罩幕的一方向而變化。
  11. 如申請專利範圍第9項所述之系統,其中該照明圖案包括一對偶極形狀,且其中該發光器更用以曝光該罩幕,使得該提供的輻射沿著一軸的強度變化,該軸與該等偶極形狀的每一對偶極形狀的一直徑一同延長(coextensive)。
  12. 如申請專利範圍第9項所述之系統,其中該三角函數係為該入射角之一正弦函數。
TW103146493A 2014-03-31 2014-12-31 光微影方法與系統 TWI595308B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/231,119 US9575412B2 (en) 2014-03-31 2014-03-31 Method and system for reducing pole imbalance by adjusting exposure intensity

Publications (2)

Publication Number Publication Date
TW201537280A TW201537280A (zh) 2015-10-01
TWI595308B true TWI595308B (zh) 2017-08-11

Family

ID=54165350

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146493A TWI595308B (zh) 2014-03-31 2014-12-31 光微影方法與系統

Country Status (4)

Country Link
US (1) US9575412B2 (zh)
KR (1) KR101791729B1 (zh)
CN (1) CN104950589B (zh)
TW (1) TWI595308B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10514597B2 (en) * 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102365587A (zh) * 2009-03-27 2012-02-29 卡尔蔡司Smt有限责任公司 Euv微光刻的照明光学系统和这种照明光学系统的euv衰减器、具有这种照明光学系统的照明系统和投射曝光装置
TW201219987A (en) * 2010-06-15 2012-05-16 Zeiss Carl Smt Gmbh Illumination optical system for microlithography and projection exposure system with an illumination optical system of this type
CN103080843A (zh) * 2010-07-07 2013-05-01 尤利塔股份公司 用于印刷具有大焦深的周期图案的方法和设备
TW201327065A (zh) * 2011-10-24 2013-07-01 尼康股份有限公司 照明光學系統、曝光裝置及元件製造、圖像形成、照明與曝光方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4896952A (en) * 1988-04-22 1990-01-30 International Business Machines Corporation Thin film beamsplitter optical element for use in an image-forming lens system
US5602643A (en) * 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
KR100877022B1 (ko) * 2000-10-10 2009-01-07 가부시키가이샤 니콘 결상성능의 평가방법
US6593041B2 (en) 2001-07-31 2003-07-15 Intel Corporation Damascene extreme ultraviolet lithography (EUVL) photomask and method of making
US20040248043A1 (en) * 2003-06-03 2004-12-09 Nikon Corporation Exposure method, exposure apparatus and device manufacturing method
KR100983190B1 (ko) * 2003-09-17 2010-09-20 칼 짜이스 에스엠테 아게 마스크, 리소그래피 장치와 반도체 구성요소
US7145640B2 (en) 2004-03-22 2006-12-05 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and variable attenuator
KR100604938B1 (ko) 2005-05-27 2006-07-28 삼성전자주식회사 극자외선 노광용 반사마스크 및 그 제조방법
JP2007299993A (ja) * 2006-05-01 2007-11-15 Canon Inc 露光装置
KR100843228B1 (ko) * 2007-01-09 2008-07-02 삼성전자주식회사 마스크 포토그래피 해석방법 및 이를 이용한 이미지 형성방법
JP5345132B2 (ja) * 2007-04-25 2013-11-20 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置においてマスクを照明するための照明系
GB0709796D0 (en) * 2007-05-22 2007-06-27 Phase Focus Ltd Three dimensional imaging
US8692974B2 (en) * 2007-06-14 2014-04-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using pupil filling by telecentricity control
JP2009043809A (ja) * 2007-08-07 2009-02-26 Canon Inc 投影光学系の製造方法
CN101796460B (zh) * 2007-08-30 2013-05-01 卡尔蔡司Smt有限责任公司 微光刻投射曝光设备中用于照明掩模的照明系统
JP5554245B2 (ja) 2007-12-21 2014-07-23 カール・ツァイス・エスエムティー・ゲーエムベーハー マイクロリソグラフィ露光装置のマスク照明用の照明系
US9239455B2 (en) * 2007-12-31 2016-01-19 Stc.Unm Structural illumination and evanescent coupling for the extension of imaging interferometric microscopy
US8908151B2 (en) * 2008-02-14 2014-12-09 Nikon Corporation Illumination optical system, exposure apparatus, device manufacturing method, compensation filter, and exposure optical system
US20090257043A1 (en) * 2008-04-14 2009-10-15 Nikon Corporation Illumination optical system, exposure apparatus, device manufacturing method, and exposure optical system
US8542340B2 (en) * 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
CN101349871B (zh) * 2008-09-05 2010-09-15 上海微电子装备有限公司 光刻照明装置
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
WO2010073794A1 (ja) * 2008-12-24 2010-07-01 株式会社 ニコン 照明光学系、露光装置及びデバイスの製造方法
JP5473350B2 (ja) * 2009-02-13 2014-04-16 キヤノン株式会社 照明光学系、露光装置及びデバイスの製造方法
CN102483584B (zh) * 2009-08-25 2014-12-24 Asml荷兰有限公司 照射系统、光刻设备和调节照射模式的方法
JP5787483B2 (ja) * 2010-01-16 2015-09-30 キヤノン株式会社 計測装置及び露光装置
KR101829778B1 (ko) * 2011-06-29 2018-02-20 삼성디스플레이 주식회사 노광 장치 및 액정 표시 장치의 제조 방법
CN103918059A (zh) * 2011-11-29 2014-07-09 株式会社尼康 测定装置、测定方法、及半导体元件制造方法
DE102012212664A1 (de) * 2012-07-19 2014-01-23 Carl Zeiss Smt Gmbh Verfahren zum Einstellen eines Beleuchtungssettings
DE102013201193A1 (de) * 2013-01-25 2014-07-31 Carl Zeiss Smt Gmbh Verfahren zum Bestimmen der Phasenlage und/oder der Dicke einer Kontaminationsschicht an einem optischen Element und EUV-Lithographievorrichtung

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102365587A (zh) * 2009-03-27 2012-02-29 卡尔蔡司Smt有限责任公司 Euv微光刻的照明光学系统和这种照明光学系统的euv衰减器、具有这种照明光学系统的照明系统和投射曝光装置
TW201219987A (en) * 2010-06-15 2012-05-16 Zeiss Carl Smt Gmbh Illumination optical system for microlithography and projection exposure system with an illumination optical system of this type
CN103080843A (zh) * 2010-07-07 2013-05-01 尤利塔股份公司 用于印刷具有大焦深的周期图案的方法和设备
TW201327065A (zh) * 2011-10-24 2013-07-01 尼康股份有限公司 照明光學系統、曝光裝置及元件製造、圖像形成、照明與曝光方法

Also Published As

Publication number Publication date
US20150277234A1 (en) 2015-10-01
KR101791729B1 (ko) 2017-10-30
US9575412B2 (en) 2017-02-21
TW201537280A (zh) 2015-10-01
CN104950589B (zh) 2017-10-13
KR20150113809A (ko) 2015-10-08
CN104950589A (zh) 2015-09-30

Similar Documents

Publication Publication Date Title
US11086227B2 (en) Method to mitigate defect printability for ID pattern
KR102303158B1 (ko) 포토리소그래피 프로세스용 어시스트 피처
TWI431439B (zh) 微影裝置之位準感測器配置及器件製造方法
US7094507B2 (en) Method for determining an optimal absorber stack geometry of a lithographic reflection mask
JP4741548B2 (ja) 放射ビームをパターニングする方法、放射ビームをパターニングするパターニングデバイス
US9442384B2 (en) Extreme ultraviolet lithography process and mask
JP2008502127A5 (zh)
KR100541487B1 (ko) 광학계의 조정방법 및 장치, 노광장치
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US20060292459A1 (en) EUV reflection mask and method for producing it
US9244366B2 (en) Extreme ultraviolet lithography process and mask
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
TW201537308A (zh) 極紫外線微影方法
TWI595308B (zh) 光微影方法與系統
US7081956B1 (en) Method and device for determining reflection lens pupil transmission distribution and illumination intensity distribution in reflective imaging system
US8656319B2 (en) Optical proximity correction convergence control
JP3958261B2 (ja) 光学系の調整方法
KR101069433B1 (ko) 극자외선 리소그래피를 위한 마스크의 패턴 임계치수 보정방법