TW201537308A - 極紫外線微影方法 - Google Patents

極紫外線微影方法 Download PDF

Info

Publication number
TW201537308A
TW201537308A TW103146489A TW103146489A TW201537308A TW 201537308 A TW201537308 A TW 201537308A TW 103146489 A TW103146489 A TW 103146489A TW 103146489 A TW103146489 A TW 103146489A TW 201537308 A TW201537308 A TW 201537308A
Authority
TW
Taiwan
Prior art keywords
region
reticle
defect
illumination mode
pattern
Prior art date
Application number
TW103146489A
Other languages
English (en)
Other versions
TWI570521B (zh
Inventor
Yen-Cheng Lu
Shinn-Sheng Yu
Jeng-Horng Chen
Anthony Yen
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201537308A publication Critical patent/TW201537308A/zh
Application granted granted Critical
Publication of TWI570521B publication Critical patent/TWI570521B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • G03F7/701Off-axis setting using an aperture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

本發明提供之極紫外線微影方法的一實施例。上述方法包括將光罩承載至微影系統。光罩包括缺陷修補區,並定義一積體電路(IC)圖案於其上。上述方法亦包括在依據IC圖案之照射模式中設定微影系統的照射器,及依據照射模式設置光瞳濾光器於微影系統中。上述方法在照射模式中,以微影系統搭配光罩與光瞳濾光器進行微影曝光製程至目標。

Description

具有提高缺陷修復能力之積體電路的製造方法
半導體積體電路(IC)產業已快速成長一段時日。IC材料與設計的技術進步,使每一代的IC比前一代的IC更小且其電路更複雜。新一代的IC具有較大的功能密度(比如固定晶片面積中的內連線元件數目),與較小的尺寸(比如製程形成的最小構件或連線)。製程尺寸縮小往往有利於增加製程效率並降低相關成本。製程尺寸縮小會增加製程複雜度,但製程尺寸縮小的優點顯而易見,因此需要更小的IC製程。舉例來說,對高解析度之微影製程的需求成長。對應上述需求之微影技術之一為極紫外線微影(EUVL)。其他技術包含X-光微影、離子束投影微影、電子束投影微影、與多重電子束無光罩微影。
EUVL採用之掃描機,其使用EUV區的光具有約1nm至100nm的波長。如同某些光學掃描機,某些EUV掃描機可提供4X縮小投影轉印,除了EUV掃描機採用反射光學構件如反射鏡,而其他光學掃描機採用繞射光學構件如透鏡。EUV掃描機提供所需的圖案至形成於反射性光罩上的吸收層(EUV光罩吸收層)。用於EUVL中的光罩目前遇到新的挑戰。舉例來說,ML(多層)結構係用於EUVL光障中,而EUV光罩基板之表 面上微觀的不平坦(比如來自於缺陷)會使後續沉積其上的膜層變形。當入射光自變形區域反射,其相位可能不同於自正常區域反射的光相位。有時缺陷造成的相位差接近180°,因此稱作相位缺陷。相位缺陷可能影響轉印正確性,並造成基板上的圖案扭曲。目前亟需提供有效且彈性的方法,以降低及/或緩和相位缺陷的轉印性。
本發明之下列詳細內容將搭配圖式說明以利了解。值得注意的是,在產業實際應用時,圖式中的多種結構並未依比例繪示。事實上,多種結構的尺寸可隨意增加或縮小,以清楚說明本發明。
第1圖係某些實施例中,用以實施光罩結構的委影系統之方塊圖。
第2圖係某些實施例中,第1圖之半導體基板其部份示意圖。
第3圖係某些實施例中,光罩修補製程的流程圖。
第4至6圖係某些實施例中,多種階段中圖案化之EUV光罩的上視圖。
第7圖係某些實施例中,微影製程的流程圖。
第8A至8C圖係某些實施例中,以第7圖之方法實施之照射圖案的示意圖。
第9A至9C圖係某些實施例中,以第7圖之方法實施之濾光圖案的示意圖。
可以理解的是,下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。本發明的多個實例中將採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
第1圖係本發明一或多個實施例之EUV微影系統10。下述內容亦以圖式說明微影系統。在此實施例中,微影系統為極紫外線(EUV)微影系統,其設計為採用EUV曝光光阻層。光阻層對EUV射線敏感。EUV微影系統10採用射線源32以產生EUV光,比如波長範圍介於約1nm至約200nm之間的EUV光。在一實例中,射線源32產生中心波長為約13.5nm之EUV光。
EUV微影系統10亦採用照射器34。在多種實施例中,照射器34包含多種繞射光學構件如單一鏡片或具有多個鏡片(波域片)的鏡片系統、或反射光學構件如單一反射鏡或具有多個反射鏡的反射鏡系統,以將光自射線源32導向光罩36上。在此實施例中,射線源32產生EUV波長範圍的光,因此採用反射光學構件。然而藉由波域片的例子,亦應理解繞射光學構件。在此實施例中,照射器34係用以設置反射鏡以提供離軸照射(OAI)以照射光罩36。在一實例中,可切換照射器34之反射鏡以反射EUV至不同的照射位置。在另一實施例中,可在照射器34前新增站點,其包括其他可切換反射鏡。經由控制其他可切換反射鏡,可搭配照射器34之反射鏡將EUV光導向不同的照射部份。綜上所述,EUV微影系統10不需犧牲照射能量,即可達不同的照射模式。
EUV微影系統10亦包含光罩站點35,其設置以固定光罩(mask、photomask、或reticle)36。光罩36可為穿透式光罩或反射式光罩。在此實施例中,光罩36為反射式光罩且詳述如下。
EUV微影系統10亦採用POB(投影光學盒)38,其用以將光罩36之圖案成像至固定於微影系統10的基板站點42之目標(如半導體晶圓)40上。POB 38可具有繞射光學構件及/或反射光學構件。POB 38收集自光罩36反射出的射線(如圖案化射線)。在一實施例中,POB 38的放大倍率小於1,以降低射線中的圖案化影像。
光罩36之結構與其形成方法將搭配多種實施例敘述如下。光罩的製作製程包括兩個步驟:空白光罩的製作流程,以及光罩圖案化製程。在空白光罩的製作製程中,沉積適當的層狀物(如多層反射層)於適當的基板上以形成空白光罩。在圖案化光罩製程中,圖案化空白光罩使其具有積體電路(IC)的層狀物設計。接著採用圖案化之光罩,將電路圖案(如IC之層狀物設計)轉移至半導體晶圓上。藉由多種微影製程,可將上述圖案轉移至多個晶圓上。多個光罩(比如15至30個光罩組)可用以建構完整的IC。一般而言,多種光罩可製作以用於多種製程中。
下述內容關於光罩36、製作光罩製程、與修補光罩製程。製作與修補光罩製程包含三個步驟:空白光罩的製作製程、光罩圖案化製程、以及光罩修補製程。在空白光罩的製作製程中,沉積適當的層狀物(如多層反射層)於適當的基板上 以形成空白光罩。在光罩圖案化製程中,圖案化空白光罩使其具有設計圖案用於IC裝置(或晶片)的對應層狀物。光罩修補製程可在光罩圖案化製程之前或之後進行,以減少空白光罩或圖案化光罩上的缺陷轉寫能力。圖案化光罩經修補後,可用以轉移設計圖案至半導體晶圓上。
一般而言,可製作多種光罩以用於多種製程。EUV光罩的種類包括雙光強度光罩(BIM)與相轉移光罩(PSM)。舉例來說,BIM幾乎都是吸收區(亦稱作不透明區),以及吸收區以外的反射區。不透明區中的吸收物幾乎完全吸收入射光。反射區不含吸收物,且其包含的多層結構(ML)將反射入射光。PSM包含吸收區與反射區。吸收區反射之部份入射光,與反射區反射之入射光具有相位差異(通常為180°),可增加解析度與影像品質。PSM可為衰減型PSM(AttPSM)與間隔型PSM(A1tPSM)。AttPSM之吸收物通常具有2%至15%之反射率,而A1tPSM之吸收物通常具有大於50%之反射率。
如第2圖所示,光罩36包含光罩基板110,其由低熱膨脹材料(LTEM)所組成。LTEM可包含掺雜氧化鈦之氧化矽,及或本技術領域已知的其他低熱膨脹材料。LTEM的光罩基板110可使加熱光罩所造成的影像扭曲問題最小化。在此實施例中,LTEM基板包含的材料具有低缺陷等級與平滑表面。此外為了靜電固定,導電層105可形成於LTEM的光罩基板110之背側表面上如圖所示。在一實施例中,導電層105包含氮化鉻或其他合適導電材料。
光罩包含反射式的ML(多層)120於光罩基板110 上的前側表面上。依據Fresnel方程式,當光穿過不同折射率之兩種材料的界面時,會產生光反射的現象。當折射率差異越大,反射光越大。為增加反射光,亦可增加交替的材料之多層界面數目,並挑選多層中每一層的適當厚度,建立不同界面以反射光。然而用於多層之材料吸收度,會限制多層所能達到的最高反射率。ML 120包含多個膜對,比如鉬/矽(Mo/Si)膜對,即每一膜對中的鉬層位於矽層之上或之下。在另一實施例中,ML 120包含鉬/鈹(Mo/Be)膜對,或對EUV波長具有高反射率的任何合適材料。ML 120的每一層厚度取決於EUV之波長及入射角。調整ML 120之厚度,可使每一界面反射之EUV光具有最大的建設性干涉,且使ML 120對EUV光具有最小吸收。ML 120可選自對選定射線種類及/或波長具有高反射率。在一般例子中,ML 120中的膜對數目介於20至80之間,不過任何數目的膜對均有可能。在一實例中,ML 120包含四十對的Mo/Si層。每一Mo/Si膜對之厚度為約7nm,而ML 120之總厚度為280nm。在此例中,反射率可達約70%。
光罩36包含保護層130形成於ML 120上以具有一或多個功能。在一實例中,保護層130作為圖案化製程或其他步驟(如修補或清潔)中的蝕刻停止層。在其他實例中,蓋層可避免氧化ML 120。保護層130可包含單一膜或多層膜以具有額外功能。在某些實施例中,保護層包括蓋層位於ML 120上,以及緩衝層位於蓋層上。蓋層係設計以避免氧化ML 120。在某些實例中,蓋層包含厚度為約4nm至7nm的矽。在其他實例中,可採用低溫沉積製程形成蓋層,以避免ML 120之相互擴散。緩 衝層係形成於蓋層上,以作為吸收層之圖案化或修補製程中的蝕刻停止層。緩衝層與吸收層具有不同的蝕刻特性。在某些實例中,緩衝層包含釕、釕化合物如硼化釕或釕矽、鉻、氧化鉻、或氮化鉻。低溫沉積製程常擇以形成緩衝層,以避免ML 120的相互擴散。
光罩36亦包含吸收層140形成於保護層130上。在此實施例中,吸收層140吸收照射至圖案化光罩上之EUV波長範圍中的射線。吸收層140包含多層膜層,且每一膜層包含鉻、氧化鉻、氮化鉻、鈦、氧化鈦、氮化鈦、鉭、氧化鉭、氮化鉭、氮氧化鉭、氮化硼鉭、氧化硼鉭、氮氧化硼鉭、鋁、鋁-銅、氧化鋁、銀、氧化銀、鈀、釕、鉬、其他合適材料、或上述之組合。藉由多層膜層的適當組合,吸收層140的每一膜層具有不同的蝕刻特性,可使後續蝕刻製程具有適當的製程彈性。
接著依據IC佈局圖案(或單純的IC圖案)圖案化吸收層140,以定義不透明區150與反射區160。在不透明區150中保留吸收層140,並在反射區160中移除吸收層140。
在此實施例中,光罩36包含至少一缺陷115。缺陷115可包含LTEM的光罩基板110之表面上的凸起或凹陷(位於反射的ML 120下),或埋置於反射的ML120中的凸起或凹陷。凹陷115可能形成於製作LTEM的光罩基板110、製作反射的ML 120、或任何其他製程中。缺陷115可能使所有形成其上的後續層狀物局部變形,以形成變形區(又稱作缺陷區170)。在此實施例中,至少一反射區160具有缺陷區170。當缺陷區170位於反射區160中,缺陷區170對反射區160反射之光線的相位可能造 成巨大影響。這也是缺陷區170又稱作相缺陷區170的原因。舉例來說,順應性沉積所有後續的層狀物時,若缺陷115的高度或深度為射線源32之1/4的波長,則會使具有缺陷之區域其反射光具有180°的相誤差。事實上,較小比例的180°相誤差如30°的相誤差會對光微影的製程容忍度造成巨大影響,或甚至造成圖案化正確性的問題。如此一來,LTEM的光罩基板110與反射性的ML 120需無缺陷。然而,這會限制光罩製作,且會使光罩製作變得更昂貴。本發明提供方法200以減少缺陷區170的影響。一部份的方法200為修補光罩,將描述於方法200的第一部份。另一部份的方法200為採用修補後的光罩(修補於方法200的第一部份)進行微影製程,將描述於方法200的第二部份。上述修補後的光罩具有設計的光瞳濾光圖案。
第3圖係極紫外線微影(EUVL)製程之第一部份的步驟之流程圖,上述步驟可稱作方法200A。方法200A可用以修補某些實施例中的EUV光罩。第4至6圖係方法200A之多種修補階段中的圖案化EUV光罩其上視圖。
如第3與4圖所示,方法200A之起始步驟302為接收光罩36。光罩36包含不透明區150、反射區160、及至少一缺陷區170於反射區160中。
如第3圖所示,方法200A接著進行步驟304以確認缺陷區170之位置與形狀。缺陷區170之位置與形狀的檢測可採用原子力顯微鏡(AFM)、空照影像量測系統(購自Carl Zeiss之AIMSTM)、或其他缺陷量側工具。平面中的二維資訊與LTEM之光罩基板100的表面平行。其他實施例可採用缺陷區170其完 整的三維輪廓,其對更精準地確認相位誤差是必要的。在一實施例中,可檢測到缺陷區170在沿著不透明區150的方向具有第一寬度w1
如第3與5圖所示,方法200A接著進行步驟306,局部沉積吸收材料410以覆蓋缺陷區170。在吸收材料410覆蓋缺陷區170後,缺陷區170的反射率變小(比如小於3%)。如此一來,不論多大的相位移都會減少。吸收材料410可包含鉻、氧化鉻、氮化鉻、鈦、氧化鈦、氮化鈦、鉭、氧化鉭、氮化鉭、氮氧化鉭、氮化硼鉭、氧化硼鉭、氮氧化硼鉭、鋁、鋁-銅、氧化鋁、銀、氧化銀、鈀、釕、鉬、其他合適材料、或上述之組合。吸收材料410的局部沉積製程可為氣體輔助集中電子束誘導沉積,或任何其他合適方法。在一實施例中,吸收材料410的沉積形狀對應缺陷區170。缺陷區170被吸收材料410覆蓋後,即轉為缺陷修補區175。
如第3與6圖所示,方法200A接著進行步驟308,移除部份吸收材料140以形成ALA(無吸收層)區420。ALA區420提供額外反射光,以彌補缺陷修補區175造成的反射光強度損失。ALA區420的形成方法為合適技術,比如集中離子束蝕刻或集中電子束誘導蝕刻。ALA區420可形成於未與缺陷修補區175相鄰之適當區域,以避免負面影響缺陷區170。換言之,ALA區420係擇自缺陷區170中較無缺陷的區域。在一實施例中,每一ALA區420與缺陷修補區170之間相隔的距離大於約20nm。ALA區420的尺寸與缺陷修補區175之位置與形狀相關。在另一實施例中,ALA區420的尺寸取決於空照影像或光阻影像量測 (取自用於光罩的AIMS或用於晶圓的SEM)與光罩修補的回饋循環。若可提供正確模型,亦可採用模擬決定ALA區420。在完成修補製程後,經修補後的光罩36可稱作修補的光罩37。
在方法200A之前、之中、或之後,可進行額外步驟。其他實施例之方法200A的某些步驟,可取代為其他步驟、省略、或調換進行順序。
第7圖係EUVL製程之第二部份的步驟之流程圖,上述步驟可稱作方法200B。在本發明的多種實施例中,方法200B用於製作積體電路的微影製程。第8A至8C圖係方法200B實施之照光圖案的示意圖。第9A至9C圖係方法200B實施之濾光圖案的示意圖。
如第1與7圖所示,方法200B包含步驟602,以將修補的光罩37承載至EUV微影系統10。方法200B中的步驟602更包括其他步驟,比如將修補的光罩37固定於光罩站點上後對準光罩。
方法200B亦包括步驟604,以將目標40承載至EUV微影系統10之基板站點42。在此實施例中,目標40為半導體基板如矽晶圓。目標40塗佈有光阻層,其對EUV光敏感。藉由微影曝光製程可圖案化光阻層,因此修補的光罩37之IC設計佈局(IC圖案)將轉移至光阻層。
如第1與7圖所示,方法200B亦包含步驟606,以設置光瞳濾光器於EUV微影系統10中。光瞳濾光器設置於EUV微影系統10之光瞳平面中。在影像光學系統中,光瞳平面具有對應物件之傅立葉轉換的場分佈,且此例中的物件為修補的光罩 37。位於光瞳平面中的光瞳濾光器,可濾除來自修補的光罩37之預定的部份EUV光。光瞳濾光器允許部份的反射光穿過並照射目標40,以降低缺陷區170的解析度。
在此實施例中,照射器34包含多種可切換的反射鏡或具有其他合適機制的反射鏡,以調整來自這些反射鏡的EUV光反射。在此實施例中,可切換的反射鏡可設置於照射站點中以達離軸照射模式,比如將射線源32之EUV光導入圖案(如第8A至8C圖所示)以達離軸照射。
照射模式可包含多種圖案,比如第8A至8C圖中的實例。為了在微影曝光製程中增加EUV光的強度所採用之修補的光罩37,定義其上的IC圖案可決定照射圖案。
在第8A圖中,照射模式具有偶極圖案530位於軸上。且偶極部份531為「開啟」狀態的部份(照射),而其他部份為「關閉」狀態(阻擋)。換言之,到達偶極部份531的EUV光將導向修補的光罩37,而到達「關閉」部份的EUV光將被阻擋。
在第8B圖中,照射模式具有四極圖案532。四極部份533為「開啟」狀態,而其他部份為「關閉」狀態。換言之,到達四極部份533的EUV光將導向修補的光罩37,而到達其他部份的EUV光將被阻擋。
在第8C圖中,照射模式具有碟狀圖案534。疊狀部份535為「開啟」狀態(照射),而其他部份為「關閉」狀態(阻擋)。換言之,到達碟狀部份535的EUV光將導向修補的光罩37,而到達「關閉」部份的EUV光將被阻擋。
定義於光瞳濾光器上的圖案,係取決於照射模 式。在一實施例中,當照射模式定義為第8A圖中的偶極圖案530時,對應的光瞳濾光器將具有圖案536,其開口537亦沿著偶極圖案530之相同軸,如第9A圖所示。開口537為「開啟」狀態,到達光瞳平面中的開口部份之EUV光將導向目標40。到達光瞳平面中的其他部份之EUV光將被阻擋。開口537具有第二寬度w2。在一實施例中,第二寬度w2大於偶極部份531的直徑,但小於約50%之此照射模式之直徑。
當照射模式定義為第8B圖中的四極圖案532時,對應的光瞳濾光器將具有圖案538,其兩個開口539互相平行如第9B圖所示。開口539為「開啟」狀態,到達光瞳平面中的開口部份之EUV光將導向目標40。到達光瞳平面中的其他部份之EUV光將被阻擋。開口539具有第三寬度w3。在一實施例中,第三寬度w3大於四極部份533的直徑,但小於約25%之此照射模式之直徑。
當照射模式定義為第8C圖中的碟狀圖案534時,對應的光瞳濾光器將具有圖案540,其開口541如第9C圖所示。開口541為「開啟」狀態,到達光瞳平面中的開口部份之EUV光將導向目標40。到達光瞳平面中的其他部份之EUV光將被阻擋。開口541具有第四寬度w4。在一實施例中,第四寬度w4大於碟狀部份534的直徑,但小於約50%之照射直徑。
回到第1與7圖,方法200B接著進行步驟608,以設置的照射模式與光瞳濾光器對目標40進行微影曝光製程。具有用於離軸照射之EUV能量分佈的照射器34,可用以調整來自射線源32的EUV光。EUV光自修補的光罩37導出,進一步由光瞳 濾光器濾光,使EUV光將修補的光罩37之IC圖案成像至目標40。藉由光瞳濾光器的每一個別圖案,光瞳濾光器可阻擋預定量的繞射光,以降低缺陷區170之解析度並增加缺陷的修補性。
在方法200B之前、之中、與之後可進行額外步驟,且方法200B的某些步驟,可取代為其他步驟、省略、或調換進行順序。
基於上述內容,本發明提供用於EUVL製程的方法。此方法採用用於光瞳濾光器的圖案,以阻擋穿過光瞳濾光器的部份繞射光,並降低缺陷的解析度。此方法修補光罩的方式為形成無吸收層區於遠離缺陷的區域(或較無缺陷的區域),以避免負面影響缺陷區。上述方法明顯增加缺陷的修補性。
如此一來,本發明一實施例提供極紫外線微影(EUVL)方法。此方法包括將光罩承載至微影系統。光罩包括反射區、不透明區、位於反射區中的缺陷上之缺陷修補區;以及位於不透明區中的無吸收層區。此方法亦包括依據照射模式,設置光瞳濾光器於微影系統中;以及在照射模式中,以微影系統搭配光罩與光瞳濾光器進行微影曝光製程至目標。如此一來,光瞳濾光器阻擋自光罩反射的部份反射光,以曝光目標。
本發明的另一實施例提供極紫外線微影方法。上述方法包括承載光罩至微影系統。光罩定義積體電路(IC)圖案於其上。上述方法亦包括依據照射模式設置光瞳圖案於微影系統中;以及在照射模式中,以微影系統搭配光罩與光瞳濾光器進行微影曝光製程至目標。如此一來,光瞳濾光器阻擋自光罩反射的部份反射光,以曝光目標。
本發明的另一實施例亦提供極紫外線微影方法。上述方法包括承載光罩至微影系統。光罩包括缺陷修補區於第一區中的缺陷上,以及無吸收層區於第二區中,且缺陷修補區與無吸收層區相隔一段距離,且光罩定義積體電路(IC)圖案於其上。上述方法亦包括依據照射模組之偶極圖案設置光瞳濾光器於微影系統中,使光瞳濾光器具有開口;以及在照射模式中,以微影系統搭配光罩與光瞳濾光器進行微影曝光製程至目標。如此一來,光瞳濾光器阻擋自光罩反射的部份反射光,以曝光目標。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。

Claims (20)

  1. 一種極紫外線微影方法,包括:將一光罩承載至一微影系統,其中該光罩包括:一反射區;一不透明區;一缺陷修補區,位於該反射區中的一缺陷上;以及一無吸收層區,位於該不透明區中;依據一照射模式,設置一光瞳濾光器於該微影系統中;以及在該照射模式中,以該微影系統搭配該光罩與該光瞳濾光器進行一微影曝光製程至一目標,其中該光瞳濾光器阻擋自該光罩反射的部份反射光,以曝光該目標。
  2. 如申請專利範圍第1項所述之極紫外線微影方法,其中該光罩包括:一反射性的多層,位於一光罩基板上;一吸收層,位於該反射性的多層上,並依一IC圖案圖案化,其中該圖案化的吸收層包含該反射區與該不透明區;以及該缺陷修補區。
  3. 如申請專利範圍第2項所述之極紫外線微影方法,其中該缺陷修補區之形成方法為:沉積一吸收層於該反射區中的該缺陷上;以及移除靠近該缺陷區的部份該吸收層,以形成該無吸收層區於該不透明區中。
  4. 如申請專利範圍第3項所述之極紫外線微影方法,其中該無吸收層區與該缺陷修補區的距離為該缺陷修補區之一寬度,且該寬度為約20nm。
  5. 如申請專利範圍第1項所述之極紫外線微影方法,其中設定該照射器之步驟包括:設定多個可切換的反射鏡使該照射模式具有沿著一軸的一偶極圖案。
  6. 如申請專利範圍第5項所述之極紫外線微影方法,其中該光瞳濾光器設置為具有一開口,且該開口沿著該軸具有一第一寬度。
  7. 如申請專利範圍第6項所述之極紫外線微影方法,其中該第一寬度大於該偶極的直徑,但小於約50%之該照射模式的直徑。
  8. 如申請專利範圍第1項所述之極紫外線微影方法,其中設定該照射器之步驟包括:設定多個可切換的反射鏡使該照射模式具有一四極圖案。
  9. 如申請專利範圍第8項所述之極紫外線微影方法,其中該光瞳濾光器設置為具有兩開口,且該開口互相平行並具有一第二寬度。
  10. 如申請專利範圍第9項所述之極紫外線微影方法,其中該第二寬度大於該四極的直徑,但小於約25%之該照射模式的直徑。
  11. 如申請專利範圍第1項所述之極紫外線微影方法,其中設定該照射器之步驟包括:設定多個可切換的反射鏡使該照射模式具有一碟狀圖案。
  12. 如申請專利範圍第11項所述之極紫外線微影方法,其中該光瞳濾光器設置為具有一開口,且該開口具有一第三寬度。
  13. 如申請專利範圍第12項所述之極紫外線微影方法,其中該第三寬度大於該碟的直徑,但小於約50%之照射直徑。
  14. 一種極紫外線微影方法,包括:承載一光罩至一微影系統;依據一照射模式設置一光瞳圖案於該微影系統中;以及在該照射模式中,以該微影系統搭配該光罩與該光瞳濾光器進行一微影曝光製程至一目標,其中該光瞳濾光器阻擋自該光罩反射的部份反射光,以曝光該目標。
  15. 如申請專利範圍第14項所述之極紫外線微影方法,其中該光瞳濾光器係依據該照射模式的一偶極照射圖案設置以具有一開口,其中該開口的寬度大於該偶極的直徑但小於約50%之該照射模式的直徑。
  16. 如申請專利範圍第14項所述之極紫外線微影方法,其中該光瞳濾光器係依據該照射模式的一四極照射圖案設置以具有兩個互相平行的開口,其中該些開口的寬度大於該四極的直徑但小於約25%之該照射模式的直徑。
  17. 如申請專利範圍第14項所述之極紫外線微影方法,其中該光瞳濾光器係依據該照射模式的一碟狀照射圖案設置以具有一開口,其中該開口的寬度大於該碟的直徑但小於約50%之該照射模式的直徑。
  18. 一種極紫外線微影方法,包括: 承載一光罩至一微影系統,其中該光罩包括一缺陷修補區於一第一區中的一缺陷上,以及一無吸收層區於一第二區中,且該缺陷修補區與該無吸收層區相隔一段距離,且該光罩定義一積體電路圖案於其上;依據一照射模組之一偶極圖案設置一光瞳濾光器於該微影系統中,使該光瞳濾光器具有一開口;以及在具有該偶極圖案之照射模式中,以該微影系統搭配該光罩與該光瞳濾光器進行一微影曝光製程至一目標,其中該光瞳濾光器阻擋自該光罩反射的部份反射光,以曝光該目標。
  19. 如申請專利範圍第18項所述之極紫外線微影方法,其中該缺陷修補區之形成方法為:沉積一吸收層於該反射區中的該缺陷上;以及移除靠近一缺陷區的部份該吸收層,以形成該無吸收層區於一不透明區中,其中該無吸收層區與該缺陷區相隔之距離為該缺陷區之寬度,且該缺陷區之寬度為約20nm。
  20. 如申請專利範圍第18項所述之極紫外線微影方法,其中該開口之寬度大於該偶極的直徑,但小於約50%之該照射模式的直徑。
TW103146489A 2014-03-21 2014-12-31 極紫外線微影方法 TWI570521B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/221,362 US9612531B2 (en) 2014-03-21 2014-03-21 Method of fabricating an integrated circuit with enhanced defect repairability

Publications (2)

Publication Number Publication Date
TW201537308A true TW201537308A (zh) 2015-10-01
TWI570521B TWI570521B (zh) 2017-02-11

Family

ID=54083868

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103146489A TWI570521B (zh) 2014-03-21 2014-12-31 極紫外線微影方法

Country Status (4)

Country Link
US (1) US9612531B2 (zh)
KR (1) KR101690373B1 (zh)
CN (1) CN104914677B (zh)
TW (1) TWI570521B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108073035A (zh) * 2016-11-08 2018-05-25 中芯国际集成电路制造(上海)有限公司 一种光刻掩膜版和光刻掩膜版缺陷的修复方法
WO2018135467A1 (ja) * 2017-01-17 2018-07-26 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
US20190056651A1 (en) * 2017-08-21 2019-02-21 Globalfoundries Inc. Euv patterning using photomask substrate topography
CN112824971A (zh) * 2019-11-21 2021-05-21 中芯国际集成电路制造(上海)有限公司 目标版图和掩膜版版图的修正方法及半导体结构

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235434B1 (en) 1998-12-08 2001-05-22 Euv Llc Method for mask repair using defect compensation
CA2377208A1 (en) * 2001-10-09 2003-04-09 Teraxion Inc. Method and apparatus for recording an optical grating in a photosensitive medium
JP2005315649A (ja) * 2004-04-27 2005-11-10 Sumitomo Heavy Ind Ltd 検出装置及びステージ装置
JP2008533728A (ja) * 2005-03-15 2008-08-21 カール・ツァイス・エスエムティー・アーゲー 投影露光方法及びそのための投影露光システム
US20070229944A1 (en) * 2006-03-31 2007-10-04 Lee Sang H Reducing extreme ultraviolet flare in lithographic projection optics
DE102007028172B3 (de) * 2007-06-20 2008-12-11 Advanced Mask Technology Center Gmbh & Co. Kg EUV-Maske und Verfahren zur Reparatur einer EUV-Maske
JP5155017B2 (ja) * 2008-05-29 2013-02-27 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US9075934B2 (en) * 2011-09-24 2015-07-07 Globalfoundries Inc. Reticle defect correction by second exposure
US9261793B2 (en) 2012-09-14 2016-02-16 Globalfoundries Inc. Image optimization using pupil filters in projecting printing systems with fixed or restricted illumination angular distribution
US9442384B2 (en) 2013-03-13 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask

Also Published As

Publication number Publication date
CN104914677B (zh) 2018-03-09
CN104914677A (zh) 2015-09-16
KR20150110276A (ko) 2015-10-02
US9612531B2 (en) 2017-04-04
TWI570521B (zh) 2017-02-11
KR101690373B1 (ko) 2016-12-27
US20150268561A1 (en) 2015-09-24

Similar Documents

Publication Publication Date Title
KR101751576B1 (ko) 극자외선 리소그래피 공정, 및 쉐도우 현상이 감소되고 강도가 향상된 마스크
US11086227B2 (en) Method to mitigate defect printability for ID pattern
KR101485669B1 (ko) 극자외선 리소그래피 방법
US8785084B2 (en) Method for mask fabrication and repair
US9442384B2 (en) Extreme ultraviolet lithography process and mask
US10353285B2 (en) Pellicle structures and methods of fabricating thereof
US9529250B2 (en) EUV mask with ITO absorber to suppress out of band radiation
US11073755B2 (en) Mask with multilayer structure and manufacturing method by using the same
TWI570521B (zh) 極紫外線微影方法
US9244366B2 (en) Extreme ultraviolet lithography process and mask
KR101679476B1 (ko) Euv 리소그래피 프로세스 및 마스크
US9448491B2 (en) Extreme ultraviolet lithography process and mask
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
CN108121152B (zh) 微影光掩模