TWI566312B - 用於使用電容性感測器置放基材的設備和方法 - Google Patents

用於使用電容性感測器置放基材的設備和方法 Download PDF

Info

Publication number
TWI566312B
TWI566312B TW101117614A TW101117614A TWI566312B TW I566312 B TWI566312 B TW I566312B TW 101117614 A TW101117614 A TW 101117614A TW 101117614 A TW101117614 A TW 101117614A TW I566312 B TWI566312 B TW I566312B
Authority
TW
Taiwan
Prior art keywords
substrate
capacitive sensor
capacitive
sensor
disposed
Prior art date
Application number
TW101117614A
Other languages
English (en)
Other versions
TW201304036A (zh
Inventor
柯莫布萊克
拉尼許喬瑟夫M
聖契茲艾羅C
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201304036A publication Critical patent/TW201304036A/zh
Application granted granted Critical
Publication of TWI566312B publication Critical patent/TWI566312B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)

Description

用於使用電容性感測器置放基材的設備和方法
本發明之實施例大體而言係關於用於處理基材之設備及方法。更特定言之,本發明之實施例提供用於使用電容性感測器将基材置放於處理腔室中之設備及方法。
在半導體處理期間,因為對清潔度之嚴格要求及微粒污染之敏感性,所以通常使用非接觸量測方法以偵測及控制被處理基材之位置。在非接觸基材位置偵測及控制中一般使用光學感測器。
然而,歸因於用以建構光學感測器之材料,無法將光學感測器放置於處理腔室內部。因此,光學感測器通常經由穿過腔室壁形成之光學視窗偵測處理腔室內基材之位置。光學視窗由於處理腔室內部處理氣體之沉積變得模糊,導致光學感測器之量測產生偏差,且因缺乏對該光學視窗之頻繁清洗,該量測失去精確性。
用於偵測基材位置之光學感測器通常置放於基材上方及/或下方。一或更多個處理相關腔室部件,例如基座、噴淋頭、加熱燈或基材加熱台(susceptor),通常置放於被處理基材上方或下方。因此,在處理腔室中找到放置光學視窗之位置亦具有挑戰性。
因此,需要用於偵測及控制處理腔室中之基材位置之改良的非接觸量測設備及方法。
本發明之實施例通常提供用於處理基材之設備及方法。更特定言之,本發明之實施例提供用於使用電容性感測器将基材置放於處理腔室中之設備及方法。
本發明之一個實施例提供用於處理基材之設備。設備包括:界定內容積之腔室主體,安置於內容積中之基材置放組件及安置於內容積中之第一電容性感測器及第二電容性感測器。基材置放組件能夠至少在水平面內移動基材。第一電容性感測器經置放以在第一角位置處偵測基材之邊緣的位置。第二電容性感測器經置放以偵測基材之垂直位置。
本發明之另一實施例提供用於處理基材之設備。設備包括界定內容積之腔室主體,及基材置放組件,該基材置放組件包含主體,該主體具有上表面及形成於上表面上之複數個埠,該複數個埠經配置以輸送複數個流體流動以將基材置放於上表面上方。設備進一步包括第一、第二及第三電容性感測器,該等電容性感測器安置於主體上表面之上。第一電容性感測器及第三電容性感測器安置於沿著圓周的兩個位置上。兩個位置相距約90度。第二電容性感測器安置於圓周內部之區域中。第一電容性感測器及第三電容性感測器經配置以量測基材之平面位置。第二電容性感測器經配置以量測基材之垂直位置。
本發明之另一實施例提供用於将基材置放於處理腔室 中之方法。方法包括以下步驟:藉由安置於處理腔室之內容積中之基材置放組件支撐基材。處理腔室包括安置於內容積中之第一電容性感測器及安置於內容積中之第二電容性感測器。第一電容性感測器之感測表面指向第一角位置處之基材的邊緣。第二電容性感測器之感測區域指向基材之中間區域。方法進一步包括以下步驟:使用來自第二電容性感測器之量測決定基材之垂直位置,且自第一電容性感測器之量測及基材之垂直位置決定第一角位置處之基材的邊緣之位置。
本發明之實施例大體而言係關於用於處理基材之方法及設備。特定言之,本發明之實施例提供用於使用電容性感測器将基材置放於處理腔室中之設備及方法。
第1A圖為根據本發明之一個實施例的處理腔室100之示意性截面側視圖。處理腔室100經配置以當使用自形成於基材置放組件中之埠輸送的流體浮動基材時,對基材執行快速熱處理。處理腔室100包括用於偵測及控制基材之位置的一或更多個電容性感測器。
處理腔室100包括:側壁102、耦接至側壁102之腔室底部104及安置於側壁102上方之石英視窗106。側壁102、腔室底部104及石英視窗106界定用於在內容積108中處理基材110之內容積108。加熱組件112安置於石英視窗106上方,且加熱組件112經配置以經由 石英視窗106將熱能引導至內容積108。加熱組件112包括複數個加熱元件114。在一個實施例中,複數個加熱元件114為複數個燈。
流量閥門116可穿過側壁102形成,用於穿過側壁102移送基材。處理腔室100耦接至氣源118,該氣源118經配置以在處理期間將一或更多個處理氣體提供至內容積108。真空泵120可耦接至處理腔室100,用於泵抽空內容積108。
第1B圖為已移除加熱組件112的第1A圖之處理腔室100之示意性俯視圖。
基材置放組件122安置於內容積108內,且基材置放組件122經配置以在處理期間支撐、置放及/或旋轉基材110。特定言之,基材置放組件122為非接觸基材支撐裝置,該裝置使用流體流動以支撐、置放及/或旋轉基材110。
在一個實施例中,基材置放組件122包括安置於腔室底部104上方之主體124。複數個埠126形成於主體124的上表面128上。第1B圖圖示根據本發明之一個實施例之複數個埠126之示例性排列。
複數個埠126經由形成於主體124內之複數個通道130連接至流體輸送系統132。在一個實施例中,流體輸送系統132經配置以輸送一或更多個氣體,諸如氮氣、氦氣、氬氣、氪氣、氖氣、氫氣或該等氣體之組合。或者,流體輸送系統132可經配置以輸送諸如水之液體流 動。
可加熱主體124的上表面128。在一個實施例中,可藉由加熱輸送至複數個埠126之流體加熱上表面128。在替代實施例中,一或更多個加熱器152可嵌入主體124中以加熱上表面128。
複數個埠126經配置以向基材110的下表面134引導複數個流體流動,以使用當流體流動衝擊基材110之下表面134時產生的摩擦及移送的動量來支撐及移動基材110。基材110係藉由控制自複數個埠126輸送的流體流動之特性(諸如該複數個流體流動之速率及方向)來支撐、置放及/或旋轉。由每一流體流動賦予的力可經組合以使基材110得以按需移動及置放。
使用流體流動的示例性基材置放組件之詳細描述可發現於標題為「Apparatus and Method for Supporting,Positioning and Rotating a Substrate in a Processing Chamber(用於支撐、置放及旋轉處理腔室中之基材之設備及方法)」的美國專利公開案第2008/0280453號中。
處理腔室100可包括複數個熱感測器148,該複數個熱感測器148經配置以量測處於不同位置處的基材110之溫度。複數個熱感測器148可安置於穿過腔室底部104形成的開口150中。
處理腔室100亦包括兩個或兩個以上感測器138、140、142,該等感測器經配置以偵測處理腔室100中的基材110之位置。第1A圖至第1B圖圖示三個感測器 138、140、142,該等感測器安置於處理腔室100中。然而,可使用感測器之其他排列。感測器138、140、142均連接至控制器136。控制器136進一步連接至流體輸送系統132。感測器138、140、142、控制器136及流體輸送系統132形成閉環控制系統以控制基材110之位置。控制器136根據自感測器138、140、142獲得的量測決定基材110之位置及狀態,諸如高度、水平位置及旋轉速率。控制器136然後將控制訊號發送至流體輸送系統132以調整自複數個埠126獲得的流體流動之特性,從而調整基材110之位置及/或狀態以達到/維持目標位置/狀態。舉例而言,在快速熱處理期間,基材110之目標位置可經設定以使得在處理期間藉由加熱組件112均勻地加熱基材110。
在一個實施例中,當基材110接近處理位置時,兩個或兩個以上感測器138、140、142中之每一者為指向基材110之一部分的電容性感測器。每一感測器138、140、142經配置以偵測基材110之透視部分的相對位置。
在一個實施例中,感測器138及感測器140經配置以分別沿著x軸及y軸偵測基材110之外圍邊緣的水平位置,且感測器142經配置以偵測基材110之垂直位置。當基材110處於如第1B圖中以虛線圓所圖示之目標位置110a時,感測器138及感測器140指向基材110之邊緣,以使得感測器138及感測器140可偵測基材110之外圍邊緣與目標位置110a之間的距離。在一個實施例 中,感測器138及感測器140置放於沿著圓周的兩個位置上,該圓周實質上與基材110的大小相同。當基材110處於目標位置110a時,感測器142指向基材110之中間區域。
在一個實施例中,兩個或兩個以上感測器138、140、142安置於相同水平面中。舉例而言,兩個或兩個以上感測器138、140、142置放於主體124之上表面128中。感測器138、140沿著邊緣144安裝於主體124上。感測器138、140可沿著邊緣144相距約90度安置,以使得感測器138可偵測沿著x軸的基材110之位置且感測器140可偵測沿著y軸的基材110之位置。
在一個實施例中,感測器138及感測器140中之每一者能夠偵測形成於基材110之邊緣上的凹口160。因此,當基材110正在旋轉時,基材110之轉速可自訊號之頻率決定,該等訊號指示出由感測器138、140中之任一者偵測的凹口160。此外,基材110之旋轉方向可使用訊號決定,該等訊號指示出自感測器138、140兩者共同偵測的凹口160。
感測器142在中間部分146中安裝於主體124上,以使得當基材110接近目標位置110a時感測器142之量測不受基材110之邊緣的影響。感測器142可用以決定基材110之高度或垂直位置。如下文藉由第2A圖至第2F圖所述,感測器142亦可用以增加自感測器138、140之量測的精確性,該等感測器138、140指向基材110 之邊緣。
處理腔室100可僅有一個感測器。舉例而言,處理腔室可僅有諸如感測器142之一個感測器,該感測器經安置及/或經調適以偵測基材之垂直位置或Z軸位置。處理腔室100可同樣僅有諸如感測器138及感測器140中之任一者之感測器,該感測器經安置及/或經調適以偵測基材之水平位置、x軸位置或y軸位置。因此,處理腔室100可具有一或更多個感測器,該一或更多個感測器經調適及/或經安置以偵測基材位置。
處理腔室100可包括安置於上表面128上之可選視窗156,該可選視窗156覆蓋感測器138、140、142中之一或更多者以防止於該等感測器上沉積任何材料。可為石英之視窗156可具有開口158以容納來自埠126之流體流動。可為沉積屏蔽的視窗156可對溫度指示性輻射透明,該溫度指示性輻射可由基材144放射且由溫度感測器148感測。或者,視窗156可具有開口以允許藉由溫度感測器148直接觀察基材144。因此,視窗156可部分地覆蓋上表面128(例如僅覆蓋上表面128之周邊部分)。若沉積之材料降低透明度,則可按需替換視窗156。
第2A圖至第2F圖根據本發明之實施例示意性地圖示用於使用電容性感測器202偵測基材之位置的方法。如感測器138、140、142中之任何一者,電容性感測器202可放置於處理腔室100中。
電容性感測器202包括感測器電極208、圍繞感測器 電極208之保護電極204及絕緣體元件206,該絕緣體元件206將感測器電極208與保護電極204電性分離。引線210及引線212分別地耦接至保護電極204及感測器電極208。感測器電極208之感測表面218經曝露且經配置以面向被測量之主體,諸如基材216。電容性感測器202經配置以決定物體的一或更多個特性,該物體置放在自感測表面218凸起的感測區域228中。在一個實施例中,感測表面218為圓形的且平面的,感測器電極208及保護電極204同中心地排列,且感測區域228界定在錐形容積內。
在操作期間,經由引線210將電壓施加於保護電極204。充電保護電極204之電磁場214自保護電極204行進至感測器電極208之感測表面218。因此,可經由引線212於感測器電極208上偵測電壓。感測表面218指向被量測之物體,或被量測之物體置放於感測區域228內部。被量測之物體的距離及位置將影響感測器電極208上之電壓。
此外,電容性感測器202可用以量測基材之其他特性,諸如基材之質量、基材之厚度或沉積於基材上的薄膜之厚度。舉例而言,當將基材底部以已知距離安置於固定平面中時,電容性感測器202可用以量測自電容性感測器至基材之上表面的距離。已量測距離與已知距離之間的差異表示基材之厚度。
在本發明之一個實施例中,電容性感測器202用以量 測基材216與電容性感測器202本身之間的距離。如第2B圖及第2C圖中所圖示,電容性感測器202用於量測基材216與電容性感測器202之感測表面218之間的距離220。在一個實施例中,在量測期間,感測表面218指向基材216之中間部分,且電容性感測器202經置放以使得感測表面218實質上與基材216平行。因為感測表面218指向基材216之中間部分,所以基材216覆蓋電容性感測器202之整個感測區域228。當將相同電壓施加於保護電極204時,感測器電極208之電壓隨著距離220中的變化而改變,因為基材216干擾電磁場214,改變了感測器電極208與保護電極204之間的電容。舉例而言,第1B圖之感測器142安置於一個位置中,以用於量測基材相距如第2B圖至第2C圖所圖示的感測器142之距離。
在本發明之另一實施例中,藉由置放電容器感測器202,可使用電容性感測器202決定基材216之邊緣222的位置,以使得基材216之邊緣222在電容性感測器202之感測區域228中。如第2D圖至第2F圖中所圖示,當邊緣222位於不同的位置處時,基材216以不同程度覆蓋感測區域228。可將電容性感測器202之中心軸224用作描述邊緣222之位置的參考。在第2D圖中,將邊緣222與中心軸224對準且距離226為零。在第2E圖中,邊緣222中心軸超過中心軸224且距離226為負值。在第2F圖中,邊緣222遠離中心軸224且距離226為 正值。基材216與感測區域228之間的重疊區域之大小對應於距離226之值。當距離220及施加於保護電極204之電壓位準保持穩定時,感測器電極208之電壓對應於基材216與感測區域228之間的重疊區域之大小及距離226。因此,在本發明之一個實施例中,當距離220及施加於保護電極204之電壓位準保持穩定時,使用感測電極204之電壓位準決定距離226。
根據本發明之實施例,可以組合之方式使用兩個或兩個以上電容性感測器以量測基材之特性。
在一個實施例中,當量測基材216之邊緣222的位置時,額外電容性感測器202可經置放以量測距離220。距離220可用於校準量測邊緣222之位置的電容性感測器202,因為距離220影響量測邊緣222之位置的電容性感測器202之感測器電極208之電壓位準。舉例而言,第1A圖至第1B圖之感測器142可用以校準感測器138及感測器140。
在另一實施例中,兩個電容性感測器可經置放以將該等電容性感測器的感測區域與基材之邊緣上的兩個點對準以決定平面內基材之位置。兩個電容性感測器可指向兩個點,沿著基材之邊緣形成一個接近90度的角。兩個電容性感測器可用以決定在與感測表面218平行的平面內的基材216之位置。舉例而言,第1B圖之感測器138、140可一起使用以獲得基材在兩個坐標中之位置。
第3圖為圖示當電容性感測器指向如第2B圖至第2C 圖所圖示的基材之中間區域時,電容性感測器訊號及電容性感測器與基材之間的距離間的關係之示例性圖式。在第3圖中,x軸表示電容性感測器(諸如電容性感測器202)之感測表面與安置於電容性感測器上方之基材之間的以毫米計量之垂直距離z。y軸表示電容性感測器之感測器電極之以伏特計量的已量測電壓。當基材位於各種垂直距離時,曲線302反映來自電容性感測器的已量測電壓。如曲線302所圖示的,已量測電壓随大於約20毫米的範圍內之垂直距離逐漸改變。因此,本發明之實施例可具有至少約20毫米之量測範圍。
第4圖為圖示電容性感測器訊號及如第2D圖至第2F圖所圖示的基材之邊緣與電容性感測器的中心軸之間的距離間的關係之示例性圖式。在第4圖中,x軸表示基材之邊緣與電容性感測器之中心軸之間的以毫米計量之邊緣距離,該電容性感測器諸如第2D圖至第2F圖中之電容性感測器202。y軸表示電容性感測器之感測器電極之以伏特計量的已量測電壓。第4圖中的每一曲線反映當基材之邊緣位於以固定距離z遠離電容性感測器的平面內之不同位置時,來自電容性感測器的已量測電壓。如第4圖中所圖示的,當距離z為已知時,基材之邊緣的位置可根據已量測電壓決定。
重新參閱第1A圖至第1B圖,處理腔室100包括至少一個電容性感測器142,該至少一個電容性感測器142位於基材110之下方以使得電容性感測器142之整個感 測區域始終由基材110覆蓋,因此使當基材浮動時,電容性感測器142能夠量測基材110之浮動高度154(z方向)。或者,電容性感測器142可置放於基材110上方,例如置放於石英視窗106上。
處理腔室100包括至少兩個其他電容性感測器138、140,該至少兩個電容性感測器以相同直徑放置於基材置放組件122上,且該至少兩個電容性感測器作為「x」感測器及「y」感測器彼此相距約90度。電容性感測器138、140量測基材110之邊緣144相對於電容性感測器138、140之中心的標稱位置。舉例而言,如第1B圖中所圖示的,當基材110以x軸方向(向左)移動時,電容性感測器138之感測區域將「經歷」更多基材110且因此電容性感測器138之電容(電壓差)增加。因為在x軸方向及垂直方向兩者的邊緣移動均可改變電容性感測器138之電容,所以可藉由減去電容性感測器之量測中的任何電容改變精確獲得沿著x軸方向的基材110之位置,該等任何電容改變由沿著垂直方向的基材110之移動引起。
因此,僅使用三個電容性感測器,可獲得根據本發明之實施例的基材110的浮動高度154及沿著x軸方向及y軸方向之位置。根據本發明之實施例的電容性感測器能夠耐受真空環境及至少約400℃或大於約400℃(例如高達約1000℃)之溫度。在一個實施例中,電容性感測器138、140、142、202e可耐受真空環境及高溫之材料 製得。在一個實施例中,電容性感測器138、140、142、202係由氧化鋁及INCONEL®合金製得。在另一實施例中,電容性感測器138、140、142、202係由氧化鋁及HAYNES® 230®合金製得。適用於感測器138、140、142、202之示例性電容性感測器可為由Capacitec公司製造的電容性感測器。
第5圖為圖示當在第1A圖至第1B圖之處理腔室100中浮動及旋轉時,沿著x軸方向及y軸方向的基材110之位置之量測的示例性圖式。在第5圖中,x軸表示以秒計量的時間,且y軸表示基材之邊緣與電容性感測器138或電容性感測器140之中心軸之間的以毫米計量的邊緣距離。曲線502表示自感測器138之量測獲得的沿著x軸方向的基材110之邊緣位置,且曲線504表示自感測器140之量測獲得的沿著y軸方向的基材110之邊緣位置。曲線502、504中的峰值506及峰值508為當凹口160超過感測器138、140時獲得的量測。在一個實施例中,基材110之旋轉速率可自峰值506或峰值508之頻率獲得。在另一實施例中,基材110之旋轉方向可自峰值506、508之序列獲得。
在獲得諸如基材110之平面位置、旋轉速率、高度之一或更多個特性之後,該等特性可藉由控制器136調整及控制。
第6圖為根據本發明之一個實施例之用於控制基材的位置之方法600之流程圖。特定言之,方法600經配置 以使用根據本發明之實施例的電容性感測器置放及維持基材於所要位置中。
在方框610中,基材安置於諸如第1A圖至第1B圖之處理腔室100的處理腔室中之所要位置附近。安置基材可包括藉由提供流向基材之流體流動来浮動基材以支撐及/或旋轉基材。處理腔室可包括諸如感測器142之第一電容性感測器,該第一電容性感測器指向基材之中間區域;及諸如感測器138、140之第二電容性感測器及第三電容性感測器,該第二電容性感測器及第三電容性感測器指向基材之邊緣區域。
在方框620中,使用在處理腔室中之第一、第二及第三電容性感測器量測基材。在一個實施例中,可一或更多次地或週期性地量測每一電容性感測器之感測電極之電壓位準。
在方框630中,根據接收自第一電容性感測器的電壓決定基材之垂直位置。在一個實施例中,使用檢查表可決定基材之垂直位置,該檢查表由已量測電壓與距離之間的關係產生,該關係類似於第3圖中所圖示的關係。
在方框640中,根據在方框630中決定的垂直位置及接收自第二電容性感測器及第三電容性感測器的電壓決定基材之平面位置。在一個實施例中,使用檢查表可決定沿著x軸方向及/或y軸方向的平面位置,該檢查表由高度、電壓與距離之間的關係產生,該關係類似於第4圖中所圖示的關係。
在方框650中,根據已決定的垂直及/或平面位置,朝向所要位置移動基材。方框620、630、640及650可重複地執行直到基材實質上接近於所要位置。
本發明之實施例提供用於使用類似於電容性感測器202之一或更多個電容性感測器,量測諸如位置、轉速、方向或水平度之物體的特性之方法。電容性感測器202可用以量測對電磁場不透明的任何物體之特性,該物體可為(例如)半導體基材、多晶矽基材、鎳塗覆半導體基材或具有形成於該基材上之裝置層之基材。除在處理期間控制基材之位置之外,可使用本發明之實施例量測用於定心、測量或其他功能之基材。
第7圖為處理腔室700之示意性截面圖,該處理腔室700具有用於量測及控制被處理基材之水平度的電容性感測器。處理腔室700為快速熱處理腔室。
處理腔室700包括界定處理容積704之腔室主體702。視窗706形成於腔室主體702之底側。視窗706可由石英形成。輻射能源708安置於視窗706下方。輻射能源708經配置以將輻射能引導至處理容積704。反射板710安置於處理容積704內的腔室主體702之上壁712上。複數個熱感測器724可穿過反射板材710安置。
升舉器組件720經配置以垂直地移動及旋轉安置於處理容積704中之轉子714。支撐環716安置於轉子714上。邊緣環718由支撐環716支撐。基材722安置於邊緣環718上。邊緣環718及基材722置放於輻射能源708 上方以使得輻射能源708可加熱基材722及邊緣環718兩者。
處理腔室700進一步包括安置於反射板710上之兩個或兩個以上電容性感測器726。兩個或兩個以上電容性感測器726指向基材722且經配置以量測基材722之位置。兩個或兩個以上電容性感測器726可置放在不同的徑向位置上,且兩個或兩個以上電容性感測器726之量測可用以決定基材722之水平度。
第8圖為根據本發明之一個實施例之具有電容性感測器之化學氣相沉積(chemical vapor deposition;CVD)腔室800之示意性截面圖。
CVD腔室800包括界定反應容積804之腔室主體802。加熱台806安置於反應容積804中。加熱台806可含有電阻加熱器808及足以支撐基材812之表面區域810。加熱台806經調適以支撐、置放及/或旋轉安置於加熱台806上的基材812。加熱台806含有複數個埠818,該複數個埠818與流體輸送系統820連通。
在處理期間,處理氣體經由位於加熱台806上方之氣體分配埠814及噴淋頭816進入反應容積804。
CVD腔室800亦包括感測組件822。感測組件822包括兩個或兩個以上電容性感測器828,該兩個或兩個以上電容性感測器828經配置以偵測基材812之位置。在一個實施例中,兩個或兩個以上電容性感測器828可安裝於噴淋頭816上。兩個或兩個以上電容性感測器828 可以類似於處理腔室100中之電容性感測器138、140、142的方式排列,以偵測基材812之垂直位置及平面位置。
流體輸送系統820及感測組件822耦接至控制器824。控制器824與流體輸送系統820及感測組件822一起經配置以在處理期間置放及/或旋轉基材812。
本發明之實施例提供用於監視處理腔室中之基材的一或更多個特性之設備及方法。本發明之實施例具有若干優點。
首先,本發明之實施例能夠在諸如CVD處理、快速熱處理之高溫處理期間量測處理腔室中的基材。特定言之,本發明之實施例可藉由僅添加一或更多個電容性感測器至現有處理腔室與現有處理腔室一起使用。舉例而言,一或更多個電容性感測器可安裝於諸如加熱基座、非接觸浮動加熱台之基材支撐件中。使用一或更多個電容性感測器,本發明之實施例可精確地控制基材之特性,諸如位置、高度、旋轉速率,以改良處理均勻度。
其次,由於本發明之實施例在處理腔室內部使用電容性感測器,因此避免由諸如光學感測器之傳統感測器引起的結構複雜性。此外,本發明之實施例避免對感測器使用視窗或屏蔽,因此消除了在處理之間清洗視窗或屏蔽之需求且改良了腔室效率。
另外,藉由併入電容性感測器,本發明之實施例改良感測系統之敏感性及精確性。
此外,本發明之實施例可用以量測及監視對電磁場不透明的任何基材。
雖然使用快速熱處理(Rapid Thermal Process;RTP)腔室及電漿輔助化學氣相沉積(Plasma Enhancement CVD;PECVD)腔室描述本發明之實施例,但是本發明之實施例可用於其中需要非接觸基材位置量測及/或控制之任何合適的腔室。舉例而言,本發明之實施例可用於化學氣相沉積腔室、原子層沉積腔室、具有閃光燈之熱處理腔室、雷射退火腔室、物理氣相沉積腔室、離子佈植腔室、電漿氧化腔室、對準腔室或負載鎖定腔室。
儘管前述內容係針對本發明之實施例,但是可設計本發明之其他及進一步實施例而不偏離本發明之基本範疇,且本發明之範疇由以下申請專利範圍決定。
100‧‧‧處理腔室
102‧‧‧側壁
104‧‧‧腔室底部
106‧‧‧石英視窗
108‧‧‧內容積
110‧‧‧基材
110a‧‧‧目標位置
112‧‧‧加熱組件
114‧‧‧加熱元件
116‧‧‧流量閥門
118‧‧‧氣源
120‧‧‧真空泵
122‧‧‧基材置放組件
124‧‧‧主體
126‧‧‧埠
128‧‧‧上表面
130‧‧‧通道
132‧‧‧流體輸送系統
134‧‧‧下表面
136‧‧‧控制器
138‧‧‧電容性感測器
140‧‧‧電容性感測器
142‧‧‧電容性感測器
144‧‧‧邊緣
146‧‧‧中間部分
148‧‧‧熱感測器
150‧‧‧開口
152‧‧‧加熱器
154‧‧‧浮動高度
156‧‧‧視窗
158‧‧‧開口
160‧‧‧凹口
202‧‧‧電容性感測器
204‧‧‧保護電極
206‧‧‧絕緣體元件
208‧‧‧感測器電極
210‧‧‧引線
212‧‧‧引線
214‧‧‧電磁場
216‧‧‧基材
218‧‧‧感測表面
220‧‧‧距離
222‧‧‧邊緣
224‧‧‧中心軸
226‧‧‧距離
228‧‧‧感測區域
302‧‧‧曲線
502‧‧‧曲線
504‧‧‧曲線
506‧‧‧峰值
508‧‧‧峰值
600‧‧‧方法
610‧‧‧方框
620‧‧‧方框
630‧‧‧方框
640‧‧‧方框
650‧‧‧方框
700‧‧‧處理腔室
702‧‧‧腔室主體
704‧‧‧處理容積
706‧‧‧視窗
708‧‧‧輻射能源
710‧‧‧反射板
712‧‧‧上壁
714‧‧‧轉子
716‧‧‧支撐環
718‧‧‧邊緣環
720‧‧‧升舉器組件
722‧‧‧基材
724‧‧‧熱感測器
726‧‧‧電容性感測器
800‧‧‧腔室
802‧‧‧腔室主體
804‧‧‧反應容積
806‧‧‧加熱台
808‧‧‧電阻加熱器
810‧‧‧表面區域
812‧‧‧基材
814‧‧‧氣體分配埠
816‧‧‧噴淋頭
818‧‧‧埠
820‧‧‧流體輸送系統
822‧‧‧感測組件
824‧‧‧控制器
828‧‧‧電容性感測器
因此,可詳細地理解描述本發明上述特徵之方式,上文簡要概述之本發明之更特定描述可藉由參考實施例來完成,且該等實施例中之某些實施例圖示於隨附圖式中。然而,應注意,隨附圖式僅圖示本發明之典型實施例,且因此該等隨附圖式不應視為限制本發明之範疇,因為本發明可允許其他等效之實施例。
第1A圖為根據本發明之一個實施例的處理腔室之示意性截面側視圖。
第1B圖為已移除燈組件的第1A圖之處理腔室之示意 性俯視圖。
第2A圖至第2F圖示意性地圖示根據本發明之實施例之用於使用電容性感測器偵測基材之位置的方法。
第3圖為根據本發明之一個實施例圖示當電容性感測器指向基材之中間區域時,在電容性感測器訊號及電容性感測器與基材之間的距離間的關係之示例性圖式。
第4圖為根據本發明之一個實施例圖示電容性感測器訊號及基材之邊緣與電容性感測器之中心軸之間的距離間的關係之示例性圖式。
第5圖為根據本發明之一個實施例圖示沿著x軸方向及y軸方向的基材位置之量測之示例性圖式。
第6圖為根據本發明之一個實施例之用於控制基材位置之方法的流程圖。
第7圖為處理腔室之示意性截面圖,該處理腔室具有用於量測及控制被處理基材之水平度的電容性感測器。
第8圖為根據本發明之一個實施例之具有電容性感測器之處理腔室之示意性截面圖。
為促進理解,已儘可能使用相同元件符號表示在諸圖中共用的相同元件。應預期,揭示於一個實施例中之彼等元件可無需特定敘述而有益地使用於其他實施例。
100‧‧‧處理腔室
102‧‧‧側壁
104‧‧‧腔室底部
106‧‧‧石英視窗
108‧‧‧內容積
110‧‧‧基材
112‧‧‧加熱組件
114‧‧‧加熱元件
116‧‧‧流量閥門
118‧‧‧氣源
120‧‧‧真空泵
122‧‧‧基材置放組件
124‧‧‧主體
126‧‧‧埠
128‧‧‧上表面
130‧‧‧通道
132‧‧‧流體輸送系統
134‧‧‧下表面
136‧‧‧控制器
138、142‧‧‧電容性感測器
144‧‧‧邊緣
148‧‧‧熱感測器
150、158‧‧‧開口
152‧‧‧加熱器
154‧‧‧浮動高度
156‧‧‧視窗

Claims (15)

  1. 一種用於處理一基材之設備,包含:一腔室主體,該腔室主體界定一內容積;一非接觸基材置放組件,該非接觸基材置放組件安置於該內容積中,其中該非接觸基材置放組件能夠至少在一水平面內移動一基材;一第一電容性感測器,該第一電容性感測器安置於該非接觸基材置放組件之一上表面中,其中該第一電容性感測器經置放以量測在該水平面中一第一角位置處的該基材之一邊緣的一位置與在該水平面中該第一角位置處的一第一目標位置間之一距離;一第二電容性感測器,該第二電容性感測器置放於該上表面中,其中該第二電容性感測器經置放以量測在該水平面中一第二角位置處的該基材之該邊緣的一位置與在該水平面中該第二角位置處的一第二目標位置間之一距離;一第三電容性感測器,該第三電容性感測器置放於該上表面中,其中該第三電容性感測器經置放以量測該基材之一垂直位置與該第三電容性感測器間之一距離,其中該第一、第二及第三電容性感測器經配置以運作於400℃與1000℃間之溫度;複數個埠,該複數個埠形成穿過該上表面,該複數個埠經配置以藉由將流體流動輸送至該基材而在該上表 面上方支撐及旋轉該基材,其中該第一電容性感測器沿著一第一半徑對準該複數個埠的一第一埠,該第一半徑由該基材置放組件的該上表面的一中心延伸;以及一控制器,該控制器耦接至該第一、第二及第三電容性感測器,其中該控制器經配置以自該第三電容性感測器之一量測來決定該基材之一垂直位置,且藉由使用該第一電容性感測器之一量測與該基材之該垂直位置來決定在該第一角位置處之該基材的該邊緣離該第一目標位置之一距離。
  2. 如請求項1所述之設備,其中該第一角位置及該第二角位置相距90度。
  3. 如請求項1所述之設備,其中該第一電容性感測器及該第二電容性感測器中之每一者包括一感測表面,且該等感測表面置放在與該基材置放組件之該上表面相同的平面中。
  4. 如請求項1所述之設備,其中該基材置放組件包含嵌入該基材置放組件中之一加熱器。
  5. 如請求項4所述之設備,進一步包含一加熱組件,該加熱組件安置於該腔室主體外部,該加熱組件經配置以將熱能引導至該內容積。
  6. 如請求項1所述之設備,進一步包含一視窗,該視窗安置於該內容積中且覆蓋該第一及第二電容性感測器。
  7. 一種用於處理一基材之設備,包含:一腔室主體,該腔室主體界定一內容積;一非接觸基材置放組件,該非接觸基材置放組件包含一主體,該主體具有一上表面及形成於該上表面上的複數個埠,該複數個埠經配置輸送複數個流體流動以將一基材置放於該上表面上方;第一、第二及第三電容性感測器,經配置以運作於400℃與1000℃間之溫度,該第一、第二及第三電容性感測器安置於該非接觸基材置放組件之該主體之該上表面上,其中該第一及第三電容性感測器安置於沿著一圓周的兩個位置上,該兩個位置相距90度,且該第二電容性感測器安置於該圓周內部之一區域中,該第一及第三電容性感測器經置放以量測該基材之一水平面位置,且該第二電容性感測器經置放以量測該基材之一垂直位置;以及一控制器,該控制器耦接至該第一、第二及第三電容性感測器,其中該控制器經配置以自該第二電容性感測器之一量測來決定該基材之該垂直位置,且藉由使用該第一電容性感測器之一量測與該基材之該垂直位置來決定該基材的一邊緣離一目標位置之一距離。
  8. 如請求項7所述之設備,其中該非接觸基材置放組件進一步包含嵌入該主體中之一加熱器。
  9. 如請求項7所述之設備,進一步包含一沉積屏蔽,該沉積屏蔽覆蓋該第一、第二及第三電容性感測器。
  10. 一種用於將一基材置放於一處理腔室中之方法,包含以下步驟:藉由一非接觸基材置放組件支撐一基材,該非接觸基材置放組件安置於該處理腔室之一內容積中,其中該處理腔室包含:一第一電容性感測器,該第一電容性感測器安置於該非接觸基材置放組件之一上表面中,其中該第一電容性感測器之一感測表面指向在一水平面中一第一角位置處之該基材之一邊緣;以及一第二電容性感測器,該第二電容性感測器置放於該上表面中,其中該第二電容性感測器經置放以量測在該水平面中一第二角位置處之該基材之該邊緣的一位置與在該水平面中該第二角位置處之一第二目標位置間之一距離;一第三電容性感測器,該第三電容性感測器置放於該上表面中,其中該第三電容性感測器經置放以量測該基材之一垂直位置與該第三電容性感測 器間之一距離,其中該第一、第二及第三電容性感測器經配置以運作於400℃與1000℃間之溫度;以及使用來自該第三電容性感測器之一量測決定該基材之一垂直位置;以及自該第一電容性感測器之一量測及該基材之該垂直位置決定在該第一角位置處之該基材的該邊緣之一位置。
  11. 如請求項10所述之方法,其中該第一角位置及該第二角位置相距90度。
  12. 如請求項10所述之方法,進一步包含以下步驟:使用非接觸基材置放組件調整該基材之該垂直位置及一水平面位置中之至少一者。
  13. 如請求項10所述之方法,進一步包含以下步驟:使用該非接觸基材置放組件中之一嵌入加熱器或安置於該處理腔室外部之一加熱組件中之至少一者加熱該基材。
  14. 如請求項10所述之方法,進一步包含以下步驟:使用該第一電容性感測器或該第三電容性感測器偵測形成於該基材之該邊緣上的一凹口;以及根據該凹口之量測決定該基材之一旋轉速率。
  15. 如請求項10所述之方法,其中藉由該非接觸基材置放組件置放該基材之步驟包含以下步驟:將來自複數個埠之複數個流體流動引導至該基材。
TW101117614A 2011-06-02 2012-05-17 用於使用電容性感測器置放基材的設備和方法 TWI566312B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/152,154 US9245786B2 (en) 2011-06-02 2011-06-02 Apparatus and methods for positioning a substrate using capacitive sensors

Publications (2)

Publication Number Publication Date
TW201304036A TW201304036A (zh) 2013-01-16
TWI566312B true TWI566312B (zh) 2017-01-11

Family

ID=47260162

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101117614A TWI566312B (zh) 2011-06-02 2012-05-17 用於使用電容性感測器置放基材的設備和方法

Country Status (5)

Country Link
US (1) US9245786B2 (zh)
KR (1) KR101680862B1 (zh)
CN (1) CN103534799B (zh)
TW (1) TWI566312B (zh)
WO (1) WO2012166313A2 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104137249B (zh) * 2012-04-25 2017-11-14 应用材料公司 晶片边缘的测量和控制
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor
US9394938B2 (en) 2013-06-19 2016-07-19 Applied Materials, Inc. Internal chamber rotation motor, alternative rotation
US10186450B2 (en) 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
TW201629264A (zh) * 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
CN105215760B (zh) * 2015-09-23 2018-06-26 京东方科技集团股份有限公司 对位装置、对位检测方法及对位系统
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
US10083883B2 (en) 2016-06-20 2018-09-25 Applied Materials, Inc. Wafer processing equipment having capacitive micro sensors
US20180323373A1 (en) * 2017-05-05 2018-11-08 Universal Display Corporation Capacitive sensor for positioning in ovjp printing
JP6948873B2 (ja) * 2017-07-31 2021-10-13 東京エレクトロン株式会社 測定器を較正する方法、及び、ケース
CN108447804A (zh) * 2018-03-28 2018-08-24 天津大学 一种闪光灯退火炉
US10641595B2 (en) * 2018-04-09 2020-05-05 United Technologies Corporation Low profile triaxial blade tip clearance probe assembly with driven guard
US11131541B2 (en) * 2018-06-29 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Shutter monitoring system
US11404296B2 (en) 2018-09-04 2022-08-02 Applied Materials, Inc. Method and apparatus for measuring placement of a substrate on a heater pedestal
US10794681B2 (en) 2018-09-04 2020-10-06 Applied Materials, Inc. Long range capacitive gap measurement in a wafer form sensor system
US11521872B2 (en) 2018-09-04 2022-12-06 Applied Materials, Inc. Method and apparatus for measuring erosion and calibrating position for a moving process kit
US10847393B2 (en) * 2018-09-04 2020-11-24 Applied Materials, Inc. Method and apparatus for measuring process kit centering
US11342210B2 (en) 2018-09-04 2022-05-24 Applied Materials, Inc. Method and apparatus for measuring wafer movement and placement using vibration data
US11123983B2 (en) 2018-12-20 2021-09-21 Kateeva, Inc. Inkjet printer with substrate flatness detection
GB201902032D0 (en) * 2019-02-14 2019-04-03 Pilkington Group Ltd Apparatus and process for determining the distance between a glass substrate and a coater
US10837803B2 (en) * 2019-04-12 2020-11-17 Kla Corporation Inspection system with grounded capacitive sample proximity sensor
US11415538B2 (en) 2020-03-06 2022-08-16 Applied Materials, Inc. Capacitive sensor housing for chamber condition monitoring
US11749542B2 (en) * 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11725406B2 (en) * 2020-08-07 2023-08-15 Kenmec Mechanical Engineering Co., Ltd. Spraying system and using method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020102511A1 (en) * 2001-01-31 2002-08-01 Il-Jung Choi Apparatus for baking wafers
US20030183611A1 (en) * 2002-03-29 2003-10-02 Applied Materials, Inc. Electromagnetically levitated substrate support
TW200943472A (en) * 2008-01-21 2009-10-16 Applied Materials Inc Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4457664A (en) * 1982-03-22 1984-07-03 Ade Corporation Wafer alignment station
JPS58144215U (ja) 1982-03-23 1983-09-28 岩崎通信機株式会社 変位計の静電容量プロ−プ
DE3433351C1 (de) * 1984-09-11 1986-01-02 MTU Motoren- und Turbinen-Union München GmbH, 8000 München Kapazitives Messsystem zur Messung des Abstandes zwischen zwei relativ zueinander beweglichen Teilen
US5266903A (en) 1992-06-03 1993-11-30 Capacitec Shielded connector for making electrical connections to a circuit board in the form of a capacitive probe
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
DE19620234A1 (de) 1996-05-20 1997-11-27 Holtronic Technologies Ltd Verfahren und Vorrichtung zum Positionieren eines Substrats
US5942889A (en) 1997-06-20 1999-08-24 Applied Materials, Inc. Capacitive probe for in situ measurement of wafer DC bias voltage
KR100249789B1 (ko) * 1997-12-22 2000-03-15 정선종 정전용량 센서를 이용한 레티클 수평/수직 정렬방법
US6592673B2 (en) * 1999-05-27 2003-07-15 Applied Materials, Inc. Apparatus and method for detecting a presence or position of a substrate
KR100461024B1 (ko) * 2002-04-15 2004-12-13 주식회사 이오테크닉스 칩 스케일 마커 및 마킹 방법
US6813543B2 (en) * 2002-10-08 2004-11-02 Brooks-Pri Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US7629798B2 (en) 2007-05-25 2009-12-08 Kla-Tencor Corporation Wafer edge-defect detection and capacitive probe therefor
KR20100050951A (ko) 2008-11-06 2010-05-14 주식회사 에이디피엔지니어링 실런트 경화장치 및 이의 제어방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020102511A1 (en) * 2001-01-31 2002-08-01 Il-Jung Choi Apparatus for baking wafers
US20030183611A1 (en) * 2002-03-29 2003-10-02 Applied Materials, Inc. Electromagnetically levitated substrate support
TW200943472A (en) * 2008-01-21 2009-10-16 Applied Materials Inc Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20100193154A1 (en) * 2009-01-28 2010-08-05 Applied Materials, Inc. Rapid cooling of a substrate by motion

Also Published As

Publication number Publication date
CN103534799B (zh) 2016-09-14
WO2012166313A4 (en) 2013-03-14
TW201304036A (zh) 2013-01-16
CN103534799A (zh) 2014-01-22
US9245786B2 (en) 2016-01-26
KR101680862B1 (ko) 2016-11-29
KR20140041616A (ko) 2014-04-04
US20120304928A1 (en) 2012-12-06
WO2012166313A3 (en) 2013-01-24
WO2012166313A2 (en) 2012-12-06

Similar Documents

Publication Publication Date Title
TWI566312B (zh) 用於使用電容性感測器置放基材的設備和方法
US10483145B2 (en) Wafer edge measurement and control
TWI694493B (zh) 用於半導體製程腔室的表面塗層的襯套組件
TWI667735B (zh) 具有遮罩邊緣的支撐環
CN104871299A (zh) 使用高温计对锥形灯头内的灯进行的多区域控制
TW202113979A (zh) 邊緣環以及具有其之熱處理設備
US20150292815A1 (en) Susceptor with radiation source compensation
US20180144955A1 (en) Rapid heat treatment apparatus
CN103582941A (zh) 支撑及控制基板的装置及方法
US20140255862A1 (en) Pyrometry filter for thermal process chamber
TWI632637B (zh) 具有封裝的光障壁之支撐環
KR20120108313A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees