TWI558273B - 具有射頻相位控制之感應耦合電漿反應器及其使用方法 - Google Patents

具有射頻相位控制之感應耦合電漿反應器及其使用方法 Download PDF

Info

Publication number
TWI558273B
TWI558273B TW099106491A TW99106491A TWI558273B TW I558273 B TWI558273 B TW I558273B TW 099106491 A TW099106491 A TW 099106491A TW 99106491 A TW99106491 A TW 99106491A TW I558273 B TWI558273 B TW I558273B
Authority
TW
Taiwan
Prior art keywords
source
plasma
phase
delay
reactor
Prior art date
Application number
TW099106491A
Other languages
English (en)
Other versions
TW201112887A (en
Inventor
格林柏恩麥克N
奧義艾倫喜若西
托多羅法倫帝N
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201112887A publication Critical patent/TW201112887A/zh
Application granted granted Critical
Publication of TWI558273B publication Critical patent/TWI558273B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

具有射頻相位控制之感應耦合電漿反應器及其使用方法
本發明之實施例大體上係關於半導體基材處理系統,且特定言之係關於使用感應耦合電漿的半導體基材處理系統。
通常,電漿反應器採用具固定平均功率或電壓的射頻(RF)功率源來激發真空腔室的電漿。其中RF功率源感應耦合至處理腔室的電漿反應器亦稱為感應耦合電漿(ICP)反應器,其例如廣泛用於矽和金屬蝕刻應用。此類反應器大多具有附加RF產生器鄰接基材,其中電漿電容耦合至腔室。此附加RF產生器常指稱偏壓RF產生器。
在一些ICP反應器中,源RF產生器和偏壓RF產生器可使用共用激發器迫使兩個產生器產生一樣的單一頻率。然不幸的是,本發明發現處理時因各產生器產生的訊號相位錯位所引起的另一潛在問題。例如,雖然目前市售ICP反應器試圖對準源和偏壓訊號以具零相位差,但實際相位差很少為零(若有)。此外,源與偏壓產生器間自當存有實際相位的差異,導致其各自相位差亦有腔室至腔室的差異。該腔室內和腔室間的相位差將影響一致處理相同腔室的能力。
因此,本發明提供改良之感應耦合電漿反應器和使用方法。
本發明之實施例大體上提供感應耦合電漿(ICP)反應器,其能控制ICP源(第一RF源)與基材偏壓(第二RF源)間的RF相位差,以做為用於半導體產業的電漿處理反應器。在此提供用以控制RF相位差的各種裝置。此外,亦提供控制RF相位差的方法,以協助製程控制。例如,控制RF相位差可用來控制平均蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜、CD範圍、自行直流(DC)偏壓(VDC)控制和腔室匹配中的一或多個。
在一些實施例中,具可調式RF源相位延遲之電漿反應器包括真空腔室,具有設置鄰接真空腔室之頂部的感應天線和設置鄰接且位於設於真空腔室中之基材支撐面底下的偏壓電極,以於處理時支撐待偏壓基材;第一RF源,其以第一頻率提供第一射頻(RF)訊號,並經由感應天線感應耦合至真空腔室;第二RF源,其以第一頻率提供第二RF訊號至偏壓電極;以及相位延遲控制器,其用以控制第一RF源與第二RF源間的相位延遲。
在一些實施例中,具可調式RF源相位延遲之電漿蝕刻反應器可包括真空腔室,具有設置鄰接真空腔室之頂部的感應天線和設置鄰接且位於設於真空腔室中之基材支撐面底下的偏壓電極,以於處理時支撐待偏壓基材;第一RF源,其以第一頻率提供第一射頻(RF)訊號,並經由感應天線感應耦合至真空腔室;第二RF源,其以第一頻率提供第二RF訊號至偏壓電極,並經由共用激發器連結耦接至第一RF源;相位延遲控制器,其用以控制第一RF源與第二RF源間的相位延遲;以及控制器,其用以控制電漿蝕刻反應器的部件操作。
其他和進一步之實施例將詳述於下。
本發明之實施例大體上提供一種感應耦合電漿(ICP)反應器,其能控制ICP源(第一RF源)與基材RF偏壓(第二RF源)間的RF相位差,以做為用於半導體產業的電漿處理反應器。此外,亦提供控制RF相位差的方法,以協助製程控制。例如,控制RF相位差可用來控制平均蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜、CD範圍、自行直流(DC)偏壓(VDC)控制、峰值RF偏壓(Vp)和腔室匹配中的一或多個。
本發明發現由於ICP迴路天線電性並非很小,導致迴路的電流和腔室中產生的電場不對稱。本發明進一步發現增設不同向量分量可產生不完全對稱的場圖案。本發明發現藉由改變ICP迴路電流相對偏壓RF的相位,可有效轉動產生的場圖案。此接著改變部分場分量,而留下其他對稱場分量不變。所得蝕刻圖案由所有分量形成。因實際蝕刻系統存有各種些微不對稱,故改變相位將抵消一些不對稱的影響,進而產生更均勻的蝕刻圖案。
第1圖圖示感應耦合電漿(ICP)反應器100,在一實施例中,其用於蝕刻半導體晶圓122(或其他基材和工件,例如光罩)。亦可依本文所述教示適當修改及/或使用具其他配置的其他ICP反應器。或者,第1圖之示例性ICP反應器可修改成具有其他ICP反應器的一部分。可依本文所述教示修改的ICP反應器實例包括TETRATM或DPS系列的任何電漿反應器線,其可購自美國加州聖克拉拉之應用材料公司(Applied Materials,Inc.)。
雖然本發明所揭示實施例是描述蝕刻反應器和製程,但本發明當可應用到採用具相同頻率之感應耦合RF功率和RF偏壓源的任何類型電漿製程。此類反應器包括電漿退火反應器、電漿加強化學氣相沈積反應器、物理氣相沈積反應器、電漿清潔反應器等。此外,如上述,本文所述原則亦有益於具電容耦合RF源產生器之電漿反應器。
所示反應器100包含真空腔室101、製程氣體供應器126、控制器114、第一RF功率源112、第二RF功率源116、第一匹配網路110和第二匹配網路118。
真空腔室101包含主體102,其含有陰極基座120,以構成基材122的基座或支撐件。處理腔室的頂壁或蓋子103具有至少一個天線組件104鄰接頂壁103。在本發明之一實施例中,天線組件104包含一對天線106、108。本發明之其他實施例可使用一或多個天線、或可利用電極替代天線來耦合RF能量至電漿。在此特定示例性實施例中,天線106、108感應耦合能量至製程氣體或製程氣體供應器126供給主體102內部空間的氣體。天線106、108供應的RF能量感應耦合至製程氣體,而於基材122上方的反應區形成電漿124。反應氣體將蝕刻基材122上的材料。
在一些實施例中,耦合至天線組件104的功率點燃電漿124,耦合至陰極基座120的功率則控制電漿124。如此,RF能量耦合至天線組件104和陰極基座120。第一RF功率源112供應能量給第一匹配網路110,接著將能量耦合至天線組件104。同樣地,第二RF功率源116耦合能量至第二匹配網路118,接著將能量耦合至陰極基座120。控制器114控制啟動與停用RF功率源112、116的時序及調整第一和第二匹配網路110、118。耦合至天線組件104的功率已知為源功率,耦合至陰極基座120的功率已知為偏壓功率。在本發明之實施例中,源功率、偏壓功率或二者可在連續波(CW)模式或脈衝模式下操作。在一些實施例中,例如用於TETRATM系列處理腔室,施加頻率為13.56兆赫(MHz)。應理解亦可採用其他頻率。
在一些實施例中,可提供共用激發器連結140(亦稱為CEX電纜或觸發電纜)耦接第一和第二RF源112、116,以協助一個RF源(主)產生的單一RF頻率被其他RF產生器(從)利用。任一RF源可為首或主RF產生器,其他產生器則跟隨或從屬於其。在一些實施例中,第一RF源112為主,第二RF源116為從。故第一和第二RF源112、116可各自提供相同RF頻率的訊號(如同其由單一源(主產生器)產生)。然各自訊號的時間或相位會偏離一些固有量。此在本文中稱為訊號間的本質相位差。
在具單一頻率(或較少見具兩個頻率,但其一為諧波頻率)之兩個功率源的RF蝕刻系統中,兩個腔室間的相位由一些因素決定。例如,腔室中兩源間的相對相位取可決於兩個RF產生器的相對相位輸出和各產生器與腔室間的RF電纜長度差。因共軸電纜的傳播延遲等級為1.55毫微秒/英尺(視絕緣介電性質而定),故改變電纜長度預期可改變相對相位。若一產生器由低功率觸發訊號使得與另一產生器同步,則改變觸發電纜的長度亦可用於改變相位。此外,利用延遲線或可程式延遲來延遲觸發訊號亦可控制相對相位。在非限定實例中,就13.56MHz之訊號而言,週期為73.7毫微秒。
在一些實施例中,藉由改變共用激發器連結140的長度,可調整或控制第一與第二RF源112、116間的本質相位差。例如,基於不同電纜長度之傳播延遲計算的相位變化列於下表1。依此可制定改變電纜長度與延遲時以調整固定頻率間的等效值。
在一些實施例中,可調式延遲線可用來耦接源與偏壓RF產生器。例如,延遲電路142可提供於內部(如一產生器內)或外部(如產生器之間),以協助控制相位差。在第1圖所示之實施例中,延遲電路142提供於從RF源(第二RF源116)中。延遲電路142可包含被動部件(如可變延遲線)或主動部件(如可程式數位延遲)。延遲電路可提供送給從RF源輸出144的訊號0至360度延遲,以協助控制各訊號間的相位差(例如,第一和第二RF源間的相位差可按任何增量從兩個RF源間之本質相位差起點控制或改變成高達且包括360度)。如此可控制第一和第二RF源112、116完全同步或在任何所要時間偏移或相位差下操作。
可為各腔室或特定腔室進行的不同製程取得可調式延遲線的最理想延遲值(或相位值)。一實施方式為產生可程式及/或可控制延遲電路,使得可調整最佳延遲,又不需改變硬體。此調整可於電腦執行時或執行期間(如當作製程控制系統的部件)進行。
有數種產生所要延遲以達特定相位的方法。例如,第2圖為根據本發明一些實施例之可程式延遲線的示意圖。例如,第2圖為根據本發明一些實施例之可程式延遲線積體電路(延遲電路242)的示意圖。如第2圖所示,延遲電路242利用程式輸入202、以所要延遲時間的8位元數位化值得以程式化。所要延遲時間可由控制器114提供、或由操作員人工鍵入。延遲電路242包括內部解碼器,其驅動各具所要延遲時間增量的個別數位延遲元件255。來自主RF產生器的觸發輸出提供左邊的輸入觸發訊號(輸入204)。內部解碼器採用8位元數位化延遲值及切換數位邏輯元件,以提供左邊輸入與送至右邊輸出(輸出206)間的總體延遲。接著調整輸出為觸發從產生器所需的所要電壓位準。如此,接收自輸入204的觸發訊號以所選擇之個別數位延遲元件的總和得以延遲,進而提供具所要延遲之訊號至延遲電路242的輸出206。蝕刻工具控制器或操作員供應延遲時間的數位化值,以達成所要蝕刻結果。
在一些實施例中,共軸電纜延遲箱可具有不同長度的共軸電纜,其由機械或電子雙刀雙擲開關內線切換。總體延遲即為內線切換長度的總和。例如,第3圖為根據本發明一些實施例之切換共軸延遲線的示例性實例。切換共軸延遲線可做為第1圖延遲電路142的至少一個部分。切換共軸延遲線包括輸入302與輸出304和兩者間的複數個片段(第3圖實例圖示兩個片段306、308)。可提供複數個開關310選擇性經零、一或多個片段路徑發送RF觸發訊號。故切換共軸延遲線可將延遲變化量可控制地增加到從源RF產生器行進至偏壓RF產生器的RF觸發訊號。每一片段可經配置以提供相等延遲,或如第3圖所示,提供彼此不同的延遲。
在一些實施例中,可提供集總元件電路(一般稱為LC區段),其設計具有所要延遲。每一區段可接通及斷開,且總體延遲為已接通之集總元件延遲的總和。切換集總元件延遲線的主要優點在於不需儲藏長共軸電纜長度。例如,第4圖圖示根據本發明一些實施例之部份集總元件延遲線的示例性實例(此將配合第5圖說明於後)。第4圖圖示集總元件延遲線的四個區段實例,包含複數個串聯電感器和複數個並聯接地的電容器。雖然在此圖示四個電感器和電容器,但當可使用更多或更少數量。此集總元件延遲線的總體延遲時間為總體電感乘以總體電容之乘積的平方根。故藉由選擇電感器和電容器的值,可得到所要延遲時間。再者,如第5圖所示,複數個集總延遲線一起串聯耦接成切換集總元件延遲線。切換集總元件延遲線操作類似上述切換共軸延遲線,不同之處在於片段是由個別集總元件延遲線組成。每一集總元件延遲線可提供相同或不同的時間延遲。雖然第5圖圖示兩個延遲實例,但可一起切換更多數量的集總元件延遲線而提供更大的靈活性和控制精度。切換集總元件延遲線有一些優點:因其操作不需調整功率或訊號而具高可靠度、訊號逼真、寬頻寬和小實體尺寸。
在一些實施例中,可提供擴充LC電路有多個分接頭。每一分接頭產生不同延遲時間。分接延遲線的缺點為分接頭數量有限,以致限制延遲解析度。另一缺點為延遲訊號的波形逼真度受分接頭配置影響。第6圖圖示根據本發明一些實施例之分接延遲線。
在一些實施例中,可提供LC或RC電路,其中一元件經修改以產生不同相位延遲。例如,手動可連續調整共軸可變空氣電容器可用來在限制頻率範圍內從0到360度改變相位。此類電路可用來產生延遲,但延遲因頻率而異,且可變部件必須校準在所建立位置,以產生所要延遲。
在一些實施例中,可程式延遲可由電子電路提供,其將脈衝數位延遲指定時間,接著將其調整為RF產生器所需的觸發位準。例如,延遲可藉由計數來自高頻時脈的特定脈衝數量產生。儘管準確度和解析度可以很高,然可程式延遲比被動裝置複雜。
第7圖圖示根據本發明一些實施例之高通/低通濾波移相器。電路由上分支的低通T型匯流裝置和下分支的並聯高通T型匯流裝置組成。兩個分支各自加至總體相移。此電路的優點在於若頻率改變,其提供比延遲線移相器小的相位誤差。對頻率可變應用而言,此電路具有優勢。然就主要蝕刻應用而言,頻率通常控制良好。
第8圖圖示根據本發明一些實施例之T型橋接等化器延遲。此電路可由電阻器和電容器(如Z元件)構成,但通常用於低頻。
參照第1圖,在一些實施例中,第一指示裝置150和第二指示裝置152可用來決定匹配網路110、118匹配電漿124的有效性。在一些實施例中,指示裝置150和152監控自各匹配網路110、118反射的反射功率。此等裝置可整合到匹配網路110、118或功率源112、116。然為便於說明,其在此圖示脫離匹配網路110、118。反射功率當作指示時,裝置150和152分別耦接至源112、116與匹配網路110、118之間。為產生反射功率指示訊號,裝置150和152為耦接至RF偵測器的定向耦合器,使得匹配有效性指示訊號為電壓,其表示反射功率強度。反射功率大代表不匹配的狀況。裝置150和152產生的訊號耦接控制器114。為回應指示訊號,控制器114產生調整訊號(匹配網路控制訊號),其耦接至匹配網路110、118。此訊號用來調整匹配網路110、118的電容器或指示器。調整過程試圖最小化反射功率或達到特定反射功率位準,例如指示訊號表示的反射功率。
控制器114包含中央處理單元(CPU)130、記憶體132和支援電路134。控制器114耦接至反應器100的各個部件,以協助控制蝕刻製程。控制器114經由介面調節及監控腔室中的處理,介面可泛指類比、數位、有線、無線、光學和光纖介面。為協助控制下述腔室,CPU 130可為任一型式的通用電腦處理器,其可用於工業設定來控制不同的腔室和子處理器。記憶體132耦接至CPU 130。記憶體132或電腦可讀取媒體可為一或多種容易取得的記憶裝置,例如隨機存取記憶體、唯讀記憶體、軟碟、硬碟、或其他近端或遠端數位儲存器。支援電路134耦接至CPU 130,以藉由習知方式支援處理器。此等電路包括快取記憶體、電源、時脈電路、輸入/輸出電路和相關子系統等。
處理指令(如蝕刻或其他處理指令)一般儲存於記憶體132當作軟體常式,其通常稱為配方。軟體常式亦可第二CPU(未圖示)儲存及/或執行,該第二CPU遠離CPU 130控制的硬體。由CPU 130執行時,軟體常式將通用電腦轉換成特定用途電腦(控制器114),其控制系統操作,例如控制蝕刻製程期間的電漿。雖然本發明之製程是以軟體常式為例實施,但本文所述一些方法步驟可實施於硬體及由軟體控制器執行。故本發明可實施於電腦系統執行的軟體和作為特定應用積體電路的硬體或其他類型的硬體、或軟體與硬體的組合。
在一些實施例中,源自工件內不同位置之光纖感測器的多個蝕刻速度及/或電漿監控訊號可用於獲得控制相位並進而控制腔室處理的資料。例如,第9圖為根據本發明一些實施例之感應耦合電漿(ICP)反應器的示意圖,其具有反饋控制。如第9圖所示,位於光罩或晶圓(如基材122)任一側之支撐基座120的感測器可用來發送差動訊號,以動態調整相位使蝕刻腔室具最佳均勻度或所要蝕刻速度。光纖感測器902可接收來自兩個或兩個以上光纖電纜906的訊號,光纖電纜位於基材底下之支撐基座120的所要位置。來自光纖感測器902的訊號可發送到感測反饋控制904,其提供控制訊號至相位延遲模組942(類似延遲電路142)。
在一些實施例中,控制器114可接收來自電漿監控裝置(如電漿監控器)的訊號及作為回應控制相位延遲。例如,如第10圖所示,窗口1010可提供於真空腔室101中。可提供包含快速回應光學偵測器的電漿監控器以偵測來自真空腔室內的電漿發射輻射,且經配置以比較相位和RF產生器之相位。在一些實施例中,光學偵測器可提供訊號,以調整一產生器相對另一產生器的相位。例如,光纖電纜1008可光學耦接至窗口1010,以發送電漿發射表示訊號至控制器114。在一些實施例中,可提供快速放大器1002用於放大訊號。在此等實施例中,控制器114更包含光學偵測器和分析儀,用以分析光學訊號及將此等訊號轉換成適合控制器114使用的數位訊號,進而控制相位延遲電路142。光學訊號能解析發射相位,以提供控制訊號。控制器114亦耦接至定向耦合器1004(其耦接至RF產生器112的輸出)和定向耦合器1006(其耦接至RF產生器116的輸出),以驗證RF產生器112和RF產生器116的輸出。
本發明發現可調整源產生器與偏壓產生器間的相位差以減少側邊至側邊蝕刻變化,並獲得最佳蝕刻均勻度。本發明進一步發現在一些實施例中,藉由將RF同步電纜(如共用激發器連結140)長度改成新長度可調整相位。例如,已發現用於製造光罩之TETRATMIII腔室鉻(Cr)蝕刻製程的最佳長度。此所要長度已藉由測量現有產生器間的相位偏移及測量側邊至側邊蝕刻對不同長度(相位)的貢獻而經驗決定。具有特定長度的RF電纜則可用來耦接至腔室的RF產生器,以提供所要相位差。
在一些實施例中,可提供半導體處理系統具有兩個或兩個以上處於匹配狀態且配置相仿之感應耦合電漿反應器(如配置類似上述感應耦合電漿(ICP)反應器100)。例如,第一電漿反應器可匹配第二電漿反應器,並視情況匹配多達N個電漿反應器。每一電漿反應器的配置可類似上述感應耦合電漿(ICP)反應器100。因此,每一電漿反應器各自的第一與第二RF源間(如其各自的源與偏壓RF產生器間)有本質相位差。各本質相位差可為相同或不同,但因各系統製造與組裝差異的天性使然而很有可能不同。
因此任一電漿反應器可包括真空腔室;第一RF源(如源RF產生器),其以第一頻率提供第一射頻(RF)訊號並感應耦合至真空腔室;以及第二RF源產生器(如偏壓RF產生器),其以第一頻率提供第二RF訊號至設置鄰接且位於待偏壓基材底下的電極。給定反應器的第一和第二RF源各自提供具第一相位差的訊號,該第一相位差經預設匹配與此電漿反應器匹配之第二電漿反應器的第二相位差。
藉由改變第一與第二RF源間的本質相位差,可預設第一相位差,以匹配第二電漿反應器各自之第一與第二RF源間的第二相位差。第一相位差可利用延遲電路(如上述延遲電路142)改變。第二電漿反應器的第二相位差可為該反應器的本質相位差、或可為控制第二電漿反應器達到的其他相位差。
在一些實施例中,可視情況提供中央控制器(未圖示)且其經配置以接收代表第一電漿反應器之第一與第二RF源間之第一本質相位差的第一輸入、和代表第二電漿反應器之第一與第二RF源間之第二本質相位差的第二輸入。中央控制器更配置以計算第一本質相位差與第二本質相位差間的相位偏移。或者,中央控制器可經配置以接收代表相位偏移的輸入,其例如經不同控制器計算或人工計算。
中央控制器可類似上述控制器114,且可為電漿反應器的控制器、亦或為亦耦接至電漿反應器的獨立控制器。中央控制器可經配置以任何適當方式接收第一與第二輸入、或相位偏移輸入,例如人工鍵入資料、自動收集及輸入資料輸入、或其組合。
在一些實施例中,中央控制器更配置以控制第二電漿反應器的延遲電路使相位偏移應用到第二本質相位差,而建立等於第一本質相位差的相位差。或者,兩個或兩個以上電漿反應器可各自有相位偏移,應用該相位偏移來修改各自的本質相位差以匹配所要相位偏移。如此,一或多個(包括全部)待匹配電漿反應器的相位差可匹配任一電漿反應器現存之本質相位差或其他所要相位差。
雖然上述是以獨立控制器為例說明,但各電漿反應器可如上述配置個別控制器且不需使用中央控制器。故中央控制器的任一或多種能力可提供於任一或多個電漿反應器的控制器中。
雖然本發明之較佳實施例揭露如上,然可修改本發明之其他及進一步的實施例,而不脫離本發明之基本範圍。
100...反應器
101...真空腔室
102...主體
103...頂壁/蓋子
104...天線組件
106、108...天線
110、118...匹配網路
112、116...功率源
114...控制器
120...基座
122...晶圓/基材
124...電漿
126...氣體供應器
130...CPU
132...記憶體
134...支援電路
140...激發器連結
142...延遲電路
144...輸出
150、152...指示裝置
202、204...輸入
206...輸出
242...延遲電路
255...延遲元件
302...輸入
304...輸出
306、308...片段
310...開關
902...感測器
904...反饋控制
906...電纜
942...延遲模組
1002...放大器
1004、1006...耦合器
1008...電纜
1010...窗口
為讓本發明之上述概要特徵更明顯易懂,可配合參考實施例說明,其部分乃圖示在附圖中。然而,須注意,所附圖式僅說明本發明典型實施例,故其並非用以限定本發明之精神與範圍,因為本發明可接納其他等效實施例。
第1圖為根據本發明一些實施例之感應耦合電漿(ICP)反應器的示意圖。
第2-8圖為根據本發明一些實施例之相位延遲控制器的示意圖。
第9圖為根據本發明一些實施例之感應耦合電漿(ICP)反應器的示意圖,其具有反饋控制。
第10圖為根據本發明一些實施例之感應耦合電漿(ICP)反應器的示意圖,其具有反饋控制。
為便於了解,以上圖式已經簡化且未按比例繪製。
100...反應器
101...真空腔室
102...主體
103...頂壁/蓋子
104...天線組件
106、108...天線
110、118...匹配網路
112、116...功率源
114...控制器
120...基座
122...晶圓/基材
124...電漿
126...氣體供應器
130...CPU
132...記憶體
134...支援電路
140...激發器連結
142...延遲電路
144...輸出
150、152...指示裝置

Claims (19)

  1. 一種具一可調式RF源相位延遲之電漿反應器,該電漿反應器包含:一真空腔室,具有一設置鄰接該真空腔室之一頂部的一感應天線,和設置鄰接且位於一基材支撐面底下的一偏壓電極,該基材支撐面設於該真空腔室,以於處理時支撐待偏壓之一基材;一第一RF源,以一第一頻率提供一第一射頻(RF)訊號,並經由該感應天線感應耦合至該真空腔室;一第二RF源,以該第一頻率提供一第二RF訊號至該偏壓電極;以及一相位延遲控制器,用以控制該第一RF源與該第二RF源間的相位延遲,其中該第一RF源和該第二RF源經配置成一主從配置,具有一共用激發器連結耦接該第一RF源和該第二RF源,以將出自一主RF源之一觸發訊號提供至一從RF源,其中該相位延遲控制器控制該第一RF源與該第二RF源間之該觸發訊號的延遲時間。
  2. 如申請專利範圍第1項之電漿反應器,其中該相位延遲控制器包含一集總元件延遲線,具有一或多個可選集總元件延遲片段。
  3. 如申請專利範圍第2項之電漿反應器,其中該集總元件延遲線包含一基於旋轉開關之十進延遲線。
  4. 如申請專利範圍第2項之電漿反應器,其中該集總元件延遲線包含一基於撥鈕開關之延遲線。
  5. 如申請專利範圍第1項之電漿反應器,其中該相位延遲控制器包含複數個長度之共軸電纜,可經選擇地耦接該共用激發器連結,以控制該相位延遲。
  6. 如申請專利範圍第1項之電漿反應器,其中該延遲時間係由一電子可程式延遲調整。
  7. 如申請專利範圍第1至6項任一項之電漿反應器,進一步包含:一相位偵測器,耦接至該第一RF源和該第二RF源的各輸出,以取得來自該第一RF源和該第二RF源的訊號,進而決定相對相位。
  8. 如申請專利範圍第7項之電漿反應器,其中該相位偵測器為一橋式整流器。
  9. 如申請專利範圍第7項之電漿反應器,其中該相位偵 測器為一示波器。
  10. 如申請專利範圍第7項之電漿反應器,其中該相位偵測器為一零交叉電路。
  11. 如申請專利範圍第7項之電漿反應器,其中該相位偵測器的一輸出耦接至該相位延遲控制器,以提供調整而達一預定相位。
  12. 如申請專利範圍第1至6項任一項之電漿反應器,進一步包含:一控制器,經配置以控制該相位延遲。
  13. 如申請專利範圍第12項之電漿反應器,其中該控制器經配置以控制該相位延遲當作用於該電漿反應器中執行之一特定製程的一配方的一部分。
  14. 如申請專利範圍第1至6項任一項之電漿反應器,進一步包含:一電漿監控器,包含一快速回應光學偵測器,用以偵測來自該真空腔室內的電漿發射輻射,且經配置以比較該等RF源之相位。
  15. 如申請專利範圍第14項之電漿反應器,其中該光學 偵測器提供一訊號,以調整一RF源相對另一RF源的相位。
  16. 一種具一可調式RF源相位延遲之電漿蝕刻反應器,該電漿蝕刻反應器包含:一真空腔室,具有設置鄰接該真空腔室之一頂部的一感應天線,和設置鄰接且位於一基材支撐面底下的一偏壓電極,該基材支撐面設於該真空腔室,以於處理時支撐待偏壓之一基材;一第一RF源,以一第一頻率提供一第一射頻(RF)訊號,並經由該感應天線感應耦合至該真空腔室;一第二RF源,以該第一頻率提供一第二RF訊號至該偏壓電極,並經由一共用激發器連結耦接該第一RF源;一相位延遲控制器,用以控制該第一RF源與該第二RF源間的一相位延遲,其中該第一RF源和該第二RF源經配置成一主從配置,具有一共用激發器連結耦接該第一RF源和該第二RF源,以將出自一主RF源之一觸發訊號提供至一從RF源,其中該相位延遲控制器控制該第一RF源與該第二RF源間之該觸發訊號的延遲時間;以及一控制器,用以控制該電漿蝕刻反應器的部件操作。
  17. 如申請專利範圍第16項之電漿蝕刻反應器,其中該 控制器經進一步配置以控制該相位延遲當作用於該電漿蝕刻反應器執行之一特定製程的一配方的一部分。
  18. 如申請專利範圍第16與17項任一項之電漿蝕刻反應器,進一步包含:一電漿監控器,包含一快速回應光學偵測器,用以偵測來自該真空腔室內的一電漿發射輻射,且經配置以比較該等RF源之相位。
  19. 如申請專利範圍第16與17項任一項之電漿蝕刻反應器,進一步包含:一電漿監控器,包含一或多個光學偵測器,經配置以空間測量該真空腔室內的電漿發射輻射強度或均勻度及提供一訊號至該相位延遲控制器,以調整該相位,進而回應該所提供之訊號來控制該電漿強度或均勻度。
TW099106491A 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法 TWI558273B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15788209P 2009-03-05 2009-03-05
US12/717,916 US9378930B2 (en) 2009-03-05 2010-03-04 Inductively coupled plasma reactor having RF phase control and methods of use thereof

Publications (2)

Publication Number Publication Date
TW201112887A TW201112887A (en) 2011-04-01
TWI558273B true TWI558273B (zh) 2016-11-11

Family

ID=42677186

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099106488A TWI417959B (zh) 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法
TW099106491A TWI558273B (zh) 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW099106488A TWI417959B (zh) 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法

Country Status (3)

Country Link
US (2) US9378930B2 (zh)
TW (2) TWI417959B (zh)
WO (2) WO2010102125A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094505B2 (en) 2017-07-07 2021-08-17 Asm Ip Holding B.V. Substrate processing apparatus, storage medium and substrate processing method

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5867701B2 (ja) 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US20130284369A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9312106B2 (en) * 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US9107284B2 (en) * 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
DE102014105445A1 (de) * 2013-04-26 2014-10-30 Mks Instruments Inc. Frequenz- und Phasensteuerung einer Multi-Radiofrequenz-Leistungsversorgung
US9336995B2 (en) * 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
WO2016032008A1 (ja) * 2014-08-29 2016-03-03 京セラ株式会社 センサ装置およびセンシング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10191466B2 (en) 2015-01-28 2019-01-29 Lam Research Corporation Systems and methods for synchronizing execution of recipe sets
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10971333B2 (en) 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US9978621B1 (en) * 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10438805B2 (en) 2016-12-06 2019-10-08 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11749504B2 (en) * 2018-02-28 2023-09-05 Applied Materials, Inc. Methods and apparatus for common excitation of frequency generators
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN109273341B (zh) * 2018-10-18 2021-01-08 北京北方华创微电子装备有限公司 一种等离子体工艺方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11521831B2 (en) 2019-05-21 2022-12-06 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
GB2584146A (en) * 2019-05-23 2020-11-25 Comet Ag Radio frequency generator
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法
US11232931B2 (en) * 2019-10-21 2022-01-25 Mks Instruments, Inc. Intermodulation distortion mitigation using electronic variable capacitor
CN114762079A (zh) 2019-12-02 2022-07-15 朗姆研究公司 射频辅助等离子体生成中的阻抗变换
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber
US11655540B2 (en) 2020-06-26 2023-05-23 Applied Materials, Inc. Methods and apparatus for adjusting wafer performance using multiple RF generators
KR20220118024A (ko) 2021-02-18 2022-08-25 삼성전자주식회사 양면 냉각 방식을 활용한 가변 주파수 비정현파 전원 장치 및 이를 포함하는 플라즈마 처리 장치
JP7434669B2 (ja) * 2021-06-21 2024-02-20 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5935373A (en) * 1996-09-27 1999-08-10 Tokyo Electron Limited Plasma processing apparatus
TW200805486A (en) * 2006-05-03 2008-01-16 Applied Materials Inc Vacuum processing chamber suitable for etching high aspect ratio features and components of same
US20080253052A1 (en) * 2004-07-02 2008-10-16 Walter Crewson Electrical Power Switching With Efficient Switch Protection

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4143314A (en) * 1978-03-29 1979-03-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Closed loop solar array-ion thruster system with power control circuitry
JPH07111918B2 (ja) * 1987-07-28 1995-11-29 三菱電機株式会社 マイクロ波放電光源装置
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5665256A (en) * 1995-12-19 1997-09-09 The Esab Group, Inc. Cutting or welding system having phase loss detector and method of detecting phase loss for same
JP3319285B2 (ja) 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6060329A (en) * 1997-03-27 2000-05-09 Fujitsu Limited Method for plasma treatment and apparatus for plasma treatment
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US7435392B2 (en) * 2000-02-03 2008-10-14 Acclavis, Llc Scalable continuous production system
JP3644867B2 (ja) * 2000-03-29 2005-05-11 富士通日立プラズマディスプレイ株式会社 プラズマディスプレイ装置及びその製造方法
US6507155B1 (en) * 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6804788B1 (en) * 2000-08-03 2004-10-12 Astec America, Inc. System for controlling a switchmode power supply in a computer system by first coarsely and then finely adjusting a time-length signal
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
JP3640609B2 (ja) * 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
WO2002054835A2 (en) * 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
KR100486712B1 (ko) * 2002-09-04 2005-05-03 삼성전자주식회사 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
TWI391035B (zh) * 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US8264154B2 (en) * 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
CA2957199C (en) * 2008-11-26 2019-01-08 Wireless Environment, Llc Wireless lighting devices and applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5935373A (en) * 1996-09-27 1999-08-10 Tokyo Electron Limited Plasma processing apparatus
US20080253052A1 (en) * 2004-07-02 2008-10-16 Walter Crewson Electrical Power Switching With Efficient Switch Protection
TW200805486A (en) * 2006-05-03 2008-01-16 Applied Materials Inc Vacuum processing chamber suitable for etching high aspect ratio features and components of same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11094505B2 (en) 2017-07-07 2021-08-17 Asm Ip Holding B.V. Substrate processing apparatus, storage medium and substrate processing method
TWI741175B (zh) * 2017-07-07 2021-10-01 荷蘭商Asm Ip控股公司 基板處理裝置、儲存媒體以及基板處理方法

Also Published As

Publication number Publication date
WO2010102125A3 (en) 2011-01-06
WO2010102161A3 (en) 2011-01-13
WO2010102161A2 (en) 2010-09-10
US20100224321A1 (en) 2010-09-09
US8368308B2 (en) 2013-02-05
TW201112887A (en) 2011-04-01
US9378930B2 (en) 2016-06-28
US20100227420A1 (en) 2010-09-09
WO2010102125A2 (en) 2010-09-10
TW201103086A (en) 2011-01-16
TWI417959B (zh) 2013-12-01

Similar Documents

Publication Publication Date Title
TWI558273B (zh) 具有射頻相位控制之感應耦合電漿反應器及其使用方法
US20100276391A1 (en) Inductively coupled plasma reactor having rf phase control and methods of use thereof
JP6910320B2 (ja) マイクロ波出力装置及びプラズマ処理装置
US20140003086A1 (en) Front-lit reflective display device
US9754767B2 (en) RF pulse reflection reduction for processing substrates
US20120000888A1 (en) Methods and apparatus for radio frequency (rf) plasma processing
US5543689A (en) High frequency power source having corrected power output
US9704692B2 (en) System for instantaneous radiofrequency power measurement and associated methods
TWI614807B (zh) 電漿處理裝置
TWI576915B (zh) 電漿處理方法
US8980760B2 (en) Methods and apparatus for controlling plasma in a process chamber
US6818562B2 (en) Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
KR101109439B1 (ko) 플라즈마 프로세싱 시스템에서 기판을 최적화하는 방법 및장치
WO2011049769A2 (en) Methods and apparatus for tuning matching networks
KR102570373B1 (ko) 마이크로파 출력 장치 및 플라즈마 처리 장치
US10755897B2 (en) Plasma processing apparatus and plasma processing method
KR20180030800A (ko) 플라스마 처리 장치 및 플라스마 처리 방법
JP2019036483A (ja) プラズマ処理装置
KR20190053793A (ko) 펄스 모니터 장치 및 플라즈마 처리 장치
KR102316591B1 (ko) 유도결합형 플라즈마 발생장치용 안테나 및 그의 제어방법과 그를 포함하는 유도결합 플라즈마 발생장치
JP7318114B2 (ja) プラズマ安定性を改善するための同調方法
KR102370012B1 (ko) 바이어스 동작에 대한 rf 맞춤조정된 전압
KR20210113073A (ko) 플라즈마 처리 장치
JP2017123214A (ja) プラズマ処理装置
US20240079212A1 (en) Scanning impedance measurement in a radio frequency plasma processing chamber