TWI417959B - 具有射頻相位控制之感應耦合電漿反應器及其使用方法 - Google Patents

具有射頻相位控制之感應耦合電漿反應器及其使用方法 Download PDF

Info

Publication number
TWI417959B
TWI417959B TW099106488A TW99106488A TWI417959B TW I417959 B TWI417959 B TW I417959B TW 099106488 A TW099106488 A TW 099106488A TW 99106488 A TW99106488 A TW 99106488A TW I417959 B TWI417959 B TW I417959B
Authority
TW
Taiwan
Prior art keywords
phase difference
plasma reactor
generator
phase
source
Prior art date
Application number
TW099106488A
Other languages
English (en)
Other versions
TW201103086A (en
Inventor
Samer Banna
Valentin N Todorow
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201103086A publication Critical patent/TW201103086A/zh
Application granted granted Critical
Publication of TWI417959B publication Critical patent/TWI417959B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

具有射頻相位控制之感應耦合電漿反應器及其使用方法
本發明之實施例大體係關於半導體基材處理系統,且更特定言之係關於使用感應耦合電漿的半導體基材處理系統。
通常,電漿反應器採用具固定平均功率或電壓的射頻(RF)功率源來激發真空腔室的電漿。其中RF功率源感應耦合處理腔室的電漿反應器亦稱為感應耦合電漿(ICP)反應器,其例如廣泛用於矽和金屬蝕刻應用。此類反應器大多設有附加RF產生器鄰接基材,其中電漿電容耦合至腔室。此附加RF產生器常指稱偏壓RF產生器。就半導體產業而言,ICP反應器的源和偏壓常用頻率包括13.56兆赫(MHz)。
本發明發現由於源與偏壓間的耦合天性使然,ICP反應器幾乎可獨立經由源RF功率控制電漿密度及經由偏壓RF功率控制離子能量。但若偏壓功率夠大而改變主要受源支配的電漿性質,兩個源間仍會發生部分RF耦合。因各產生器產生頻率有些微不同(如結晶差異所致),故此耦合會造成電漿不穩定。為克服此缺點,可使用共用激發器迫使兩個產生器產生一樣的單一頻率。
然不幸的是,本發明發現因各產生器產生的訊號相位 錯位所引起的另一潛在問題。例如,雖然目前市售ICP反應器試圖對準源和偏壓訊號以具零相位差,但實際相位差很少為零(若有)。此外,源與偏壓產生器間自當存有實際相位的差異,導致其各自相位差亦有腔室之間的差異。該腔室間的相位差將影響一致處理相同腔室的能力。
因此,本發明提供改良之感應耦合電漿反應器。
本發明之實施例大體上提供具基材RF偏壓之感應耦合電漿(ICP)反應器,其能控制ICP源(第一RF源)與基材偏壓(第二RF源)間的RF相位差,以做為用於半導體產業的電漿處理反應器。RF相位差控制對製程微調而言提供了強大的環節。例如,控制RF相位差有利於控制製程,例如控制平均蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜、CD範圍、自行直流(DC)偏壓(VDC)控制等其中的一或多個。控制RF相位差更有利於提供多個電漿反應器(或任一或多個具所要基線之電漿反應器或標準電漿反應器)間的腔室匹配。
在一些實施例中,提供一種匹配電漿反應器,包括真空腔室;第一RF源,其以第一頻率提供第一射頻(RF)訊號,並感應耦合真空腔室;以及第二RF源,其以第一 頻率提供第二RF訊號至設置鄰接且位於待偏壓基材底下的電極;其中第一和第二RF源各自提供具第一相位差的訊號,該第一相位差預設匹配與此電漿反應器匹配之第二電漿反應器的第二相位差。
在一些實施例中,提供一種半導體處理系統,其可包括具感應耦合源RF產生器和偏壓RF產生器的第一電漿反應器,且兩個RF產生器以共用頻率提供偏移第一相位差的各自訊號;具感應耦合源RF產生器和偏壓RF產生器的第二電漿反應器,且兩個RF產生器以共用頻率提供偏移第二本質相位差的各自訊號,第二本質相位差不同於第一電漿反應器之源和偏壓RF產生器的第一本質相位差,第二電漿反應器更具有相位調整電路,用以改變第二本質相位差成所要第二相位差;及其中第二相位差設定為偏離第一相位差之一預定偏移量。
在一些實施例中,提供一種控制感應耦合電漿反應器之相位差的方法,其可包括提供第一電漿反應器,其包括與第一電漿反應器耦合之源RF產生器和偏壓RF產生器,且兩個RF產生器以共用頻率提供RF訊號,且源與偏壓RF產生器間有第一相位差;提供第二電漿反應器,其包括與第二電漿反應器耦合之源RF產生器和偏壓RF產生器,且兩個RF產生器以共用頻率提供RF訊號,且源與偏壓RF產生器間有第二相位差;比較第一和第二相位差,以計算兩者間的第三相位差;以及將第三相位差調整成等於所要第三相位差。
在一些實施例中,提供一種控制感應耦合電漿反應器之製程的方法,其可包括監控包括測量陰極之VDC、電壓、電流及/或電壓與電流間之相位、蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜或CD範圍的至少一個參數;以及調整源RF產生器與偏壓RF產生器間的第一本質相位差,其中兩個RF產生器回應監控之參數以共用頻率提供RF訊號至感應耦合電漿反應器。
其他和進一步之實施例將詳述於下。
本發明之實施例大體上提供具基材RF偏壓之感應耦合電漿(ICP)反應器,其能控制ICP源(第一RF源)與基材偏壓(第二RF源)間的RF相位差,以做為用於半導體產業的電漿處理反應器。此外,本發明之實施例提供控制處理腔室中源與偏壓RF產生器間之相位差的方法。此RF相位控制可用於電容耦合電漿反應器和本文所述ICP電漿反應器。RF相位差控制對製程微調而言提供了強大的環節。利用此環節可處理以下態樣:平均蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜(如蝕刻速度不對稱)、臨界尺寸(CD)均一性、CD偏斜(如CD不對稱)、CD範圍、自行DC偏壓控制和腔室匹配。
第1圖圖示感應耦合電漿(ICP)反應器100,在一實 施例中,其用於蝕刻半導體晶圓122(或其他基材和工件,例如光罩)。亦可依所述教示適當修改及/或使用具其他配置的其他ICP反應器。或者,第1圖之示例性ICP反應器可修改成具有其他ICP反應器的一部分。可依所述教示修改的ICP反應器實例包括Valentin N.Todorow等人於西元2008年7月30日申請之美國專利申請案第12/182,372號、標題為「場加強感應耦合電漿(FE-ICP)反應器(FIELD ENHANCED INDUCTIVELY COUPLED PLASMA(FE-ICP)REACTOR)」;Valentin N.Todorow等人於西元2010年10月26日申請之美國臨時專利申請案第61/254,833號、標題為「感應耦合電漿設備(INDUCTIVELY COUPLED PLASMA APPARATUS)」;和Samer Banna等人於西元2010年10月26日申請之美國臨時專利申請案第61/254,837號、標題為「具可調式相位線圈組件之雙模感應耦合電漿(DUAL MODE INDUCTIVELY COUPLED PLASMA WITH ADJUSTABLE PHASE COIL ASSEMBLY)」所揭露的ICP反應器。
雖然本發明所述實施例是描述蝕刻反應器和製程,但本發明當可應用到採用具相同頻率之感應耦合RF功率和RF偏壓源的任何類型電漿製程。此類反應器包括電漿退火反應器、電漿加強化學氣相沈積反應器、物理氣相沈積反應器、電漿清潔反應器等。此外,如上述,本文所述原則亦可有益於具電容耦合RF源產生器之電漿反應器。
此所示反應器100包含真空腔室101、製程氣體供應器126、控制器114、第一RF功率源112、第二RF功率源116、第一匹配網路110和第二匹配網路118。
真空腔室101包含主體102,其含有陰極基座120,以構成供晶圓122使用的基座。處理腔室的頂壁或蓋子103具有至少一個天線組件104鄰接頂壁103。在本發明之一實施例中,天線組件104包含一對天線106、108。本發明之其他實施例可使用一或多個天線、或可利用電極替代天線來耦合RF能量至電漿。在此特定示例性實施例中,天線106和108感應耦合能量至製程氣體或製程氣體供應器126供給主體102內部空間的氣體。天線106和108供應的RF能量感應耦合至製程氣體,而於晶圓122上方的反應區形成電漿124。反應氣體將蝕刻晶圓122上的材料。
在一些實施例中,提供至天線組件104的功率點燃電漿124,提供至陰極基座120的功率則控制電漿124。如此,RF能量耦合至天線組件104和陰極基座120。第一RF功率源112供應能量給第一匹配網路110,接著將能量耦合至天線組件104。同樣地,第二RF功率源116將能量耦合至第二匹配網路118,接著將能量耦合至陰極基座120。控制器114控制啟動與停用RF功率源112、116的時序及調整第一和第二匹配網路110、118。耦合至天線組件104的功率已知為源功率,耦合至陰極基座120的功率已知為偏壓功率。在本發明之實施例中,源 功率、偏壓功率或兩者可在連續波(CW)模式或脈衝模式下操作。
在一些實施例中,可提供共用激發器連結140以耦接第一和第二RF源112、116,以協助RF源(主)產生的單一RF頻率由其他RF產生器(從)使用。任一RF源可為首或主RF產生器,其他產生器則跟隨或從屬於其。在一些實施例中,第一RF源112為主,第二RF源116為從。故第一和第二RF源112、116可各自提供具絕對相同RF頻率的訊號(如同其由單一源(主產生器)產生)。然而各自訊號的時間或相位會偏離一些固有量。此在本文中稱為訊號間的本質相位差。第一和第二RF源112、116間的本質相位差更可由相位調整或延遲電路142調整,該電路可提供於內部(如產生器內)或外部(如產生器之間)。在第1圖所示之實施例中,延遲電路142提供於從RF源(第二RF源116)。延遲電路可提供送給從RF源輸出144的訊號中0至360度延遲,以協助控制各訊號間的相位差(例如,第一和第二RF源間的相位差可按任何增量從兩個RF源間之本質相位差起點控制或改變成高達且包括360度)。如此可控制第一和第二RF源112、116完全同步或在任何所要時間偏移或相位差下操作。
在一些實施例中,第一指示裝置150和第二指示裝置152可用來決定匹配網路110、118匹配電漿124的能力的有效性。在一些實施例中,指示裝置150和152監控 自各匹配網路110、118反射的反射功率。此等裝置可整合到匹配網路110、118或功率源112、116。然為便於說明,其在此圖示為脫離匹配網路110、118。當反射功率用作指示時,裝置150、152分別耦接於源112、116與匹配網路110、118之間。為產生反射功率指示訊號,裝置150、152為耦接至RF偵測器的定向耦接器,使得匹配有效性指示訊號為電壓,其表示反射功率強度。反射功率大代表不匹配的狀況。裝置150、152產生的訊號耦接至控制器114。控制器114回應指示訊號,產生調整訊號(匹配網路控制訊號),該調整訊號耦接至匹配網路110、118。此訊號用來調整匹配網路110、118的電容器或電感器。調整程序試圖最小化或達到特定反射功率位準,例如指示訊號表示的反射功率。
控制器114包含中央處理單元(CPU)130、記憶體132和支援電路134。控制器114耦接至反應器100的各個部件,以協助控制蝕刻製程。控制器114經由介面調節及監控腔室處理,介面泛指類比、數位、有線、無線、光學和光纖介面。為協助控制下述腔室,CPU 130可為任一型式的通用電腦處理器,其可用於工業設定來控制不同的腔室和子處理器。記憶體132耦接至CPU 130。記憶體132或電腦可讀取媒體可為一或多種容易取得的記憶裝置,例如隨機存取記憶體、唯讀記憶體、軟碟、硬碟、或其他本端或遠端數位儲存器。支援電路134耦接至CPU 130,以藉由習知方式支援處理器。此等電路 包括快取記憶體儲存器、電源、時脈電路、輸入/輸出電路和相關子系統等。
處理指令(如蝕刻或其他處理指令)一般儲存於記憶體132當作軟體常式,其通常稱為配方。軟體常式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU遠離CPU 130控制的硬體。由CPU 130執行時,軟體常式將通用電腦轉換成特定用途電腦(控制器114),其控制系統操作,例如控制蝕刻製程期間的電漿。雖然本發明之製程是以軟體常式為例實施,但本文所述一些方法步驟可實施於硬體及由軟體控制器執行。故本發明可實施於電腦系統執行的軟體和作為特定應用積體電路的硬體或其他類型的硬體、或軟體與硬體的組合。
在一些實施例中,半導體處理系統可具有兩個或兩個以上處於匹配狀態且配置相仿之感應耦合電漿反應器(如配置類似上述感應耦合電漿(ICP)反應器100)。例如,第一電漿反應器2021可匹配第二電漿反應器2022,並視情況匹配多達N個電漿反應器(表示為202N)。每一電漿反應器2021-N的配置可類似上述感應耦合電漿(ICP)反應器100。因此,每一電漿反應器2021-N各自的第一與第二RF源間(如其各自的源與偏壓RF產生器間)有本質相位差。各本質相位差可為相同或不同,但因各系統製造與組裝差異的天性使然而很有可能不同。
因此,任一電漿反應器2021-N(如電漿反應器2021) 可包括真空腔室;第一RF源(如源RF產生器),其以第一頻率提供第一射頻(RF)訊號並感應耦合真空腔室;以及第二RF源產生器(如偏壓RF產生器),其以第一頻率提供第二RF訊號至設置鄰接且位於待偏壓基材底下的電極。給定反應器的第一和第二RF源提供具第一相位差的各自訊號,可將第一相位差預設匹配與此電漿反應器匹配之第二電漿反應器的第二相位差。
藉由改變第一與第二RF源間的本質相位差,可預設第一相位差,以匹配第二電漿反應器各自之第一與第二RF源間的第二相位差。第一相位差可利用延遲電路(如上述延遲電路142)改變。第二電漿反應器的第二相位差可為反應器的本質相位差、或控制第二電漿反應器達到的其他相位差。例如,第二相位差可改變自第二電漿反應器的本質相位差,以匹配遠端電漿反應器、匹配基線或標準電漿反應器之經驗獲得或模型分析相位差、提供製程控制、補償製程漂移、或依據下述方法提供所要處理結果。如此,兩個或兩個以上電漿反應器可匹配遠端電漿反應器、基線電漿反應器的預定相位差、或互相匹配。此外,可依據經由相位控制而控制的其他度量「匹配」兩個或兩個以上電漿反應器,度量例如自行偏壓VDC或下述其他參數。
在一些實施例中,可視情況設置且配置控制器204以接收代表第一電漿反應器之第一與第二RF源間之第一本質相位差的第一輸入、和代表第二電漿反應器之第一 與第二RF源間之第二本質相位差的第二輸入。控制器204更經配置以計算第一本質相位差與第二本質相位差間的相位偏移。或者,控制器可經配置以接收代表相位偏移的輸入,該輸入例如經不同控制器計算或人工計算。
控制器204可類似上述控制器114,且可為電漿反應器的控制器,或亦耦接至電漿反應器的獨立控制器。控制器204可經配置以任何適當方式接收第一與第二輸入、或相位偏移輸入,該等方式例如人工鍵入資料、自動收集及輸入資料輸入、或其組合。
在一些實施例中,控制器204進一步經配置以控制第二電漿反應器的延遲電路使相位偏移應用到第二本質相位差,而建立等於第一本質相位差的相位差。或者,兩個或兩個以上電漿反應器可各自有相位偏移,其經應用以修改其各自的本質相位差以匹配所要相位偏移。如此,一或多個(包括全部)待匹配電漿反應器的相位差可匹配任一電漿反應器之現存本質相位差或其他所要相位差。在一些實施例中,一或多個(包括全部)待匹配電漿反應器的相位差控制成不同值,以匹配其他參數,例如自行偏壓VDC或經由相位控制而控制的下述其他參數。
雖然上文是以獨立控制器為例說明,但各電漿反應器2021-N可如上述配置個別控制器且不需使用獨立控制器204。故控制器204的任一或多種能力可提供於任一或多個電漿反應器2021-N的控制器中。
在一些實施例中,控制感應耦合電漿反應器之相位差的方法可包括提供第一電漿反應器,其包括與第一電漿反應器耦接之源RF產生器和偏壓RF產生器,且兩個RF產生器以共用頻率提供RF訊號。源與偏壓RF產生器間存有第一相位差。第一相位差可為本質相位差或其他相位差。提供第二電漿反應器,其包括與第二電漿反應器耦接之源RF產生器和偏壓RF產生器,且兩個RF產生器以共用頻率提供RF訊號。源與偏壓RF產生器間存有第二相位差。第二相位差可為本質相位差或其他相位差。可比較第一和第二相位差,以計算第一與第二相位差間的第三相位差。將第三相位差調整成等於所要第三相位差。藉由控制一或多個第一相位差或第二相位差,調整第三相位差。此方法亦可應用控制兩個以上之電漿反應器的相對相位差。
第3圖圖示根據本發明一些實施例,匹配電漿反應器之方法300的流程圖,其可用於上述電漿反應器。方法300通常始於步驟302,其決定第一和第二電漿反應器各自之第一與第二本質相位差間的相位差。
相位差可以各種方式決定。例如,在一些實施例中,如第4圖方法400所示,藉由直接測量耦接至各電漿反應器之RF源的本質相位差(指稱直接測量),可計算相位差。例如,方法400始於步驟402,其決定第一本質相位差。例如可藉由連接第一和第二RF源的各輸出至示波器、或其他直接測量第一與第二RF源各相位的裝置並 比較測量值而得相位差,來決定第一本質相位差。如步驟404所示,將此重複用於第二電漿反應器,以決定第二本質相位差。可測量期望附加電漿反應器所匹配的額外本質相位差(未圖示)。接著,在步驟406中,可比較第一和第二本質相位差(和其他本質相位差(若有)),以計算兩者間的相位差。
或者,相位差的決定可藉由繪製與各待匹配電漿反應器之相位有關的特性圖、然後比較繪圖而決定相位差(稱为經驗決定)。例如,第5圖為決定兩個或兩個以上電漿反應器之相位差之方法500的流程圖,其適用於上述方法300的步驟302(除了別的用途外)。方法500進一步參照第6圖說明,其為相位差對應基材上之測量DC伏特(VDC)的曲線圖。雖然本文中是以第5-6圖為例說明基材上之測量VDC(和其他位置),但亦可採用其他均等參數。例如,VDC可由測量陰極之電壓、電流及/或電壓與電流間之相位評估而得。例如,陰極端之電壓、電流和電壓與電流間之相位可經由位於陰極或偏壓RF匹配網路的z掃描(阻抗測量裝置)測量。若不直接測量,則此等參數可用來評估/計算晶圓級別的VDC。如此可響應VDC或其他均等參數(或控制VDC值或其他均等參數)決定、監控及/或控制相位差。
方法500通常始於步驟502,其在第一電漿反應器處理期間,利用相位調整電路(如電路142)於0至360度的複數個相位調整位置,測量基材之VDC。處理可為 任何製程,包括測試製程或生產製程。基材之VDC可以任何適當方式測量。在一些實施例中,基材之VDC是依據偏壓匹配電路(如第1圖所示之第二匹配網路118)的電流、電壓和相位測量值計算而得。相位調整電路的複數個位置(和測量次數)可為用於獲得適合曲線圖的任何數目。例如,增量1度將產生360個測量值,然更大的增量(如5、10、15或20度、或其他增量)將減少所需的測量次數,並提供適當資料來獲得適合所述用途之曲線圖。
例如,第6圖為第一相位差(Φ1)和第二相位差(Φ2)的曲線圖600,其顯示自行DC偏壓(VDC)(軸604)為相位(軸602)的函數。軸602上的相位代表各電漿反應器之相位調整電路的位置,且非任何電漿反應器之第一與第二RF源間的本質相位差。從曲線圖600可見,可自0至360度(如相位調整電路的位置)之複數個相位差獲得VDC測量值。
接著,在步驟504中,第二電漿反應器進行相同處理期間,利用相位調整電路,於0至360度之多個相位調整位置重複進行此製程,以測量基材之VDC,進而獲得類似繪圖(如第6圖所示之Φ2)。
在步驟506中,可比較所得之VDC測量繪圖,以決定第一與第二電漿反應器間的相位偏移。例如,如第6圖所示,測量Φ1與Φ2曲線圖之軸602上的對應點間距,以得相位差度數。
參照第3圖,在步驟304中,在決定第一和第二電漿反應器之第一與第二本質相位差間的相位差後,可修改第一及/或第二本質相位差,以得到所要匹配相位差。所要匹配相位差可等於第一或第二本質相位差(如調整一或多個電漿反應器的相位差來匹配另一電漿反應器的相位差),或者,所要匹配相位差可為其他相位差(如可調整所有電漿反應器的相位差來匹配一些不同相位)。本文中所使用的匹配兩個或兩個以上電漿反應器包括例如藉由匹配其他測量參數,例如基材上之VDC、電壓、電流及/或陰極之相位等,匹配兩個或兩個以上電漿反應器間的相位差或控制該兩個或兩個以上電漿反應器中一或多個電漿反應器的相位差,以匹配處理結果。
例如,第7圖圖示根據本發明一些實施例,用以匹配兩個或兩個以上電漿反應器之相位的方法700,並且其適用於方法300的步驟304。方法700始於步驟702,其中計算相位偏移。相位偏移可以上述任何方式計算而得(如利用相對第4圖所討論之直接測量或相對第5-6圖所討論之經驗決定)。
接著,在步驟704中,可以計算之相位偏移改變第二電漿反應器之第一與第二RF源間的第二本質相位差,以匹配第一電漿反應器的第一本質相位差。故就相位而論,可精確匹配第一與第二電漿反應器(和其他電漿反應器)間的相位差。
或者,各電漿反應器可不匹配某一電漿反應器中存在 的本質相位差,而是修改其相位差以匹配其他所要相位差。例如,如第8圖方法800所示,在步驟802中,可以第一相位偏移將第一電漿反應器的第一本質相位差修改成等於所要相位差。接著,在步驟804中,可以第二相位偏移將第二電漿反應器的第二本質相位差修改成匹配第一電漿反應器所提供的所要相位差。藉由修改所有電漿反應器的本質相位差成其他所要程度,以匹配各相位差。
上述方法是關於互相匹配感應耦合電漿反應器的相位差。本發明開發新裝置和方法來更精確地匹配多個電漿反應器的相位差。此外,本發明發現電漿反應器的相位差會影響不同處理參數和處理結果,並且比目前習知系統更能精確地匹配相位差而有利於改善製程控制。
例如,本發明發現控制感應耦合電漿反應器(如上述反應器100)之第一與第二RF源(如源與偏壓RF源)間的相位差有助於控制製程參數(如VDC)或其他均等參數,例如陰極之電壓、電流及/或相位、和處理結果,例如蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜(如蝕刻速度分佈不對稱)、臨界尺寸(CD)均一性、CD偏斜或CD範圍等。
如此控制給定電漿反應器的相位差有助於控制該反應器的處理效能。依此控制更有助於補償些微製程漂移、及延長清潔或維修電漿反應器每次相隔的平均時間。再者,依此控制有助於匹配多個電漿反應器,因而有益於 讓工具至工具間的處理更均勻。
例如,第9圖圖示根據本發明一些實施例,用以處理基材之方法900的流程圖。方法900通常始於步驟902,其中監控包括基材上之VDC、測量陰極之電壓、電流及/或電壓與電流間之相位、蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜或CD範圍的至少一個製程參數。監控可於處理期間執行、或於完成處理後(原位或於外部測量站)測量基材。接著,在步驟904中,可回應監控之參數,將電漿反應器之第一與第二RF源間的第一本質相位差修改成所要相位差。或者,若第一電漿反應器已以不同於第一本質相位差的修改相位差操作,則可如上述回應監控之參數進一步修改或控制修改相位差,以提供第二修改相位差。
因自行DC偏壓為特徵化轟擊晶圓之離子能量的主要參數之一,故相較於未提供DC控制的處理設備和技術,改變給定腔室的相位來精確控制DC值當可提升效能。經由相位控制進行DC偏壓控制更不需改變RF功率或其他製程參數,進一步提升效能。因此本文所述裝置和方法有利於在自行DC偏壓變化範圍內提供給定製程對應相位差的DC偏壓控制。例如,從第6圖可見,特定製程期間測量之VDC會隨源與偏壓RF源(如第一與第二RF源112、116)間的相位差變化。因此,利用相位控制電路(如相位控制電路142)控制相位差,可將處理期間的所得VDC控制在VDC MAX至VDC MIN的範圍內。故就 給定製程而言,可挑選範圍內的VDC偏壓值,且單單調整相位即可得此值。可控制所得VDC以匹配另一電漿反應器的VDC值,或自行控制所得VDC而控制特定電漿反應器的處理。
第15圖圖示VDC偏壓對應相位的繪圖1500,其圖示4個任意控制區域(標示為A-D)。區域A-D代表4個不同的相位控制區域。在區域A和C中,電漿反應器是在近似最小和最大的VDC偏壓下操作。在第15圖中,區域A和C的邊界分別任意劃在最小值和最大值的約5%以內(如箭頭1502和1504所示)。故在區域A和C中,100度的相位變化將容忍小於或等於約5%的VDC偏壓變化。在區域B和D中,電漿反應器是約在平均VDC偏壓下操作。在第15圖中,區域B和D的邊界任意劃在VDC偏壓平均值的約±5%以內(如箭頭1506所示)。故在區域B和D中,在平均值附近只有約25度的相位可容忍約5%的VDC偏壓變化。此等範圍和邊界僅為任意舉例說明,而非用以限定本發明之範圍。在此等不同區域操作有助於判斷多個腔室間的相位匹配需如何緊密,以確保其相匹配或落在腔室間之晶圓上效能的嚴格容差內。
本發明發現其他處理結果控制同樣與相位差有關。故可利用本文所述相位差控制的類似控制方法以控制蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜或CD範圍。
例如,控制感應耦合電漿反應器之製程的方法包括監 控包括VDC、蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜或CD範圍的至少一個參數。可回應監控之參數控制源RF產生器與偏壓RF產生器(其以共用頻率提供RF訊號至感應耦合電漿反應器)間的第一相位差,以控制所要處理結果。
例如,第10圖為蝕刻製程中對基材之蝕刻速度的一連串示例性繪圖。例如,製程為使用250標準立方公分每分鐘(sccm)之三氟甲烷(CHF3)、300sccm之四氟化碳(CF4)做為蝕刻劑、500瓦(W)之源RF功率(13.56MHz)、125W之偏壓RF功率(13.56MHz)、在30毫托耳之腔室壓力下,蝕刻毯覆氮化矽晶圓(200nm)。在各相位差中,可看到不同的蝕刻速度、平均蝕刻速度、蝕刻速度範圍和蝕刻速度偏斜圖案。選擇觀察範圍內的所要相位差有助於在此等變量間獲得所要處理結果。
第11圖為平均蝕刻速度隨相位差變化的示例性繪圖。第12圖為自行DC偏壓(VDC)隨相位差變化的示例性繪圖。比較兩繪圖後可知,平均蝕刻速度取決於相位差依循自行DC偏壓(VDC)。第11及12圖僅為代表性繪圖,且蝕刻速度或自行DC偏壓(VDC)曲線可能有些差異。在大部分的情況下,蝕刻速度曲線將依循VDC曲線,例如其為正弦曲線。此外,雖然第11及12圖只圖示蝕刻速度和VDC,但本發明發現相位控制同樣與均等RF參數有關,例如測量陰極之電流、電壓、及/或電 流/電壓相位。故監控均等RF參數(如測量陰極之電流、電壓或電壓/電流相位)時,亦可採用本文所述相位控制方法。
第13圖為自行DC偏壓(VDC)隨三個不同ICP反應器之相位差變化的繪圖。從重疊圖可見,各腔室的相位差或自行DC偏壓(VDC)為互相匹配。例如,第14圖為蝕刻製程中對基材之蝕刻速度的一連串示例性繪圖,其類似第10圖用於第13圖之三個ICP反應器之繪圖。繪圖顯示藉由控制各反應器的VDC偏壓,不同處理腔室可得到類似的蝕刻速度、平均蝕刻速度、蝕刻速度範圍和蝕刻速度偏斜圖案。
對上述用以互相匹配感應耦合電漿反應器之相位差的方法而言,電漿反應器可為位於單一地點(如半導體製造場所)或多個地點(如多個製造場所、或一或多個製造場所和實驗室等)之複數個電漿反應器的一部分。因此,電漿反應器可於地點內局部匹配、或於全世界的任何位置間整體匹配(如遠程匹配)。
雖然本發明之較佳實施例揭露如上,然而可修改本發明之其他及進一步的實施例,而不脫離本發明之基本範圍。
100‧‧‧反應器
101‧‧‧真空腔室
102‧‧‧主體
103‧‧‧頂壁/蓋子
104‧‧‧天線組件
106、108‧‧‧天線
110、118‧‧‧匹配網路
112、116‧‧‧功率源
114‧‧‧控制器
120‧‧‧基座
122‧‧‧晶圓
124‧‧‧電漿
126‧‧‧氣體供應器
130‧‧‧CPU
132‧‧‧記憶體
134‧‧‧支援電路
140‧‧‧激發器連結
142‧‧‧延遲電路
144‧‧‧輸出
150、152‧‧‧指示裝置
2021-N‧‧‧反應器
204‧‧‧控制器
300、400、500、700、800、900‧‧‧方法
302、304、402、404、406、502、504、506、702、704、802、804、902、904‧‧‧步驟
600‧‧‧曲線圖
602、604‧‧‧軸
1500‧‧‧繪圖
1502、1504、1506‧‧‧箭頭
A-D‧‧‧區域
為讓本發明之上述概要特徵更明顯易懂,可配合參考 實施例說明,其部分乃圖示在附圖。然而,須注意,所附圖式僅說明本發明典型實施例,故其並非用以限定本發明之精神與範圍,因為本發明可接納其他等效實施例。
第1圖為根據本發明一些實施例之感應耦合電漿(ICP)反應器的示意圖。
第2圖為根據本發明一些實施例之半導體基材處理系統的示意圖。
第3圖為根據本發明一些實施例之用以匹配感應耦合電漿反應器之相位差的方法流程圖。
第4圖為根據本發明一些實施例之用以決定感應耦合電漿反應器之相位差的方法流程圖。
第5圖為根據本發明一些實施例之用以決定感應耦合電漿反應器之相位差的方法流程圖。
第6圖為VDC對應相位差的曲線圖。
第7圖為根據本發明一些實施例之用以匹配感應耦合電漿反應器之相位差的方法流程圖。
第8圖為根據本發明一些實施例之用以匹配感應耦合電漿反應器之相位差的方法流程圖。
第9圖為根據本發明一些實施例之用以控制感應耦合電漿反應器之製程的方法流程圖。
第10圖為根據本發明一些實施例,蝕刻製程中對基材之蝕刻速度的一連串示例性繪圖。
第11圖為根據本發明一些實施例,就蝕刻製程而言,平均蝕刻速度隨相位差變化的繪圖。
第12圖為根據本發明一些實施例,就蝕刻製程而言,自行DC偏壓(VDC)隨相位差變化的繪圖。
第13圖為根據本發明一些實施例,就蝕刻製程而言,自行DC偏壓(VDC)隨三個不同ICP反應器之相位差變化的繪圖。
第14圖為根據本發明一些實施例,蝕刻製程中對基材之蝕刻速度的一連串示例性繪圖。
第15圖為自行DC偏壓(VDC)隨相位變化的示例性繪圖。
為便於了解,以上圖式已經簡化且未按比例繪製。
100‧‧‧反應器
101‧‧‧真空腔室
102‧‧‧主體
103‧‧‧頂壁/蓋子
104‧‧‧天線組件
106、108‧‧‧天線
110、118‧‧‧匹配網路
112、116‧‧‧功率源
114‧‧‧控制器
120‧‧‧基座
122‧‧‧晶圓
124‧‧‧電漿
126‧‧‧氣體供應器
130‧‧‧CPU
132‧‧‧記憶體
134‧‧‧支援電路
140‧‧‧激發器連結
142‧‧‧延遲電路
144‧‧‧輸出
150、152‧‧‧指示裝置

Claims (20)

  1. 一種匹配電漿反應器,包含:一真空腔室;一第一RF源,該第一RF源以一第一頻率提供一第一射頻(RF)訊號,並感應耦合至該真空腔室;及一第二RF源,該第二RF源以該第一頻率提供一第二RF訊號至一電極,該電極設置鄰接且位於待偏壓之一基材底下;其中該第一RF源和該第二RF源各自提供具一第一相位差的訊號,且該第一相位差經預設以匹配與該電漿反應器匹配之一第二電漿反應器的一第二相位差。
  2. 一種半導體處理系統,包含:一第一電漿反應器,該第一電漿反應器具有一感應耦合源RF產生器和一偏壓RF產生器,其中兩個RF產生器以一共用頻率各自提供偏移一第一相位差的訊號;一第二電漿反應器,該第二電漿反應器具有一感應耦合源RF產生器和一偏壓RF產生器,其中兩個RF產生器以一共用頻率各自提供偏移一第二本質相位差的訊號,該第二本質相位差不同於該第一電漿反應器之該源RF產生器與該偏壓RF產生器的一第一本質相位差,該第二電漿反應器進一步具有一相位調整電路,用以將該第二本質相位差改變成一所要第二相位差;及 其中該第二相位差經設定偏離該第一相位差一預定偏移量。
  3. 如申請專利範圍第2項之半導體處理系統,其中該第二相位差經由該相位調整電路預先配置以對應該第一相位差。
  4. 如申請專利範圍第2項之半導體處理系統,進一步包含:一控制器,該控制器經配置以接收代表該第一相位差的一第一輸入和代表該第二相位差的一第二輸入,並計算該第一相位差與該第二相位差間的一相位偏移。
  5. 如申請專利範圍第2項之半導體處理系統,進一步包含:一控制器,該控制器經配置以控制該相位調整電路以將一相位偏移應用到該第二相位差,使該第二相位差等於該第一相位差。
  6. 如申請專利範圍第5項之半導體處理系統,其中該第一相位差等於該第一本質相位差。
  7. 如申請專利範圍第5項之半導體處理系統,其中該第一相位差不同於該第一本質相位差。
  8. 一種控制感應耦合電漿反應器之相位差的方法,該方法包含以下步驟:提供一第一電漿反應器,該第一電漿反應器包括與其耦合之一源RF產生器和一偏壓RF產生器,其中兩個RF產生器以一共用頻率提供RF訊號,且該源RF產生器與該偏壓RF產生器間有一第一相位差;提供一第二電漿反應器,該第二電漿反應器包括與其耦合之一源RF產生器和一偏壓RF產生器,其中兩個RF產生器以一共用頻率提供RF訊號,且該源RF產生器與該偏壓RF產生器間有一第二相位差;比較該第一相位差和該第二相位差,以計算兩者間的一第三相位差;及將該第三相位差調整成等於一所要第三相位差。
  9. 如申請專利範圍第8項之方法,進一步包含以下步驟:決定該第一電漿反應器之該源RF產生器與該偏壓RF產生器間的一第一本質相位差;決定該第二電漿反應器之該源RF產生器與該偏壓RF產生器間的一第二本質相位差;及調整該第二電漿反應器的該第二本質相位差,以得到該所要第三相位差。
  10. 如申請專利範圍第9項之方法,其中該所要第三相位差約為零。
  11. 如申請專利範圍第10項之方法,進一步包含以下步驟:將該第一電漿反應器的一相位差調整成一不同於該第一本質相位差的值。
  12. 如申請專利範圍第9項之方法,其中該所要第三相位差為一非零值。
  13. 如申請專利範圍第12項之方法,進一步包含以下步驟:將該第一電漿反應器的一相位差調整成一不同於該第一本質相位差的值。
  14. 如申請專利範圍第9項之方法,其中:決定該第一本質相位差之步驟包含以下步驟:在一第一電漿反應器執行一製程期間,利用一相位調整電路於0-360度的多個相位調整位置,測量一基材之一VDC;及決定該第二本質相位差之步驟包含以下步驟:在一第二電漿反應器執行一製程期間,利用一相位調整電路於0-360度的多個相位調整位置,測量一基材之一VDC; 及計算該第三相位差之步驟包含以下步驟:比較該些VDC測量結果的一繪圖,以決定該第一電漿反應器與該第二電漿反應器間的該第三相位差。
  15. 如申請專利範圍第8項之方法,其中該第一電漿反應器設在遠離該第二電漿反應器的一位置。
  16. 一種控制一感應耦合電漿反應器中之一製程的方法,該方法包含以下步驟:監控一參數,該參數包括測量陰極之VDC、電壓、電流及/或一電壓/電流相位、蝕刻速度、蝕刻速度均勻度、蝕刻速度偏斜、臨界尺寸(CD)均一性、CD偏斜或CD範圍的至少一者;及修改一源RF產生器與一偏壓RF產生器間的一第一相位差,兩個RF產生器回應該監控參數以一共用頻率提供RF訊號至該感應耦合電漿反應器。
  17. 如申請專利範圍第16項之方法,進一步包含以下步驟:將該第一相位差修改成等於一預定所要相位差。
  18. 如申請專利範圍第16項之方法,進一步包含以下步驟: 依據該源RF產生器與該偏壓RF產生器間之該相位差和該監控參數間的一預定相關性,修改該第一相位差。
  19. 如申請專利範圍第18項之方法,其中該監控參數為一VDC,且其中該相位差和該監控參數間的該預定相關性進一步與一所要處理結果有關。
  20. 如申請專利範圍第16項之方法,進一步包含以下步驟:持續或定期監控該參數隨時間的變化及回應該監控參數修改該第一相位差來補償製程漂移。
TW099106488A 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法 TWI417959B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15788209P 2009-03-05 2009-03-05
US12/717,358 US8368308B2 (en) 2009-03-05 2010-03-04 Inductively coupled plasma reactor having RF phase control and methods of use thereof

Publications (2)

Publication Number Publication Date
TW201103086A TW201103086A (en) 2011-01-16
TWI417959B true TWI417959B (zh) 2013-12-01

Family

ID=42677186

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099106488A TWI417959B (zh) 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法
TW099106491A TWI558273B (zh) 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW099106491A TWI558273B (zh) 2009-03-05 2010-03-05 具有射頻相位控制之感應耦合電漿反應器及其使用方法

Country Status (3)

Country Link
US (2) US9378930B2 (zh)
TW (2) TWI417959B (zh)
WO (2) WO2010102125A2 (zh)

Families Citing this family (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
KR101893471B1 (ko) * 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
US20130284369A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Two-phase operation of plasma chamber by phase locked loop
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9408288B2 (en) * 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9107284B2 (en) * 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9312106B2 (en) * 2013-03-13 2016-04-12 Applied Materials, Inc. Digital phase controller for two-phase operation of a plasma reactor
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR101517489B1 (ko) * 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
US9336995B2 (en) 2013-04-26 2016-05-10 Mks Instruments, Inc. Multiple radio frequency power supply control of frequency and phase
DE102014105445A1 (de) * 2013-04-26 2014-10-30 Mks Instruments Inc. Frequenz- und Phasensteuerung einer Multi-Radiofrequenz-Leistungsversorgung
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10455729B2 (en) 2014-01-10 2019-10-22 Reno Technologies, Inc. Enclosure cooling system
US10431428B2 (en) 2014-01-10 2019-10-01 Reno Technologies, Inc. System for providing variable capacitance
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9697991B2 (en) 2014-01-10 2017-07-04 Reno Technologies, Inc. RF impedance matching network
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) * 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
WO2016032008A1 (ja) * 2014-08-29 2016-03-03 京セラ株式会社 センサ装置およびセンシング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10191466B2 (en) 2015-01-28 2019-01-29 Lam Research Corporation Systems and methods for synchronizing execution of recipe sets
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US11017983B2 (en) 2015-02-18 2021-05-25 Reno Technologies, Inc. RF power amplifier
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US12119206B2 (en) 2015-02-18 2024-10-15 Asm America, Inc. Switching circuit
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US11342160B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Filter for impedance matching
US11081316B2 (en) 2015-06-29 2021-08-03 Reno Technologies, Inc. Impedance matching network and method
US11342161B2 (en) 2015-06-29 2022-05-24 Reno Technologies, Inc. Switching circuit with voltage bias
US11150283B2 (en) 2015-06-29 2021-10-19 Reno Technologies, Inc. Amplitude and phase detection circuit
US11335540B2 (en) 2015-06-29 2022-05-17 Reno Technologies, Inc. Impedance matching network and method
US10984986B2 (en) 2015-06-29 2021-04-20 Reno Technologies, Inc. Impedance matching network and method
US10692699B2 (en) 2015-06-29 2020-06-23 Reno Technologies, Inc. Impedance matching with restricted capacitor switching
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9947514B2 (en) * 2015-09-01 2018-04-17 Mks Instruments, Inc. Plasma RF bias cancellation system
US9824896B2 (en) * 2015-11-04 2017-11-21 Lam Research Corporation Methods and systems for advanced ion control for etching processes
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10971333B2 (en) 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9978621B1 (en) * 2016-11-14 2018-05-22 Applied Materials, Inc. Selective etch rate monitor
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10622219B2 (en) 2016-12-06 2020-04-14 Tokyo Electron Limited Methods and systems for chamber matching and monitoring
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US11094505B2 (en) * 2017-07-07 2021-08-17 Asm Ip Holding B.V. Substrate processing apparatus, storage medium and substrate processing method
US11398370B2 (en) 2017-07-10 2022-07-26 Reno Technologies, Inc. Semiconductor manufacturing using artificial intelligence
US11101110B2 (en) 2017-07-10 2021-08-24 Reno Technologies, Inc. Impedance matching network and method
US11289307B2 (en) 2017-07-10 2022-03-29 Reno Technologies, Inc. Impedance matching network and method
US10727029B2 (en) 2017-07-10 2020-07-28 Reno Technologies, Inc Impedance matching using independent capacitance and frequency control
US11476091B2 (en) 2017-07-10 2022-10-18 Reno Technologies, Inc. Impedance matching network for diagnosing plasma chamber
US11393659B2 (en) 2017-07-10 2022-07-19 Reno Technologies, Inc. Impedance matching network and method
US10483090B2 (en) 2017-07-10 2019-11-19 Reno Technologies, Inc. Restricted capacitor switching
US11315758B2 (en) 2017-07-10 2022-04-26 Reno Technologies, Inc. Impedance matching using electronically variable capacitance and frequency considerations
US11521833B2 (en) 2017-07-10 2022-12-06 Reno Technologies, Inc. Combined RF generator and RF solid-state matching network
US10714314B1 (en) 2017-07-10 2020-07-14 Reno Technologies, Inc. Impedance matching network and method
US11114280B2 (en) 2017-07-10 2021-09-07 Reno Technologies, Inc. Impedance matching with multi-level power setpoint
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10636686B2 (en) * 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
US11749504B2 (en) * 2018-02-28 2023-09-05 Applied Materials, Inc. Methods and apparatus for common excitation of frequency generators
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN109273341B (zh) * 2018-10-18 2021-01-08 北京北方华创微电子装备有限公司 一种等离子体工艺方法
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11538662B2 (en) 2019-05-21 2022-12-27 Reno Technologies, Inc. Impedance matching network and method with reduced memory requirements
GB2584146A (en) * 2019-05-23 2020-11-25 Comet Ag Radio frequency generator
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法
US11232931B2 (en) * 2019-10-21 2022-01-25 Mks Instruments, Inc. Intermodulation distortion mitigation using electronic variable capacitor
CN114762079A (zh) 2019-12-02 2022-07-15 朗姆研究公司 射频辅助等离子体生成中的阻抗变换
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber
US11655540B2 (en) 2020-06-26 2023-05-23 Applied Materials, Inc. Methods and apparatus for adjusting wafer performance using multiple RF generators
KR20220118024A (ko) 2021-02-18 2022-08-25 삼성전자주식회사 양면 냉각 방식을 활용한 가변 주파수 비정현파 전원 장치 및 이를 포함하는 플라즈마 처리 장치
TW202314780A (zh) * 2021-06-21 2023-04-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US20010013504A1 (en) * 1994-04-28 2001-08-16 Tokyo Electron Limited Plasma treatment method and apparatus
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US20060049138A1 (en) * 2002-12-16 2006-03-09 Shoji Miyake Plasma generation device, plasma control method, and substrate manufacturing method
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4143314A (en) * 1978-03-29 1979-03-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Closed loop solar array-ion thruster system with power control circuitry
JPH07111918B2 (ja) * 1987-07-28 1995-11-29 三菱電機株式会社 マイクロ波放電光源装置
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5665256A (en) * 1995-12-19 1997-09-09 The Esab Group, Inc. Cutting or welding system having phase loss detector and method of detecting phase loss for same
JP3319285B2 (ja) * 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
JP3220394B2 (ja) * 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6060329A (en) * 1997-03-27 2000-05-09 Fujitsu Limited Method for plasma treatment and apparatus for plasma treatment
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US7435392B2 (en) * 2000-02-03 2008-10-14 Acclavis, Llc Scalable continuous production system
JP3644867B2 (ja) * 2000-03-29 2005-05-11 富士通日立プラズマディスプレイ株式会社 プラズマディスプレイ装置及びその製造方法
US6472822B1 (en) * 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6804788B1 (en) * 2000-08-03 2004-10-12 Astec America, Inc. System for controlling a switchmode power supply in a computer system by first coarsely and then finely adjusting a time-length signal
US6875366B2 (en) * 2000-09-12 2005-04-05 Hitachi, Ltd. Plasma processing apparatus and method with controlled biasing functions
JP3640609B2 (ja) * 2000-10-16 2005-04-20 アルプス電気株式会社 プラズマ処理装置,プラズマ処理システムおよびこれらの性能確認システム,検査方法
WO2002054835A2 (en) * 2001-01-08 2002-07-11 Tokyo Electron Limited Addition of power at selected harmonics of plasma processor drive frequency
US6818562B2 (en) * 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
KR100486712B1 (ko) * 2002-09-04 2005-05-03 삼성전자주식회사 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
SE0401780D0 (sv) * 2004-07-02 2004-07-02 Scandinova Ab Skyddskrets
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
JP2011525682A (ja) * 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
CA2744709C (en) * 2008-11-26 2017-03-14 Wireless Environment, Llc Wireless lighting devices and applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010013504A1 (en) * 1994-04-28 2001-08-16 Tokyo Electron Limited Plasma treatment method and apparatus
US6174450B1 (en) * 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US20020041160A1 (en) * 2000-04-06 2002-04-11 Applied Materials, Inc. Method for controlling etch uniformity
US20060049138A1 (en) * 2002-12-16 2006-03-09 Shoji Miyake Plasma generation device, plasma control method, and substrate manufacturing method
US20070247074A1 (en) * 2006-04-24 2007-10-25 Alexander Paterson Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution

Also Published As

Publication number Publication date
WO2010102161A2 (en) 2010-09-10
TW201112887A (en) 2011-04-01
US9378930B2 (en) 2016-06-28
US8368308B2 (en) 2013-02-05
US20100227420A1 (en) 2010-09-09
TWI558273B (zh) 2016-11-11
WO2010102125A3 (en) 2011-01-06
TW201103086A (en) 2011-01-16
US20100224321A1 (en) 2010-09-09
WO2010102161A3 (en) 2011-01-13
WO2010102125A2 (en) 2010-09-10

Similar Documents

Publication Publication Date Title
TWI417959B (zh) 具有射頻相位控制之感應耦合電漿反應器及其使用方法
US20140003086A1 (en) Front-lit reflective display device
JP5319150B2 (ja) プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US20100276391A1 (en) Inductively coupled plasma reactor having rf phase control and methods of use thereof
KR102033120B1 (ko) 플라즈마 처리 방법
TWI665711B (zh) 電漿處理裝置
KR100612736B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US7713432B2 (en) Method and apparatus to improve plasma etch uniformity
CN107799378B (zh) 异常探测方法以及半导体制造装置
KR101938151B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
US10755897B2 (en) Plasma processing apparatus and plasma processing method
KR20080111801A (ko) 플라즈마 공정장치 및 그 방법
JP2015029093A5 (zh)
CN1998069A (zh) 利用v-i探针识别的等离子体蚀刻终点检测方法
KR20200040690A (ko) 플라즈마 처리 장치 및 제어 방법
KR20170035790A (ko) 저 전력 전압 모드 동작을 위한 사이클 평균된 주파수 튜닝
US8017526B2 (en) Gate profile control through effective frequency of dual HF/VHF sources in a plasma etch process
TWI632591B (zh) 間隔件側壁遮罩之雕塑方法及系統
US9406540B2 (en) Self-bias calculation on a substrate in a process chamber with bias power for single or multiple frequencies
JP2005011858A (ja) プラズマを用いた半導体製造におけるμ波パワー設定方法並びに当該設定方法を適用した半導体装置の製造装置及び上記設定方法を用いた半導体装置の製造方法
US20230078095A1 (en) Plasma etching apparatus and semiconductor processing system
US7115211B2 (en) Endpoint detection using laser interferometry
JP2016009720A (ja) 推定方法及びプラズマ処理装置
KR20100029992A (ko) 플라즈마 식각 장치
KR20120010073A (ko) 플라즈마처리장치 및 플라즈마처리방법