TWI523113B - Method of manufacturing semiconductor device having metal gate - Google Patents

Method of manufacturing semiconductor device having metal gate Download PDF

Info

Publication number
TWI523113B
TWI523113B TW100109683A TW100109683A TWI523113B TW I523113 B TWI523113 B TW I523113B TW 100109683 A TW100109683 A TW 100109683A TW 100109683 A TW100109683 A TW 100109683A TW I523113 B TWI523113 B TW I523113B
Authority
TW
Taiwan
Prior art keywords
layer
gate
metal layer
metal
semiconductor device
Prior art date
Application number
TW100109683A
Other languages
Chinese (zh)
Other versions
TW201239992A (en
Inventor
廖柏瑞
蔡宗龍
林建廷
徐韶華
陳意維
黃信富
李宗穎
蔡旻錞
楊建倫
吳俊元
蔡騰群
黃光耀
許嘉麟
楊傑甯
陳正國
曾榮宗
李志成
施宏霖
黃柏誠
陳奕文
許哲華
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW100109683A priority Critical patent/TWI523113B/en
Publication of TW201239992A publication Critical patent/TW201239992A/en
Application granted granted Critical
Publication of TWI523113B publication Critical patent/TWI523113B/en

Links

Landscapes

  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

具有金屬閘極之半導體元件之製作方法Semiconductor component having metal gates

本發明係有關於一種具有金屬閘極(metal gate)之半導體元件之製作方法。The present invention relates to a method of fabricating a semiconductor device having a metal gate.

在習知半導體產業中,多晶矽係廣泛地應用於半導體元件如金氧半導體(metal-oxide-semiconductor,MOS)電晶體中,作為標準的閘極材料選擇。然而,隨著MOS電晶體尺寸持續地微縮,傳統多晶矽閘極因硼穿透(boron penetration)效應導致元件效能降低,及其難以避免的空乏效應(depletion effect)等問題,使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。因此,半導體業界更嘗以新的閘極材料,例如利用功函數(work function)金屬來取代傳統的多晶矽閘極,用以作為匹配高介電常數(High-K)閘極介電層的控制電極。In the conventional semiconductor industry, polycrystalline lanthanide is widely used in semiconductor components such as metal-oxide-semiconductor (MOS) transistors as a standard gate material. However, as the size of the MOS transistor continues to shrink, the conventional polysilicon gate causes a decrease in component efficiency due to boron penetration effects, and an unavoidable depletion effect, etc., resulting in an equivalent gate. The thickness of the dielectric layer increases, and the value of the gate capacitance decreases, which leads to the dilemma of the deterioration of the component driving capability. Therefore, the semiconductor industry has adopted a new gate material, such as the use of work function metal to replace the traditional polysilicon gate for control of high dielectric constant (High-K) gate dielectric layer. electrode.

而在互補式金氧半導體(complementary metal-oxide semiconductor,CMOS)元件中,雙功函數金屬閘極一方面需與NMOS元件搭配,另一方面則需與PMOS元件搭配,因此使得相關元件的整合技術以及製程控制更形複雜,且各材料的厚度與成分控制要求亦更形嚴苛。雙功函數金屬閘極之製作方法係可概分為前閘極(gate first)製程及後閘極(gate last)製程兩大類。其中前閘極製程會在形成金屬閘極後始進行源極/汲極超淺接面活化回火以及形成金屬矽化物等高熱預算製程,因此使得材料的選擇與調整面對較多的挑戰。為避免上述高熱預算環境並獲得較寬的材料選擇,業界係提出以後閘極製程取代前閘極製程之方法。In a complementary metal-oxide semiconductor (CMOS) device, the dual-function metal gate needs to be matched with the NMOS device on the one hand, and the PMOS device on the other hand, so that the integration technology of the related components is made. And the process control is more complicated, and the thickness and composition control requirements of each material are more stringent. The manufacturing method of the double work function metal gate can be roughly divided into two categories: a gate first process and a gate last process. Among them, the front gate process will start the metal/gate bungee ultra-shallow junction activation and tempering and form a high-heat budget process such as metal telluride, which makes the selection and adjustment of materials face more challenges. In order to avoid the above-mentioned high thermal budget environment and obtain a wide choice of materials, the industry has proposed a method of replacing the front gate process by the gate process.

而習知後閘極製程中,係先形成一犧牲閘極(sacrifice gate)或取代閘極(replacement gate),並在完成一般MOS電晶體的製作後,將犧牲/取代閘極移除而形成一閘極凹槽(gate trench),再依電性需求於閘極凹槽內填入不同的金屬。但由於後閘極製程相當複雜,需要多道製程才能完成,因此目前廠商皆致力精簡化形成金屬閘極之製程。In the conventional gate process, a sacrificial gate or a replacement gate is formed first, and after the fabrication of the general MOS transistor is completed, the sacrificial/replacement gate is removed. A gate trench is filled with different metals in the gate recess according to electrical requirements. However, since the post-gate process is quite complicated and requires multiple processes to complete, the manufacturers are currently striving to simplify the process of forming metal gates.

本發明於是提供一種製作具有金屬閘極之半導體元件的方法,可得到較佳的製程可靠度。The present invention thus provides a method of fabricating a semiconductor device having a metal gate, which results in better process reliability.

根據一較佳實施例,本發明提供了一種製作具有金屬閘極之半導體元件的方法。此方法首先提供一基底。基底包含一第一導電型電晶體、一第二導電型電晶體,其中第一導電型電晶體包含一第一犧牲閘極,第二導電型電晶體包含一第二犧牲閘極。接著移除第一導電型電晶體之第一犧牲閘極以形成一第一溝渠,並於第一溝渠內形成一第一金屬層。移除第二導電型電晶體之第二犧牲閘極以形成一第二溝渠,並於第一溝渠內以及第二溝渠內形成一第二金屬層。最後於第二金屬層上形成一第三金屬層,使得第三金屬層填入第一溝渠以及第二溝渠中。According to a preferred embodiment, the present invention provides a method of fabricating a semiconductor component having a metal gate. This method first provides a substrate. The substrate comprises a first conductivity type transistor and a second conductivity type transistor, wherein the first conductivity type transistor comprises a first sacrificial gate, and the second conductivity type transistor comprises a second sacrificial gate. Then, the first sacrificial gate of the first conductivity type transistor is removed to form a first trench, and a first metal layer is formed in the first trench. The second sacrificial gate of the second conductivity type transistor is removed to form a second trench, and a second metal layer is formed in the first trench and in the second trench. Finally, a third metal layer is formed on the second metal layer, so that the third metal layer is filled into the first trench and the second trench.

本發明所提供之方法,係先在第一溝渠或者第二溝渠中分別形成P型功函數金屬層以及N型功函數金屬層,最後再以低電阻之金屬層同時填滿第一溝渠以及第二溝渠,故可以避免習知技術金屬層(通常是鋁)填洞能力不佳的問題,且本發明亦只需要一次的金屬平坦化步驟,故可有效提高製程的良率。The method provided by the invention firstly forms a P-type work function metal layer and an N-type work function metal layer in the first trench or the second trench, and finally fills the first trench and the first trench with a low-resistance metal layer. The second ditches can avoid the problem of poor filling ability of the conventional metal layer (usually aluminum), and the invention only requires one metal planarization step, so that the process yield can be effectively improved.

為使熟習本發明所屬技術領域之一般技藝者能更進一步了解本發明,下文特列舉本發明之數個較佳實施例,並配合所附圖式,詳細說明本發明的構成內容及所欲達成之功效。The present invention will be further understood by those skilled in the art to which the present invention pertains. The effect.

請參考第1圖至第12圖,所繪示為本發明第一實施例中製作具有金屬閘極之半導體元件的方法之示意圖。首先,提供一基底300,例如是一矽基底、含矽基底或矽覆絕緣(silicon-on-insulator,SOI)基底等。基底300上具有複數個淺溝渠隔離(shallow trench isolation,STI)302,淺溝渠隔離302可具有適當的應力。藉由淺溝渠隔離302所包圍的區域,可定義出彼此電性絕緣的一第一主動區域400以及一第二主動區域500。接著分別於第一主動區域400與第二主動區域500之基底300上形成一第一導電型電晶體402與一第二導電型電晶體502。在本實施例中,第一導電型電晶體402係為一P型電晶體,而第二導電型電晶體502則為一N型電晶體。Referring to FIGS. 1 through 12, there is shown a schematic diagram of a method of fabricating a semiconductor device having a metal gate in a first embodiment of the present invention. First, a substrate 300 is provided, such as a germanium substrate, a germanium-containing substrate, or a silicon-on-insulator (SOI) substrate. The substrate 300 has a plurality of shallow trench isolation (STI) 302, and the shallow trench isolation 302 can have appropriate stress. By the area surrounded by the shallow trench isolation 302, a first active region 400 and a second active region 500 electrically insulated from each other can be defined. A first conductive type transistor 402 and a second conductive type transistor 502 are formed on the substrate 300 of the first active region 400 and the second active region 500, respectively. In the present embodiment, the first conductive type transistor 402 is a P-type transistor, and the second conductive type transistor 502 is an N-type transistor.

如第1圖所示,第一導電型電晶體402包含一第一閘極介電層404、一第一犧牲閘極406、一第一蓋層408、一第一側壁子410、一第一輕摻雜汲極(light doped drain,LDD)412以及一第一源極/汲極414。於本發明較佳實施例中,第一閘極介電層404可為一二氧化矽層,亦可為一高介電常數(high-K)閘極介電層。高介電常數閘極介電層的材料例如為氮化矽(SiN)、氮氧化矽(SiON)或者金屬氧化物所組成之一群組,其中金屬氧化物可以是稀土金屬氧化物層,例如是包含氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO4)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,Al2O3)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO)、氧化鉭(tantalum oxide,Ta2O5)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO4)、鋯酸鉿(hafnium zirconium oxide,HfZrO)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)或鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)等。第一閘極介電層404亦可為一複合層,包含上述之任意組合,較佳地由下而上包含二氧化矽層及高介電常數閘極介電層。第一犧牲閘極406則例如是多晶矽閘極,但也可以是由多晶矽層、非晶矽(amorphous Si)或者鍺層所組合的複合閘極,或者,於其他實施例中,第一犧牲閘極406會具有傾斜側壁,而具有「上大下小」的形狀。在第一犧牲閘極406與第一閘極介電層404之間可選擇性地增加一匹配層或後續製程用的蝕刻停止層,例如包含氮化矽層或金屬氮化物層如氮化鈦或氮化鉭。第一蓋層408則是一選擇性膜層,例如是一氮化矽層或氧化層或此兩者的複合層。第一側壁子410可為一複合膜層之結構,其可包含高溫氧化矽層(high temperature oxide,HTO)、氮化矽、氧化矽或使用六氯二矽烷(hexachlorodisilane,Si2Cl6)形成的氮化矽(HCD-SiN)。於一實施例中,第一側壁子410亦可部份或完全被移除,使得接觸洞蝕刻停止層(contact etch stop layer,CESL) 306對於第一導電型電晶體402以及第二導電型電晶體502能具有較佳應力。第一輕摻雜汲極412以及第一源極/汲極414則以適當濃度的摻質加以形成。As shown in FIG. 1, the first conductive type transistor 402 includes a first gate dielectric layer 404, a first sacrificial gate 406, a first cap layer 408, a first sidewall sub-410, and a first A light doped drain (LDD) 412 and a first source/drain 414. In the preferred embodiment of the present invention, the first gate dielectric layer 404 can be a germanium dioxide layer or a high-k gate dielectric layer. The material of the high dielectric constant gate dielectric layer is, for example, a group consisting of tantalum nitride (SiN), bismuth oxynitride (SiON) or metal oxide, wherein the metal oxide may be a rare earth metal oxide layer, for example It is composed of hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (aluminum oxide, Al 2 O 3 ). ), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (LaAlO), tantalum oxide (Ta 2 O 5 ), zirconium oxide (ZrO 2 ), zirconium silicate Zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO), strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate , PbZr x Ti 1-x O 3 , PZT) or barium strontium titanate (BaxSr 1-x TiO 3 , BST). The first gate dielectric layer 404 can also be a composite layer comprising any combination of the above, preferably comprising a hafnium oxide layer and a high dielectric constant gate dielectric layer from bottom to top. The first sacrificial gate 406 is, for example, a polysilicon gate, but may also be a composite gate composed of a polysilicon layer, an amorphous Si or a germanium layer, or, in other embodiments, a first sacrificial gate. The pole 406 will have a sloping side wall with a "upper and lower" shape. Optionally, a matching layer or an etch stop layer for subsequent processing may be added between the first sacrificial gate 406 and the first gate dielectric layer 404, for example, including a tantalum nitride layer or a metal nitride layer such as titanium nitride. Or tantalum nitride. The first cap layer 408 is a selective film layer, such as a tantalum nitride layer or an oxide layer or a composite layer of the two. The first sidewall sub-410 may be a composite film layer structure, which may comprise a high temperature oxide layer (HTO), tantalum nitride, hafnium oxide or hexachlorodisilane (Si 2 Cl 6 ). Niobium nitride (HCD-SiN). In one embodiment, the first sidewall sub-410 may also be partially or completely removed, such that the contact etch stop layer (CESL) 306 is for the first conductive transistor 402 and the second conductive transistor. Crystal 502 can have better stress. The first lightly doped drain 412 and the first source/drain 414 are formed with a suitable concentration of dopant.

第二導電型電晶體502包含一第二閘極介電層504、一第二犧牲閘極506、一第二蓋層508、一第二側壁子510、一第二輕摻雜汲極512以及一第二源極/汲極514。第二導電型電晶體502中各元件的實施方式大致與第一導電型電晶體402相同,在此不加以贅述。此外,雖然第1圖中未明白繪出,但第一導電型電晶體402與第二導電型電晶體502仍可包含其他半導體結構,例如金屬矽化物層(salicide)、以選擇性磊晶成長(selective epitaxial growth,SEG)而形成具有六面體(hexagon,又叫sigma Σ)或八面體(octangon)截面形狀的源極/汲極或是其他保護層。在形成了第一導電型電晶體402與第二導電型電晶體502後,於基底300上依序形成一接觸洞蝕刻停止層(contact etch stop layer,CESL) 306與一內層介電層(inter-layer dielectric,ILD)308,覆蓋在第一導電型電晶體402與第二導電型電晶體502上。於一實施例中,接觸洞蝕刻停止層306具有一應力(stress),以作為一選擇性應力系統(selective strain scheme,SSS);接觸洞蝕刻停止層306可為單一層或複合層,在第一導電型電晶體402上施加壓縮應力而在第二導電型電晶體502上施加伸張應力。The second conductive type transistor 502 includes a second gate dielectric layer 504, a second sacrificial gate 506, a second cap layer 508, a second sidewall spacer 510, and a second lightly doped drain 512. A second source/drain 514. The embodiment of each element in the second conductivity type transistor 502 is substantially the same as that of the first conductivity type transistor 402, and will not be described herein. In addition, although not clearly depicted in FIG. 1, the first conductive type transistor 402 and the second conductive type transistor 502 may still include other semiconductor structures, such as a metal salicide layer, for selective epitaxial growth. Selective epitaxial growth (SEG) forms a source/drain or other protective layer having a hexagonal (also known as sigma Σ) or octangon cross-sectional shape. After the first conductive type transistor 402 and the second conductive type transistor 502 are formed, a contact etch stop layer (CESL) 306 and an inner dielectric layer are sequentially formed on the substrate 300 ( An inter-layer dielectric (ILD) 308 is overlaid on the first conductive type transistor 402 and the second conductive type transistor 502. In one embodiment, the contact hole etch stop layer 306 has a stress as a selective strain scheme (SSS); the contact hole etch stop layer 306 may be a single layer or a composite layer. A compressive stress is applied to a conductive type transistor 402 to apply a tensile stress on the second conductive type transistor 502.

如第2圖所示,接著進行一平坦化製程,例如一化學機械平坦化(chemical mechanical polish,CMP)製程或者一回蝕刻製程或兩者的組合,以依序移除部份的內層介電層308、部份的接觸洞蝕刻停止層306、部份的第一側壁子410、部份的第二側壁子510,並完全移除第一蓋層408、第二蓋層508,直到暴露出第一犧牲閘極406與第二犧牲閘極506之頂面。As shown in FIG. 2, a planarization process, such as a chemical mechanical polish (CMP) process or an etch process or a combination of the two, is performed to sequentially remove portions of the inner layer. The electrical layer 308, a portion of the contact hole etch stop layer 306, a portion of the first sidewall sub-410, a portion of the second sidewall sub-510, and completely remove the first cap layer 408 and the second cap layer 508 until exposed The top surfaces of the first sacrificial gate 406 and the second sacrificial gate 506 are exited.

如第3圖所示,接著於基底300上全面形成一遮罩層312以及選擇性的一輔助層314。於本發明較佳實施例中,遮罩層312較佳為一氮化鈦(TiN)層,而輔助層314較佳為一氧化矽(SiO2)層。輔助層314可提供後續圖案化之光阻層316較佳的附著力。於一實施例中,遮罩層312之厚度大體上為50至150埃(angstrom),較佳地為100埃,而輔助層314之厚度大體上為0至50埃,較佳地為20埃,但不以上述為限。接著,於基底300上形成一第一圖案化光阻層316,其覆蓋至少第二主動區域500。As shown in FIG. 3, a mask layer 312 and a selective auxiliary layer 314 are then formed over the substrate 300. In a preferred embodiment of the invention, mask layer 312 is preferably a titanium nitride (TiN) layer, and auxiliary layer 314 is preferably a hafnium oxide (SiO 2 ) layer. The auxiliary layer 314 can provide better adhesion of the subsequently patterned photoresist layer 316. In one embodiment, the thickness of the mask layer 312 is substantially 50 to 150 angstroms, preferably 100 angstroms, and the thickness of the auxiliary layer 314 is substantially 0 to 50 angstroms, preferably 20 angstroms. , but not limited to the above. Next, a first patterned photoresist layer 316 is formed on the substrate 300 to cover at least the second active region 500.

接著,利用第一圖案化光阻層316為遮罩,以移除未被第一圖案化光阻層316覆蓋之遮罩層312、輔助層314以及第一犧牲閘極406。上述步驟係先將第一圖案化光阻層316之圖形轉印至遮罩層312後,再以遮罩層312為遮罩來移除第一犧牲閘極406。然而,第一犧牲閘極406的材質例如為多晶矽,而使用遮罩層312為遮罩來移除下方之多晶矽材質時,濕蝕刻雖有較佳蝕刻選擇比,能完美地停止在第一閘極介電層404上,但會有嚴重的側向蝕刻(under cut)問題,這樣的問題在形成其他半導體結構,例如靜態隨機存取記憶體(SRAM)中具有連通P型電晶體與N型電晶體之閘極介面的半導體裝置時,更容易會發生。相反地,乾蝕刻較無側向蝕刻,但無法停止在第一閘極介電層404上,而有過蝕刻問題,因此,一實施例是先以乾蝕刻移除大部分第一犧牲閘極406後,再以濕蝕刻移除最後的第一犧牲閘極406,而停止在第一閘極介電層404上。本發明之另一實施例在移除多晶矽之第一犧牲閘極406時,提供了如下文的步驟。請參考第4a圖至第7b圖,其中第4b圖與第7b圖所代表的是具有P型電晶體以及N型電晶體閘極接面的半導體結構,可分別對應於第4a圖與第7a圖之橫剖面圖,而剖面係對應於第二犧牲閘極506之位置。第4b圖與第7b圖之虛線I即代表了由多晶矽所組成之接面位置,虛線I之右側代表P型半導體,左側代表N型半導體。Next, the first patterned photoresist layer 316 is used as a mask to remove the mask layer 312, the auxiliary layer 314, and the first sacrificial gate 406 that are not covered by the first patterned photoresist layer 316. The above steps first transfer the pattern of the first patterned photoresist layer 316 to the mask layer 312, and then remove the first sacrificial gate 406 with the mask layer 312 as a mask. However, the material of the first sacrificial gate 406 is, for example, polysilicon, and when the mask layer 312 is used as a mask to remove the underlying polysilicon material, the wet etching has a better etching selectivity ratio and can be perfectly stopped at the first gate. On the dielectric layer 404, but with severe undercut problems, such problems have interconnected P-type transistors and N-types in forming other semiconductor structures, such as static random access memory (SRAM) A semiconductor device having a gate interface of a transistor is more likely to occur. Conversely, dry etching has no lateral etching, but cannot be stopped on the first gate dielectric layer 404, and there is an over-etching problem. Therefore, in one embodiment, most of the first sacrificial gates are removed by dry etching. After 406, the last first sacrificial gate 406 is removed by wet etching and stopped on the first gate dielectric layer 404. Another embodiment of the present invention provides the following steps when removing the first sacrificial gate 406 of the polysilicon. Please refer to Figures 4a to 7b, wherein Figures 4b and 7b represent semiconductor structures having P-type transistors and N-type transistor gate junctions, which may correspond to Figures 4a and 7a, respectively. The cross-sectional view of the figure, and the profile corresponds to the position of the second sacrificial gate 506. The dotted line I of Fig. 4b and Fig. 7b represents the junction position composed of polycrystalline germanium, the right side of the broken line I represents a P-type semiconductor, and the left side represents an N-type semiconductor.

如第4a圖與第4b圖所示,首先進行一乾蝕刻製程以移除未被第一圖案化光阻層316覆蓋的遮罩層312以及輔助層314,以及部份的第一犧牲閘極406。接著如第5圖所示,對第一圖案化光阻316進行一修整步驟(trimmed),例如使用氧氣(O2)、臭氧(O3)、四氟化碳(CF4)或溴化氫(HBr)等的電漿氣體以對第一圖案化光阻層316的側壁進行修整,而稍微減少第一圖案化光阻層316的寬度,使得第一圖案化光阻層316大體上均勻地向內縮小,而形成了一第二圖案化光阻層317。如第4b圖所示,第一圖案化光阻層316原先較靠近第一犧牲閘極406之一側,而進行光阻修整步驟後,第一光阻層316會靠近第二犧牲閘極506之一側而形成了第二圖案化光阻層317。可以理解的是,若以上視圖的角度來看,第二圖案化光阻層317的覆蓋面積會小於第一圖案化光阻層316的覆蓋面積。接著如第6圖所示,以第二圖案化光阻層317為遮罩,移除未被第二圖案化光阻層317覆蓋之遮罩層312以及輔助層314。最後,如第7a圖以及第7b圖所示,移除第二圖案化光阻層317以及輔助層314後,進行一溼蝕刻步驟以徹底移除第一犧牲閘極406。如第7a圖所示,移除第一犧牲閘極406後,會在第一導電型電晶體402中形成一第一溝渠(trench)416,第二導電型電晶體502之第二犧牲閘極506由於被遮罩層312覆蓋,因此並不會被移除;而如第7b圖所示,經蝕刻後的多晶矽側壁可以較準確地位於虛線I處,且不會有側向蝕刻的問題。As shown in FIGS. 4a and 4b, a dry etching process is first performed to remove the mask layer 312 and the auxiliary layer 314 that are not covered by the first patterned photoresist layer 316, and a portion of the first sacrificial gate 406. . Next, as shown in FIG. 5, the first patterned photoresist 316 is trimmed, for example, using oxygen (O 2 ), ozone (O 3 ), carbon tetrafluoride (CF 4 ) or hydrogen bromide. The plasma gas of (HBr) or the like is trimmed to the sidewall of the first patterned photoresist layer 316 to slightly reduce the width of the first patterned photoresist layer 316 such that the first patterned photoresist layer 316 is substantially uniformly Zooming inwardly, a second patterned photoresist layer 317 is formed. As shown in FIG. 4b, the first patterned photoresist layer 316 is originally closer to one side of the first sacrificial gate 406, and after the photoresist trimming step, the first photoresist layer 316 is adjacent to the second sacrificial gate 506. A second patterned photoresist layer 317 is formed on one side. It can be understood that the coverage area of the second patterned photoresist layer 317 may be smaller than the coverage area of the first patterned photoresist layer 316 from the perspective of the above view. Next, as shown in FIG. 6, the second patterned photoresist layer 317 is used as a mask to remove the mask layer 312 and the auxiliary layer 314 which are not covered by the second patterned photoresist layer 317. Finally, as shown in FIGS. 7a and 7b, after the second patterned photoresist layer 317 and the auxiliary layer 314 are removed, a wet etching step is performed to completely remove the first sacrificial gate 406. As shown in FIG. 7a, after removing the first sacrificial gate 406, a first trench 416 is formed in the first conductive type transistor 402, and a second sacrificial gate of the second conductive type transistor 502 is formed. 506 is not removed because it is covered by the mask layer 312; and as shown in FIG. 7b, the etched polysilicon sidewalls can be located more accurately at the dashed line I without the problem of lateral etching.

於本發明之一實施例中,移除了第一犧牲閘極406後,還可以進行一退火(anneal)步驟。由於在形成進行如第2圖之平坦化製程時,會移除部份的接觸洞蝕刻停止層306,進而破壞了接觸洞蝕刻停止層306原先應有之應力。因此,在移除了第一犧牲閘極406後,本發明還進行了一退火步驟以回復接觸洞蝕刻停止層306的應力狀態。於本發明較佳實施例中,退火步驟例如是以快速升溫退火設備或雷射退火設備進行500至700度的加熱,或者在300度至450度的環境下照射紫外光(UV)。此外,在移除了第一犧牲閘極406後,還可搭配保護第一溝渠416之下部的光阻(圖未示)進行一乾蝕刻步驟或溼蝕刻步驟以移除位於第一溝渠416上部處的第一間隙壁410,例如移除位於區域A中的第一間隙壁410,以加大第一溝渠416的上開口大小。In an embodiment of the invention, after the first sacrificial gate 406 is removed, an annealing step may also be performed. Since a portion of the contact hole etch stop layer 306 is removed during the formation of the planarization process as in FIG. 2, the stress originally etched by the contact hole etch stop layer 306 is destroyed. Therefore, after the first sacrificial gate 406 is removed, the present invention also performs an annealing step to restore the stress state of the contact hole etch stop layer 306. In a preferred embodiment of the invention, the annealing step is performed, for example, by 500 to 700 degrees of heating using a rapid temperature annealing apparatus or a laser annealing apparatus, or by irradiating ultraviolet light (UV) in an environment of 300 to 450 degrees. In addition, after the first sacrificial gate 406 is removed, a dry etching step or a wet etching step may be performed to protect the upper portion of the first trench 416 with a photoresist (not shown) protecting the lower portion of the first trench 416. The first spacer 410, for example, removes the first spacer 410 located in the area A to increase the size of the upper opening of the first trench 416.

接著如第8圖所示,於基底300上全面形成一P型功函數金屬層318。P型功函數金屬層318會沿著第一溝渠416之表面共形形成,但並不完全填滿第一溝渠416。於本實施例中,P型功函數金屬層318為一滿足P型電晶體所需功函數要求的金屬,例如是鎳(Ni)、鈀(Pd)、鉑(Pt)、鈹(Be)、銥(Ir)、碲(Te)、錸(Re)、釕(Ru)、銠(Rh)、鎢(W)、鉬(Mo);鎢、釕、鉬、鉭(Ta)、鈦(Ti)的氮化物;鎢、鉭、鈦的碳化物;或者TiAlN、TaAlN,但不以上述為限;P型功函數金屬與遮罩層312可使用相同材料或不同材料,但較佳地P型功函數金屬與遮罩層312對於同一種蝕刻劑可具有接近的蝕刻率,最佳的P型功函數金屬與遮罩層312為同一種材料。Next, as shown in FIG. 8, a P-type work function metal layer 318 is formed on the substrate 300. The P-type work function metal layer 318 is conformally formed along the surface of the first trench 416, but does not completely fill the first trench 416. In the present embodiment, the P-type work function metal layer 318 is a metal that satisfies the required work function of the P-type transistor, such as nickel (Ni), palladium (Pd), platinum (Pt), bismuth (Be), I (Ir), 碲 (Te), 铼 (Re), 钌 (Ru), 铑 (Rh), tungsten (W), molybdenum (Mo); tungsten, tantalum, molybdenum, tantalum (Ta), titanium (Ti) Nitride; tungsten, tantalum, titanium carbide; or TiAlN, TaAlN, but not limited to the above; P-type work function metal and mask layer 312 can use the same material or different materials, but preferably P-type work The functional metal and mask layer 312 can have a similar etch rate for the same etchant, and the preferred P-type work function metal is the same material as the mask layer 312.

接著如第9圖所示,於基底300上形成一第三圖案化光阻層320,其至少覆蓋於第一主動區域400。接著,如第10圖所示,以第三圖案化光阻層320為遮罩,移除未被第三圖案化光阻層320覆蓋之P型功函數金屬層318以及遮罩層312,並暴露出第二犧牲閘極506。最後,去除第三圖案化光阻層320。當然,此處利用第三圖案化光阻層320進行蝕刻步驟時,亦可包含前文所述之修整步驟。Next, as shown in FIG. 9, a third patterned photoresist layer 320 is formed on the substrate 300, which covers at least the first active region 400. Next, as shown in FIG. 10, the third patterned photoresist layer 320 is used as a mask to remove the P-type work function metal layer 318 and the mask layer 312 that are not covered by the third patterned photoresist layer 320, and A second sacrificial gate 506 is exposed. Finally, the third patterned photoresist layer 320 is removed. Of course, when the etching step is performed by the third patterned photoresist layer 320, the trimming step described above may also be included.

接著如第11圖所示,進行一乾蝕刻製程及/或溼蝕刻製程以移除第二犧牲閘極506,而在第二導電型電晶體502中形成了第二溝渠516。同樣的,在移除了第二犧牲閘極506後,可進行一退火製程以回復接觸洞蝕刻停止層306之應力。同樣的,在移除了第二犧牲閘極506後,亦可選擇性地搭配保護第二溝渠(trench)516之下部的光阻(圖未示)進行一乾蝕刻步驟或溼蝕刻步驟以移除位於第二溝渠(trench)516上部處的第二間隙壁510,擴大第二溝渠516上部的開口大小。接著,於基底300上全面共形地形成一N型功函數金屬層322。N型功函數金屬層322會共形地沿第二溝渠516之表面以及第一溝渠416中P型功函數金屬層318之表面形成,但並不完全填滿第二溝渠516以及第一溝渠416。於本發明較佳實施例中,N型功函數金屬層322為一滿足N型電晶體所需功函數要求的金屬,例如是鋁化鈦(titanium aluminides,TiAl)、鋁化鋯(aluminum zirconium,ZrAl)、鋁化鎢(aluminum tungsten,WAl)、鋁化鉭(aluminum tantalum,TaAl)或鋁化鉿(aluminum hafnium,HfAl),但不以上述為限。接著,為了避免N型功函數金屬層322被後續填入的金屬層326侵入(spike)而影響其功能,本實施例還可以選擇性的在N型功函數金屬層322以及金屬層326之間形成一阻障層324。於本發明較佳實施例中,阻障層324為一金屬層,例如是一氮化鈦(TiN)層。最後,於基底300上全面形成一低電阻的金屬層326。金屬層326會形成於N型功函數金屬層322上(如有阻障層324,則是形成在阻障層324上),並填滿第二溝渠516以及第一溝渠416。於本發明較佳實施例中,金屬層326包含鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鈦鎢(Ti/W)或鈦與氮化鈦(Ti/TiN)等複合金屬層料,但不以此為限。Next, as shown in FIG. 11, a dry etching process and/or a wet etching process is performed to remove the second sacrificial gate 506, and a second trench 516 is formed in the second conductivity type transistor 502. Similarly, after the second sacrificial gate 506 is removed, an annealing process can be performed to restore the stress of the contact hole etch stop layer 306. Similarly, after the second sacrificial gate 506 is removed, the photoresist (not shown) protecting the lower portion of the second trench 516 may be selectively used to perform a dry etching step or a wet etching step to remove A second spacer 510 located at an upper portion of the second trench 516 enlarges the opening size of the upper portion of the second trench 516. Next, an N-type work function metal layer 322 is formed conformally on the substrate 300. The N-type work function metal layer 322 is conformally formed along the surface of the second trench 516 and the surface of the P-type work function metal layer 318 in the first trench 416, but does not completely fill the second trench 516 and the first trench 416. . In a preferred embodiment of the present invention, the N-type work function metal layer 322 is a metal that satisfies the required work function of the N-type transistor, such as titanium aluminides (TiAl), aluminium zirconium (aluminum zirconium, ZrAl), aluminum tungsten (WAl), aluminum tantalum (TaAl) or aluminum hafnium (HfAl), but not limited to the above. Next, in order to prevent the N-type work function metal layer 322 from being spiked by the subsequently filled metal layer 326 to affect its function, the embodiment may also selectively be between the N-type work function metal layer 322 and the metal layer 326. A barrier layer 324 is formed. In a preferred embodiment of the invention, the barrier layer 324 is a metal layer, such as a titanium nitride (TiN) layer. Finally, a low resistance metal layer 326 is formed on the substrate 300. The metal layer 326 is formed on the N-type work function metal layer 322 (if the barrier layer 324 is formed on the barrier layer 324), and fills the second trench 516 and the first trench 416. In a preferred embodiment of the invention, the metal layer 326 comprises aluminum (Al), titanium (Ti), tantalum (Ta), tungsten (W), niobium (Nb), molybdenum (Mo), copper (Cu), and nitride. Titanium (TiN), titanium carbide (TiC), tantalum nitride (TaN), titanium tungsten (Ti/W) or composite metal layers such as titanium and titanium nitride (Ti/TiN), but not limited thereto.

最後,如第12圖所示,進行一平坦化製程以同時移除第一溝渠416以及第二溝渠516以外之P型功函數金屬層318、N型功函數金屬層322以及金屬層326。如此一來,位於第一溝渠416內的P型功函數金屬318、N型功函數金屬322、(阻障層324)以及金屬層326會形成第一導電型電晶體402(P型電晶體)中的第一金屬閘極418,且其功函數大致上介於4.8eV與5.2eV之間;而位於第二溝渠518內的N型功函數金屬層322、(阻障層324)以及金屬層326會形成第二導電型電晶體502(N型電晶體)中的第二金屬閘極518,且其功函數大致上介於3.9eV與4.3eV之間。於本發明另一實施例中,可調整P型功函數金屬層318以及N型功函數金屬層322之厚度,使其發揮較佳的功函數功能。Finally, as shown in FIG. 12, a planarization process is performed to simultaneously remove the first trench 416 and the P-type work function metal layer 318, the N-type work function metal layer 322, and the metal layer 326 other than the second trench 516. As a result, the P-type work function metal 318, the N-type work function metal 322, the (barrier layer 324), and the metal layer 326 located in the first trench 416 form the first conductive type transistor 402 (P-type transistor). a first metal gate 418 having a work function substantially between 4.8 eV and 5.2 eV; and an N-type work function metal layer 322, a barrier layer 324, and a metal layer in the second trench 518 326 forms a second metal gate 518 in the second conductivity type transistor 502 (N-type transistor) and has a work function substantially between 3.9 eV and 4.3 eV. In another embodiment of the present invention, the thickness of the P-type work function metal layer 318 and the N-type work function metal layer 322 can be adjusted to perform a better work function.

在完成了第一金屬閘極418以及第二金屬閘極518之後,後續還可進行接觸插拴(contact plug)之製作,例如形成具有應力的接觸插拴。或者,於接觸插拴形成前,還可以先完全移除內層介電層306以及接觸洞蝕刻停止層308,接著於基底300上再次形成至少另一接觸洞蝕刻停止層(圖未示),並且藉由施加紫外線或者熱能之步驟,以使新的接觸洞蝕刻停止層產生一應力,以分別提升第一導電型電晶體402與第二導電型電晶體502之效能。接著再次形成另一內層介電層(圖未示),並於其中形成接觸插拴,此接觸插拴亦可具有適當的應力。After the first metal gate 418 and the second metal gate 518 are completed, a contact plug can also be fabricated, for example, to form a contact plug having stress. Alternatively, before the contact plug is formed, the inner dielectric layer 306 and the contact hole etch stop layer 308 may be completely removed, and then at least another contact hole etch stop layer (not shown) is formed on the substrate 300 again. And by applying ultraviolet rays or thermal energy, a new contact hole etch stop layer generates a stress to improve the performance of the first conductive type transistor 402 and the second conductive type transistor 502, respectively. Next, another inner dielectric layer (not shown) is formed again, and a contact plug is formed therein, and the contact plug can also have an appropriate stress.

值得注意的是,前述實施方式係先形成高介電常數之閘極介電層為例(即high-K first製程),而本領域技藝人士應當了解,本發明亦可在形成金屬閘極之前才形成高介電常數之閘極介電層(即high-K last製程),例如在第一溝渠內416形成P型功函數金屬層318之前,可先在第一溝渠416之表面上形成高介電常數之閘極介電層,然後再依序形成P型功函數金屬層318以及金屬層326等結構。此位於第一溝渠416內之高介電常數之閘極介電層會和P型功函數金屬層318一樣具有U型剖面;同樣的,在第二溝渠516內形成N型功函數金屬層322之前,也可先在第二溝渠516之表面上形成高介電常數之閘極介電層,再依序形成N型功函數金屬層322以及金屬層326等結構,位於第二溝渠516之高介電常數之閘極介電層會和N型功函數金屬層322一樣具有U型剖面。此外,若是採用high-K last製程,於犧牲閘極之前所形成的介電層不限於高介電常數材質,而可以是例如SiO2等材料。It should be noted that the foregoing embodiment is preceded by the formation of a high dielectric constant gate dielectric layer (i.e., a high-K first process), and those skilled in the art will appreciate that the present invention can also be used prior to forming a metal gate. Forming a high dielectric constant gate dielectric layer (ie, a high-K last process), for example, forming a high surface on the first trench 416 prior to forming the P-type work function metal layer 318 in the first trench 416 The gate dielectric layer of the dielectric constant is then sequentially formed into a P-type work function metal layer 318 and a metal layer 326. The high dielectric constant gate dielectric layer in the first trench 416 has a U-shaped cross-section similar to the P-type work function metal layer 318; likewise, an N-type work function metal layer 322 is formed in the second trench 516. Previously, a high dielectric constant gate dielectric layer may be formed on the surface of the second trench 516, and then an N-type work function metal layer 322 and a metal layer 326 may be sequentially formed, which is located at the second trench 516. The gate dielectric layer of dielectric constant will have a U-shaped profile as the N-type work function metal layer 322. In addition, if the high-Klast process is employed, the dielectric layer formed before the sacrifice of the gate is not limited to a high dielectric constant material, but may be a material such as SiO 2 .

請參考第13圖至第19圖,所繪示為本發明第二實施例中製作具有金屬閘極之半導體元件的方法之示意圖。第二實施例之前半段步驟與第一實施例的第1圖至第2圖相同,可參考前文說明,在此不加以贅述。而為了能夠清楚描述本發明的實施方式,相同的元件將以相同的元件符號表示。如第13圖所示,於進行平坦化製程後,接著於基底300上全面形成一遮罩層312、一輔助層314以及一第一圖案化光阻層319,其中第一圖案化光阻層319會覆蓋至少第一主動區域400。Please refer to FIG. 13 to FIG. 19, which are schematic diagrams showing a method of fabricating a semiconductor device having a metal gate in a second embodiment of the present invention. The first half of the second embodiment is the same as the first embodiment to the second embodiment of the first embodiment, and the above description is omitted, and details are not described herein. In order to be able to clearly describe the embodiments of the present invention, the same elements will be denoted by the same elements. As shown in FIG. 13 , after performing the planarization process, a mask layer 312 , an auxiliary layer 314 and a first patterned photoresist layer 319 are formed on the substrate 300 , wherein the first patterned photoresist layer is formed. 319 will cover at least the first active area 400.

接著如第14圖所示,以第一圖案化光阻層319為遮罩,移除未被第一圖案化光阻層319覆蓋之遮罩層312、輔助層314以及部份的第二犧牲閘極506。然後,移除第一圖案化光阻層319以及輔助層314後,再完全移除第二犧牲閘極506以形成第二溝渠516。接著進行一退火步驟以加強接觸洞蝕刻停止層308之應力。當然,此處利用第一圖案化光阻層319進行蝕刻步驟時,亦可包含第一實施例所述之修整步驟。或者,亦可以進行一乾蝕刻步驟或溼蝕刻步驟以擴大第二溝渠516上部的開口大小。Next, as shown in FIG. 14, the first patterned photoresist layer 319 is used as a mask to remove the mask layer 312, the auxiliary layer 314, and a portion of the second sacrifice that are not covered by the first patterned photoresist layer 319. Gate 506. Then, after the first patterned photoresist layer 319 and the auxiliary layer 314 are removed, the second sacrificial gate 506 is completely removed to form the second trench 516. An annealing step is then performed to reinforce the stress in the contact hole etch stop layer 308. Of course, when the etching step is performed by using the first patterned photoresist layer 319, the trimming step described in the first embodiment may also be included. Alternatively, a dry etching step or a wet etching step may be performed to enlarge the opening size of the upper portion of the second trench 516.

接著如第15圖所示,於基底300上全面形成一N型功函數金屬層322。N型功函數金屬層322會沿著第二溝渠516之表面形成,但並不完全填滿第二溝渠516。接著如第16圖所示,於基底300上形成一第三圖案化光阻層321,其覆蓋至少於第二主動區域500。如第17圖所示,以第三圖案化光阻層321為遮罩,移除未被第三圖案化光阻層321覆蓋之N型功函數金屬層322以及遮罩層312,並暴露出第一犧牲閘極406,最後再移除第三圖案化光阻層321。此處利用第三圖案化光阻層321進行蝕刻步驟時,亦可包含第一實施例所述之修整步驟。Next, as shown in FIG. 15, an N-type work function metal layer 322 is entirely formed on the substrate 300. The N-type work function metal layer 322 is formed along the surface of the second trench 516, but does not completely fill the second trench 516. Next, as shown in FIG. 16, a third patterned photoresist layer 321 is formed on the substrate 300 to cover at least the second active region 500. As shown in FIG. 17, the third patterned photoresist layer 321 is used as a mask, and the N-type work function metal layer 322 and the mask layer 312 not covered by the third patterned photoresist layer 321 are removed and exposed. The first sacrificial gate 406 is finally removed and the third patterned photoresist layer 321 is removed. When the etching step is performed by the third patterned photoresist layer 321 herein, the trimming step described in the first embodiment may also be included.

如第18圖所示,進行一乾蝕刻製程及/或溼蝕刻製程以移除第一犧牲閘極406,而在第一導電型電晶體402中形成了第一溝渠416。於另一實施例中,可進行一乾蝕刻步驟或溼蝕刻步驟以擴大第一溝渠416上部的開口大小。或者進行一退火步驟以加強接觸洞蝕刻停止層308之應力。接著,於基底300上全面形成一P型功函數金屬層318。P型功函數金屬層318會沿第一溝渠416之表面以及第二溝渠516中N型功函數金屬層322之表面形成,但並不完全填滿第一溝渠416以及第二溝渠516。接著,可直接在P型功函數金屬層318上形成低電阻的金屬層326。金屬層326形成於N型功函數金屬層322上,並填滿第二溝渠516以及第一溝渠416。As shown in FIG. 18, a dry etching process and/or a wet etching process is performed to remove the first sacrificial gate 406, and a first trench 416 is formed in the first conductive type transistor 402. In another embodiment, a dry etching step or a wet etching step may be performed to enlarge the opening size of the upper portion of the first trench 416. Alternatively, an annealing step is performed to reinforce the stress of the contact hole etch stop layer 308. Next, a P-type work function metal layer 318 is formed on the substrate 300. The P-type work function metal layer 318 is formed along the surface of the first trench 416 and the surface of the N-type work function metal layer 322 in the second trench 516, but does not completely fill the first trench 416 and the second trench 516. Next, a low resistance metal layer 326 can be formed directly on the P-type work function metal layer 318. Metal layer 326 is formed over N-type work function metal layer 322 and fills second trench 516 and first trench 416.

最後,如第19圖所示,進行一平坦化製程以同時移除位於第一溝渠416以及第二溝渠516以外之的P型功函數金屬層318、N型功函數金屬層322以及金屬層326。如此一來,位於第一溝渠416內的P型功函數金屬層318以及金屬層326會形成第一導電型電晶體402(P型電晶體)中的第一金屬閘極418,且其功函數大致上介於4.8eV與5.2eV之間;而位於第二溝渠518內的N型功函數金屬層322、P型功函數金屬層318以及金屬層326會形成第二導電型電晶體502(N型電晶體)中的第二金屬閘極518,且其功函數大致上介於3.9eV與4.3eV之間。Finally, as shown in FIG. 19, a planarization process is performed to simultaneously remove the P-type work function metal layer 318, the N-type work function metal layer 322, and the metal layer 326 located outside the first trench 416 and the second trench 516. . As such, the P-type work function metal layer 318 and the metal layer 326 located in the first trench 416 form the first metal gate 418 of the first conductive type transistor 402 (P-type transistor), and the work function thereof Roughly between 4.8 eV and 5.2 eV; and the N-type work function metal layer 322, the P-type work function metal layer 318, and the metal layer 326 located in the second trench 518 form a second conductivity type transistor 502 (N The second metal gate 518 in the type of transistor has a work function substantially between 3.9 eV and 4.3 eV.

本實施例的特徵在於,因P型功函數金屬層318的材料亦可作為良好的阻障層(TiN),故相較於第一實施例,本實施例毋需額外設置阻障層324於N型功函數金屬層322以及金屬層326之間。P型功函數金屬層318可同時扮演P型功函數金屬以及阻障層的角色。如此一來,可減少第一電晶體402以及第二電晶體502中金屬層的堆疊層數,以避免過多金屬層填洞,造成填洞能力不佳的問題。The feature of the present embodiment is that the material of the P-type work function metal layer 318 can also be used as a good barrier layer (TiN). Therefore, in this embodiment, the barrier layer 324 is additionally disposed in comparison with the first embodiment. The N-type work function metal layer 322 and the metal layer 326 are between. The P-type work function metal layer 318 can simultaneously function as a P-type work function metal and a barrier layer. In this way, the number of stacked layers of the metal layer in the first transistor 402 and the second transistor 502 can be reduced to avoid excessive metal layer filling holes, resulting in a problem of poor hole filling ability.

同樣的,本實施例在完成了第一金屬閘極418以及第二金屬閘極518之後,後續可依據選擇性應力系統的設計而形成具有應力接觸插拴或者具有應力的接觸洞蝕刻停止層。且本實施例除了前述的high-K first製程,也可應用high-K last製程。Similarly, after the first metal gate 418 and the second metal gate 518 are completed in this embodiment, a contact hole etch stop layer having a stress contact plug or a stress may be formed according to the design of the selective stress system. In addition to the foregoing high-K first process, the high-K last process can also be applied to the embodiment.

而於本發明另一實施例中,在N型功函數金屬層322形成後,可立刻進行一鈍化製程,使得N型功函數金屬層322之表面形成一鈍化結構。鈍化製程例如利用氨水對N型功函數金屬層322表面鈍化,或者是進行一氮化製程或者一氧化製程。而在進行完鈍化製程後,即可以前述實施例的方式,在N型功函數金屬層322上形成P型功函數金屬層318、金屬層326或是阻障層324。In another embodiment of the present invention, after the N-type work function metal layer 322 is formed, a passivation process can be performed immediately, so that the surface of the N-type work function metal layer 322 forms a passivation structure. The passivation process, for example, uses aqueous water to passivate the surface of the N-type work function metal layer 322, or to perform a nitridation process or an oxidation process. After the passivation process is completed, a P-type work function metal layer 318, a metal layer 326 or a barrier layer 324 may be formed on the N-type work function metal layer 322 in the manner of the foregoing embodiment.

綜上而言,本發明提供了一種製作具有閘極之半導體元件的方法。此方法係先在第一溝渠或者第二溝渠中,分別形成P型功函數金屬層以及N型功函數金屬層,最後再以低電阻之金屬層同時填滿第一溝渠以及第二溝渠,故可以避免習知技術金屬層(通常是鋁)填洞能力不佳的問題。本發明亦只需要一次的金屬平坦化步驟,可有效提高製程的良率。本發明亦考量到N型功函數金屬層容易被金屬鋁侵入的問題,因此提供了各種實施方式(形成阻障層、進行鈍化製程、直接以P型功函數金屬層為阻障層)來避免這樣的情況。另外,本發明於形成第一溝渠以及第二溝渠時,使用了光阻修整製程以及退火製程,皆可增加產品的可靠度而提高產品良率。In summary, the present invention provides a method of fabricating a semiconductor device having a gate. The method first forms a P-type work function metal layer and an N-type work function metal layer in the first trench or the second trench, and finally fills the first trench and the second trench simultaneously with a low-resistance metal layer, so It is possible to avoid the problem of poor filling ability of the conventional metal layer (usually aluminum). The invention also requires only one metal planarization step, which can effectively improve the yield of the process. The present invention also considers the problem that the N-type work function metal layer is easily invaded by metal aluminum, and thus various embodiments are provided (forming a barrier layer, performing a passivation process, and directly using a P-type work function metal layer as a barrier layer) to avoid This is the case. In addition, the present invention uses the photoresist trimming process and the annealing process to form the first trench and the second trench, which can increase the reliability of the product and improve the product yield.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

300...基底300. . . Base

302...淺溝渠隔離302. . . Shallow trench isolation

306...接觸洞蝕刻停止層306. . . Contact hole etch stop layer

308...層內介電層308. . . In-layer dielectric layer

312...遮罩層312. . . Mask layer

314...輔助層314. . . Auxiliary layer

316...第一圖案化光阻層316. . . First patterned photoresist layer

317...第二圖案化光阻層317. . . Second patterned photoresist layer

318...P型功函數金屬層318. . . P type work function metal layer

319...第一圖案化光阻層319. . . First patterned photoresist layer

320...第三圖案化光阻層320. . . Third patterned photoresist layer

321...第三圖案化光阻層321. . . Third patterned photoresist layer

322...N型功函數金屬層322. . . N-type work function metal layer

324...阻障層324. . . Barrier layer

326...金屬層326. . . Metal layer

400...第一主動區域400. . . First active area

402...第一導電型電晶體402. . . First conductivity type transistor

404...第一閘極介電層404. . . First gate dielectric layer

406...第一犧牲閘極406. . . First sacrificial gate

408...第一蓋層408. . . First cover

410...第一側壁子410. . . First side wall

412...第一輕摻雜汲極412. . . First lightly doped bungee

414...第一源極/汲極414. . . First source/dip

416...第一溝渠416. . . First ditches

418...第一金屬閘極418. . . First metal gate

500...第二主動區域500. . . Second active area

502...第二導電型電晶體502. . . Second conductivity type transistor

504...第二閘極介電層504. . . Second gate dielectric layer

506...第二犧牲閘極506. . . Second sacrificial gate

508...第二蓋層508. . . Second cover

510...第二側壁子510. . . Second side wall

512...第二輕摻雜汲極512. . . Second lightly doped bungee

514...第二源極/汲極514. . . Second source/dip

516...第二溝渠516. . . Second ditches

518...第二金屬閘極518. . . Second metal gate

第1圖至第12圖所繪示為本發明第一實施例中製作具有金屬閘極之半導體元件的方法之示意圖。1 to 12 are schematic views showing a method of fabricating a semiconductor device having a metal gate in the first embodiment of the present invention.

第13圖至第19圖所繪示為本發明第二實施例中製作具有金屬閘極之半導體元件的方法之示意圖。13 to 19 are schematic views showing a method of fabricating a semiconductor device having a metal gate in a second embodiment of the present invention.

300...基底300. . . Base

302...淺溝渠隔離302. . . Shallow trench isolation

306...接觸洞蝕刻停止層306. . . Contact hole etch stop layer

308...層內介電層308. . . In-layer dielectric layer

318...P型功函數金屬層318. . . P type work function metal layer

322...N型功函數金屬層322. . . N-type work function metal layer

324...阻障層324. . . Barrier layer

326...金屬層326. . . Metal layer

400...第一主動區域400. . . First active area

404...第一閘極介電層404. . . First gate dielectric layer

410...第一側壁子410. . . First side wall

412...第一輕摻雜汲極412. . . First lightly doped bungee

414...第一源極/汲極414. . . First source/dip

500...第二主動區域500. . . Second active area

504...第二閘極介電層504. . . Second gate dielectric layer

510...第二側壁子510. . . Second side wall

512...第二輕摻雜汲極512. . . Second lightly doped bungee

514...第二源極/汲極514. . . Second source/dip

516...第二溝渠516. . . Second ditches

Claims (19)

一種製作具有金屬閘極之半導體元件的方法,包含:提供一基底,其中該基底包含一第一導電型電晶體、一第二導電型電晶體,且該第一導電型電晶體包含一第一犧牲閘極,該第二導電型電晶體包含一第二犧牲閘極;移除該第一導電型電晶體之該第一犧牲閘極,以形成一第一溝渠;於該第一溝渠內形成一第一金屬層;移除該第二導電型電晶體之該第二犧牲閘極,以形成一第二溝渠;於該第一溝渠內以及該第二溝渠內形成一第二金屬層;於該第二金屬層上形成一第三金屬層,使得該第三金屬層填滿該第一溝渠以及該第二溝渠;以及於形成該第三金屬層後進行一平坦化製程,同時移除位於該第一溝渠以及該第二溝渠外之該第一金屬層、該第二金屬層以及該第三金屬層。 A method of fabricating a semiconductor device having a metal gate includes: providing a substrate, wherein the substrate comprises a first conductivity type transistor, a second conductivity type transistor, and the first conductivity type transistor comprises a first Sacrificating the gate, the second conductivity type transistor includes a second sacrificial gate; removing the first sacrificial gate of the first conductivity type transistor to form a first trench; forming in the first trench a first metal layer; the second sacrificial gate of the second conductivity type transistor is removed to form a second trench; a second metal layer is formed in the first trench and in the second trench; Forming a third metal layer on the second metal layer such that the third metal layer fills the first trench and the second trench; and performing a planarization process after forming the third metal layer while removing the The first trench and the first metal layer, the second metal layer and the third metal layer outside the second trench. 如申請專利範圍第1項所述之製作具有金屬閘極之半導體元件的方法,其中該第一導電型電晶體包含P型電晶體,該第二導電型電晶體包含N型電晶體。 A method of fabricating a semiconductor device having a metal gate as described in claim 1, wherein the first conductivity type transistor comprises a P type transistor, and the second conductivity type transistor comprises an N type transistor. 如申請專利範圍第2項所述之製作具有金屬閘極之半導體元件的方法,其中該第一金屬層包含鎳(Ni)、鈀(Pd)、鉑(Pt)、鈹(Be)、 銥(Ir)、碲(Te)、錸(Re)、釕(Ru)、銠(Rh)、鎢(W)、鉬(Mo);鎢、釕、鉬、鉭(Ta)、鈦(Ti)的氮化物;鎢、鉭、鈦的碳化物;或者TiAlN、TaAlN。 A method of fabricating a semiconductor device having a metal gate as described in claim 2, wherein the first metal layer comprises nickel (Ni), palladium (Pd), platinum (Pt), bismuth (Be), I (Ir), 碲 (Te), 铼 (Re), 钌 (Ru), 铑 (Rh), tungsten (W), molybdenum (Mo); tungsten, tantalum, molybdenum, tantalum (Ta), titanium (Ti) Nitride; carbide of tungsten, tantalum, titanium; or TiAlN, TaAlN. 如申請專利範圍第2項所述之製作具有金屬閘極之半導體元件的方法,其中該第二金屬層包含鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)或鋁化鉿(HfAl)。 A method of fabricating a semiconductor device having a metal gate as described in claim 2, wherein the second metal layer comprises titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), aluminum Taal or hafnium oxide (HfAl). 如申請專利範圍第2項所述之製作具有金屬閘極之半導體元件的方法,於形成該第三金屬層前,還包含於該第二金屬層上形成一阻障層,使得該阻障層填入該第一溝渠以及該第二溝渠中。 The method for fabricating a semiconductor device having a metal gate as described in claim 2, before forming the third metal layer, further comprising forming a barrier layer on the second metal layer, such that the barrier layer Filling in the first trench and the second trench. 如申請專利範圍第5項所述之製作具有金屬閘極之半導體元件的方法,其中該阻障層包含氮化鈦。 A method of fabricating a semiconductor device having a metal gate as described in claim 5, wherein the barrier layer comprises titanium nitride. 如申請專利範圍第2項所述之製作具有金屬閘極之半導體元件的方法,於形成該第三金屬層前,還包含對該第二金屬層進行一鈍化製程。 The method for fabricating a semiconductor device having a metal gate as described in claim 2, further comprising performing a passivation process on the second metal layer before forming the third metal layer. 如申請專利範圍第7項所述之製作具有金屬閘極之半導體元件的方法,其中該鈍化製程包含一氧化製程、一氮化製程或者一使用氨水之製程。 A method of fabricating a semiconductor device having a metal gate as described in claim 7, wherein the passivation process comprises an oxidation process, a nitridation process, or a process using ammonia water. 如申請專利範圍第1項所述之製作具有金屬閘極之半導體元件的方法,其中該第一導電型電晶體包含N型電晶體,該第二導電型電晶體包含P型電晶體。 A method of fabricating a semiconductor device having a metal gate as described in claim 1, wherein the first conductivity type transistor comprises an N-type transistor, and the second conductivity type transistor comprises a P-type transistor. 如申請專利範圍第9項所述之製作具有金屬閘極之半導體元件的方法,其中該第一金屬層包含鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)或鋁化鉿(HfAl)。 A method of fabricating a semiconductor device having a metal gate according to claim 9, wherein the first metal layer comprises titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), aluminum Taal or hafnium oxide (HfAl). 如申請專利範圍第9項所述之製作具有金屬閘極之半導體元件的方法,其中該第二金屬層包含鎳(Ni)、鈀(Pd)、鉑(Pt)、鈹(Be)、銥(Ir)、碲(Te)、錸(Re)、釕(Ru)、銠(Rh)、鎢(W)、鉬(Mo);鎢、釕、鉬、鉭(Ta)、鈦(Ti)的氮化物;鎢、鉭、鈦的碳化物;或者TiAlN、TaAlN。 A method of fabricating a semiconductor device having a metal gate according to claim 9, wherein the second metal layer comprises nickel (Ni), palladium (Pd), platinum (Pt), bismuth (Be), bismuth ( Ir), yttrium (Te), yttrium (Re), yttrium (Ru), yttrium (Rh), tungsten (W), molybdenum (Mo); nitrogen of tungsten, tantalum, molybdenum, tantalum (Ta), titanium (Ti) a carbide of tungsten, tantalum or titanium; or TiAlN, TaAlN. 如申請專利範圍第9項所述之製作具有金屬閘極之半導體元件的方法,於形成該第三金屬層前,還包含對該第二金屬層進行一鈍化製程。 The method for fabricating a semiconductor device having a metal gate as described in claim 9 further comprises: performing a passivation process on the second metal layer before forming the third metal layer. 如申請專利範圍第12項所述之製作具有金屬閘極之半導體元件的方法,其中該鈍化製程包含一氧化製程、一氮化製程或者一使用氨水之製程。 A method of fabricating a semiconductor device having a metal gate as described in claim 12, wherein the passivation process comprises an oxidation process, a nitridation process, or a process using ammonia water. 如申請專利範圍第1項所述之製作具有金屬閘極之半導體元件 的方法,其中該第三金屬層包含鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鈦鎢(Ti/W)或鈦與氮化鈦(Ti/TiN)。 Fabricating a semiconductor device having a metal gate as described in claim 1 The method, wherein the third metal layer comprises aluminum (Al), titanium (Ti), tantalum (Ta), tungsten (W), niobium (Nb), molybdenum (Mo), copper (Cu), titanium nitride (TiN ), titanium carbide (TiC), tantalum nitride (TaN), titanium tungsten (Ti/W) or titanium and titanium nitride (Ti/TiN). 如申請專利範圍第1項所述之製作具有金屬閘極之半導體元件的方法,其中移除該第一導電型電晶體之該第一犧牲閘極的步驟,包含:形成一遮罩層;於該遮罩層上形成一第一圖案化光阻層,覆蓋該第二導電型電晶體;移除未被該第一圖案化光阻層覆蓋之該遮罩層以及部份的該第一犧牲閘極;對該第一圖案化光阻層進行一光阻修整步驟以形成一第二圖案化光阻層,其中該第二圖案化光阻層之覆蓋面積小於該第一圖案化光阻層之覆蓋面積;移除未被該第二圖案化光阻層覆蓋之該遮罩層;移除該第二圖案化光阻層;以及進行一溼蝕刻製程以完全移除該第一犧牲閘極。 The method of fabricating a semiconductor device having a metal gate according to claim 1, wherein the step of removing the first sacrificial gate of the first conductivity type transistor comprises: forming a mask layer; Forming a first patterned photoresist layer on the mask layer to cover the second conductive type transistor; removing the mask layer and the portion of the first sacrifice not covered by the first patterned photoresist layer a gate; performing a photoresist trimming step on the first patterned photoresist layer to form a second patterned photoresist layer, wherein the second patterned photoresist layer has a smaller coverage area than the first patterned photoresist layer Covering area; removing the mask layer not covered by the second patterned photoresist layer; removing the second patterned photoresist layer; and performing a wet etching process to completely remove the first sacrificial gate . 如申請專利範圍第15項所述之製作具有金屬閘極之半導體元件的方法,其中該光阻修整步驟包含使用氧氣(O2)、臭氧(O3)、四氟化碳(CF4)或溴化氫(HBr)之電漿氣體。 A method of fabricating a semiconductor device having a metal gate as described in claim 15 wherein the photoresist trimming step comprises using oxygen (O 2 ), ozone (O 3 ), carbon tetrafluoride (CF 4 ) or Plasma gas of hydrogen bromide (HBr). 如申請專利範圍第15項所述之方法,還包含於該遮罩層上形成一輔助層,其中該輔助層包含二氧化矽(SiO2)。 The method of claim 15, further comprising forming an auxiliary layer on the mask layer, wherein the auxiliary layer comprises cerium oxide (SiO 2 ). 如申請專利範圍第1項所述之製作具有金屬閘極之半導體元件的方法,於移除該第一犧牲閘極後,還包含進行一退火步驟。 The method of fabricating a semiconductor device having a metal gate as described in claim 1, further comprising performing an annealing step after removing the first sacrificial gate. 如申請專利範圍第1項所述之製作具有金屬閘極之半導體元件的方法,於移除該第二犧牲閘極後,還包含進行一退火步驟。 The method for fabricating a semiconductor device having a metal gate as described in claim 1, further comprising performing an annealing step after removing the second sacrificial gate.
TW100109683A 2011-03-22 2011-03-22 Method of manufacturing semiconductor device having metal gate TWI523113B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100109683A TWI523113B (en) 2011-03-22 2011-03-22 Method of manufacturing semiconductor device having metal gate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100109683A TWI523113B (en) 2011-03-22 2011-03-22 Method of manufacturing semiconductor device having metal gate

Publications (2)

Publication Number Publication Date
TW201239992A TW201239992A (en) 2012-10-01
TWI523113B true TWI523113B (en) 2016-02-21

Family

ID=47599678

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100109683A TWI523113B (en) 2011-03-22 2011-03-22 Method of manufacturing semiconductor device having metal gate

Country Status (1)

Country Link
TW (1) TWI523113B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109244072B (en) * 2018-09-03 2021-05-18 芯恩(青岛)集成电路有限公司 Semiconductor device structure and manufacturing method thereof

Also Published As

Publication number Publication date
TW201239992A (en) 2012-10-01

Similar Documents

Publication Publication Date Title
US9875901B2 (en) Manufacturing method of metal oxide semiconductor transistor
US9018086B2 (en) Semiconductor device having a metal gate and fabricating method thereof
US9384962B2 (en) Oxygen treatment of replacement work-function metals in CMOS transistor gates
US8802524B2 (en) Method of manufacturing semiconductor device having metal gates
US8574990B2 (en) Method of manufacturing semiconductor device having metal gate
US9721840B2 (en) Method of forming complementary metal oxide semiconductor device with work function layer
US9129985B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US8642457B2 (en) Method of fabricating semiconductor device
CN106920839B (en) Semiconductor element and manufacturing method thereof
US8211775B1 (en) Method of making transistor having metal gate
TW201705298A (en) Semiconductor device having metal gate and fabrication method thereof
CN103117296B (en) The formed method of metal oxide semiconductor transistor
US9281201B2 (en) Method of manufacturing semiconductor device having metal gate
TWI523113B (en) Method of manufacturing semiconductor device having metal gate
CN106298656A (en) Semiconductor device manufacturing method
TWI591730B (en) Semiconductor device and fabricating method thereof
TWI536567B (en) Metal oxide semiconductor transistor and manufacturing method thereof
TWI544551B (en) Semiconductor device having metal gate and fabricating method thereof
TWI493603B (en) Method of manufacturing semiconductor device having metal gate
TWI609430B (en) Semiconductor device having metal gate and manufacturing method thereof
TWI552209B (en) Method of fabricating semiconductor device
TWI509667B (en) Structure of metal gate and fabrication method thereof
TWI569333B (en) Method for fabricating semiconductor device
TWI517219B (en) Method for making transistor having metal gate
TWI527125B (en) Menufacturing method for semiconductor device having metal gate