TWI508137B - 形成目標圖案的方法 - Google Patents

形成目標圖案的方法 Download PDF

Info

Publication number
TWI508137B
TWI508137B TW103107364A TW103107364A TWI508137B TW I508137 B TWI508137 B TW I508137B TW 103107364 A TW103107364 A TW 103107364A TW 103107364 A TW103107364 A TW 103107364A TW I508137 B TWI508137 B TW I508137B
Authority
TW
Taiwan
Prior art keywords
pattern
spacer layer
forming
spacer
layer
Prior art date
Application number
TW103107364A
Other languages
English (en)
Other versions
TW201435974A (zh
Inventor
Ming Feng Shieh
Ru Gun Liu
Tsai Sheng Gau
Shih Ming Chang
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201435974A publication Critical patent/TW201435974A/zh
Application granted granted Critical
Publication of TWI508137B publication Critical patent/TWI508137B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

形成目標圖案的方法
本發明係有關一種半導體技術,且特別有關一種積體電路的圖案化方法。
半導體積體電路(intergrated circuit,IC)產業已經歷快速的成長。積體電路的材料與設計的技術進步造就出積體電路的各個世代,其中每一世代與前一世代相比具有更小及更複雜的電路。在積體電路演進的過程中,功能密度(functional density)(即每晶片面積之內連線裝置數目)一般會增加而幾何尺寸(geometry size)(即在一製程中所創造的最小部件(或線寬))則會減少。上述微縮(scaling down)製程一般藉由增加產能及降低相關花費來提供利益。微縮製程亦會增加製造積體電路的複雜度,而為了實現此些益處,在積體電路製造中類似的開發仍是必須的。
舉例來說,當縮小特徵部件的關鍵圖形尺寸(critical dimension,CD)時,疊對誤差(overlay errors)對於在製造鰭式場效電晶體(fin field effect transistor,finFET)裝置時實施的鰭部切除(fin cut)製程而言是一個問題。舉例來說,間隔層(spacer)技術可用來倍增曝光圖案。亦即,相較於首次曝光圖案,最終圖案的間距(pitch)係縮小至僅剩一半。典型的間隔層技術使用兩道光罩。第一道光罩在第一次曝光時定義出心軸 圖案(mandrel pattern),而第二道光罩在第二次曝光時定義出切割圖案(cut pattern)。切割圖案去除心軸圖案、衍生物或上述兩者中不想要的部分。最終圖案包括心軸圖案及衍生物,但不包括切割圖案。一些製程方案容許切割圖案位於間隔層上方,以使其為間隔層所限制。因此,切割圖案的疊對預算與關鍵圖形尺寸變異預算約為間隔層寬度的一半。在一些場合,僅會切割在最小間距處的一特徵部件。因此,需要非常微小的切割特徵部件。此類微小特徵部件的圖案保真度(pattern fidelity)通常不為所需,且疊對與關鍵圖形尺寸變異的總預算量也不夠充足。
據此,需要一方法來增加切割圖案的疊對預算和關鍵圖形尺寸變異預算。
本發明之實施例係揭示一種形成目標圖案的方法,其用於積體電路,包括:於一基板上形成一心軸圖案,心軸圖案具有一線段,線段在一第一方向具有一第一尺寸且在一第二方向具有一第二尺寸;在心軸圖案周圍形成一間隔層,間隔層具有一第一寬度;在心軸圖案及間隔層上形成一切割圖案,其中切割圖案在第一方向與位於線段兩側上的間隔層部分重疊;去除至少一部分的心軸圖案以定義複數個開口;以及縮減間隔層的第一寬度,進而擴大開口。
本發明之另一實施例係揭示一種形成目標圖案的方法,包括:於一基板上形成一心軸圖案,心軸圖案具有一第一線段及一第二線段,其在一第一方向彼此隔開,其中第一線 段在第一方向具有一第一尺寸且在一第二方向具有一第二尺寸,且第二線段在第一方向具有一第三尺寸且在第二方向具有一第四尺寸;縮減第一尺寸及第三尺寸;在第一線段周圍形成一第一間隔層,且在第二線段周圍形成一第二間隔層,進而在第一間隔層與第二間隔層之間定義出一間隙;於位於第一間隔層與第二間隔層之間的基板上形成一切割圖案,其中切割圖案與第一間隔層及第二間隔層部分重疊;去除第一線段及第二線段,進而在第一間隔層內留下一第一開口,且在第二間隔層內留下一第二開口;以及在第一方向收縮第一間隔層及第二間隔層,進而擴大位於第一間隔層及第二間隔層之間的間隙,且擴大第一開口與第二開口。
本發明之又一實施例係揭示一種形成目標圖案的 方法,包括:於一基板上形成一心軸圖案,心軸圖案具有複數條線段;在線段周圍形成複數個間隔層;形成一切割圖案,其與間隔層部分重疊;以切割圖案作為一蝕刻罩幕來蝕刻心軸圖案,進而以間隔層、切割圖案及位於切割圖案下方的心軸圖案的一部分的側壁來定義複數個開口;以及收縮間隔層及切割圖案,進而擴大開口。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
100‧‧‧方法
102、104、106、108、112、114、116、120、122‧‧‧操作步驟
202‧‧‧介電層
204‧‧‧內層介電層
206‧‧‧第一無氮抗反射層
208‧‧‧硬罩幕層
210‧‧‧第二無氮抗反射層
212‧‧‧第一底部材料層
212a、212b‧‧‧心軸線
214‧‧‧第一中間材料層
214a、214b‧‧‧圖案化的膜層214
216a、216b‧‧‧阻劑圖案
220‧‧‧間隔層材料
220a、220b、220a’、220b’、300、310‧‧‧間隔層
222‧‧‧第二底部材料層
224‧‧‧第二中間材料層
224a、224b、224a’、224b’、226a、226b、302、312‧‧‧切割 特徵部件
230‧‧‧導電材料
304、314‧‧‧切割特徵部件的邊緣
306、316‧‧‧誤差預算
L1 、L2 、L1 ’、L2 ’‧‧‧關鍵圖形尺寸
W1 、W2 、W3 、W4 、W1 ’、W2 ’、W3 ’、W4 ’‧‧‧尺寸
θ、θ’‧‧‧角度
第1圖為用以實施本發明一或多個實施例之於基板上形成 目標圖案或裝置的方法的流程圖。
第2a-2d、3a-3b、4a-4c、5a-5c、6a-6b、7a-7b、8a-8c、9a-9c圖為根據第1圖所述之形成目標圖案的方法的平面示意圖與剖面示意圖。
第10a、10b圖繪示出在兩實施例中,位在切割特徵部件邊緣的誤差預算(Error Budget,EB)。
第11a、11b圖繪示出在兩實施例中,形成於切割圖案與間隔層特徵部件之間的銳角,以及在兩實施例中,最終圖案的關鍵圖形尺寸。
可理解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。再者,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。再者,下列敘述中在第二製程之前實施第一製程可包括在第一製程之後緊接著實施第二製程的實施例,且亦可包括在第一與第二製程間實施額外製程的實施例。為求簡潔與清楚,不同特徵部件可以不同比例任意繪製。再者,下列敘述中於第二特徵部件之上或上方形成第一特徵部件可包括第一特徵部件與第二特徵部件是直接接觸的實施例,且亦可包括於第一與第二特徵部件之間形成額外特徵部件,而使第一與第二特徵部件 可不直接接觸的實施例。
再者,此處可使用空間相關的用語,例如「下」、「下方」、「較下方(lower)」、「上方」、「較上方(upper)」及類似用語,使敘述圖式中一元件或特徵部件與另一或另一些元件或特徵部件之間的關係更為容易。這些空間相關的用語意圖包含除圖式所示的方位之外,裝置在使用或操作時的不同方位。舉例來說,若圖式中裝置被上下翻轉,則被敘述成在其他元件或者特徵部件之下或下方的元件將變成在上方。因此,示例性的用詞「下方」可包含上方及下方兩者。或者,裝置也可具有其他方位(旋轉90度或其他方位),而在此使用的空間相關用語亦據此以類似方式被解讀。
現請參照第1圖,其繪示出形成目標圖案的方法100的流程圖。可理解的是可在方法100之前、之中或之後提供額外的操作步驟,且在額外的方法實施例中,所述的一些操作步驟可被替換、移除或交換。方法100將在以下說明中進一步敘述。方法100係為一範例,其並無意圖對明確記載於本發明請求項之外的部分加以限制。
第2a-9c圖繪示出根據方法100的一實施例,具有切割特徵部件的微影-間隔層製程的流程。在第2a-9c圖的每一張中,圖號字尾具有”a”的圖式(如,第2a圖)包括一虛線,其虛線所定義的剖面示意圖為圖號字尾具有”b”、”c”之類的圖式。
方法100起始於操作步驟102,提供一基板。基板包括一或多層的材料或合成物。請參照第2a-2d圖,在本實施例中,基板包括一介電層202、一內層介電(inter-layer dielectric, ILD)層204、一第一無氮抗反射(nitrogen-free anti-reflection coating,NFARC)層206、一硬罩幕層208、一第二無氮抗反射層210、一第一底部材料層212及一第一中間材料層214。在一實施例中,內層介電層包括一極低介電常數(extreme low-k,ELK)介電材料,硬罩幕層包括氮化鈦,無氮抗反射層材料係擇自由氧化矽、碳氧化矽及電漿增強化學氣相沉積氧化矽所構成的群組,底部材料層包括一底部抗反射塗覆高分子材料,且中間材料層包括含有高分子的矽。
繼續方法100至操作步驟104,透過一適當的製程,例如包括一微影製程及一蝕刻製程的一製程,於基板上形成一心軸圖案。請繼續參照第2a-2d圖,在本實施例中,於基板的膜層210上形成包括兩條心軸線212a及212b的心軸圖案,心軸線212a在X方向具有一尺寸W1 。第2b圖繪示出藉由一微影製程,在一範例中其包括光阻塗覆、軟烤、曝光、曝後烘烤、顯影及硬烤,以圖案化第一阻劑層以形成圖案216a及216b。第2c圖繪示出以阻劑圖案216a及216b作為蝕刻罩幕來蝕刻膜層214,並在之後去除阻劑圖案216a及216b。第2d圖繪示出以圖案化的膜層214a及214b作為蝕刻罩幕來蝕刻膜層212,並在之後去除圖案化的膜層214a及214b,進而形成包括兩條心軸線212a及212b的心軸圖案。
上述操作步驟中的蝕刻製程可包括一乾(電漿)蝕刻、一溼蝕刻及/或其他蝕刻方法。舉例來說,乾蝕刻製程可實施含氧氣體、含氟氣體(例如,CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )、含氯氣體(例如,Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴 氣體(例如,HBr及/或CHBr3 )、含碘氣體、其他適合的氣體及/或電漿及/或上述組合。
繼續方法100至操作步驟106,縮減心軸圖案的尺 寸。請參照第3a、3b圖,在本實施例中,縮減兩條心軸線在X方向的尺寸,以形成兩條寬度縮減的心軸線212a’及212b’。在一範例中,W2 約為W1 的一半。縮減心軸線尺寸的一種方式為透過一溼或乾蝕刻製程。
繼續進行方法100至操作步驟108,在心軸圖案周 圍形成間隔層特徵部件,其中調整間隔層特徵部件的寬度以增加後續操作步驟中的切割圖案的疊對預算及關鍵圖形尺寸變異預算。間隔層特徵部件包括一或多種不同於心軸圖案的材料。在一實施例中,間隔層特徵部件可包括一介電材料,例如氮化鈦、氮化矽或氧化鈦。間隔層特徵部件可藉由各種不同製程來形成,其包括一沉積製程與一蝕刻製程。舉例來說,沉積製程包括化學氣相沉積(chemical vapor deposition,CVD)製程或物理氣相沉積(physical vapor deposition,PVD)製程。舉例來說,蝕刻製程包括異向性蝕刻,例如電漿蝕刻。
現請參照第4a-4c圖,在本實施例中,於膜層210 上及兩條心軸線212a’及212b’周圍分別形成兩間隔層220a及220b。第4b圖繪示出於心軸線上及膜層210上沉積間隔層材料220。第4c圖繪示出去除設置於心軸線上及膜層210上的間隔層材料,而保留位於心軸線側壁上的間隔層材料,以形成兩間隔層220a及220b。間隔層220a在X方向具有一寬度W3 。在本實施例中,W3 至少比W2 大了2.5倍。在一範例中,W3 約比W2 大了3 倍。第4c圖亦繪示出位於兩間隔層之間的一間隙,間隙在X方向具有一尺寸W4 ,其係例如根據設計需求或設計規則所安排的最小特徵間隔(feature spacing)。
繼續進行方法100至操作步驟112,於心軸圖案及 間隔層特徵部件上形成一切割圖案,其中切割圖案與間隔層特徵部件部分重疊。上述步驟可透過適當的製程來完成,其包括沉積製程、微影製程及蝕刻製程。
現請參照第5a-5c圖,在本實施例中,形成具有兩 個切割特徵部件226a(224a)及226b(224b)的一切割圖案。切割特徵部件226a(224a)係直接形成於心軸線212a’上,且切割特徵部件226b(224b)係形成於兩間隔層之間的間隙。與所有特徵部件相同,切割特徵部件必須遵循設計規則及其他限制。在本實施例中,切割特徵部件的邊緣必須延伸超出被切除一預定量的特徵部件。如第5a圖所示,切割特徵部件226a(224a)必須延伸超出位於其下方的寬度縮減的心軸線212a’,但不延伸至兩間隔層之間的間隙。因此,位在切割特徵部件邊緣的誤差預算(error budget,EB)228係限制為間隔層的寬度,其已在操作步驟108中調整過以增加此誤差預算。
請參照第5b圖,在本實施例中,於間隔層特徵部 件與心軸線上以及於基板的膜層210上形成一第二底部材料層(BL2)222,於膜層222上形成一第二中間材料層(ML2)224,並圖案化一第二阻劑層(PR2)以形成切割特徵部件226a及226b。 第5c圖繪示出以圖案化的第二阻劑層作為蝕刻罩幕來蝕刻膜層224,且在之後去除圖案化的第二阻劑層(PR2),進而形成兩 切割特徵部件224a及224b。
繼續進行方法100至操作步驟114,以切割圖案作 為蝕刻罩幕來蝕刻心軸圖案並保留間隔層特徵部件,進而在間隔層特徵部件內及周圍形成複數個開口。
請參照第6a、6b圖,在本實施例中,以切割特徵 部件224a及224b作為蝕刻罩幕來蝕刻膜層222及寬度縮減的心軸線且保留間隔層特徵部件。上述步驟可藉由選擇性調整一蝕刻製程來去除膜層222的材料及心軸線材料來完成。在蝕刻製程中,間隔層特徵部件大抵上保持不變。亦即,第6b圖中的尺寸W2 ’、W3 ’及W4 ’仍分別與第4c圖中的尺寸W2 、W3 及W4 保持相同長度。
繼續進行方法100至操作步驟116,縮減間隔層特 徵部件與切割圖案的尺寸,進而增大在間隔層特徵部件內及周圍的開口。上述步驟可透過一蝕刻製程來完成,例如一異向性蝕刻製程。
現請參照第7a、7b圖,在本實施例中,以間隔層 特徵部件及切割特徵部件作為蝕刻罩幕,並以膜層208作為蝕刻停止層來蝕刻膜層210。蝕刻製程係選擇性調整以使其具有大的蝕刻偏差,進而在蝕刻製程中縮減間隔層特徵部件及切割特徵部件的尺寸。因此,可擴大在間隔層內的開口及位於間隔層之間的間隙。在一範例中,在第7a圖中的間隔層特徵部件220a’的尺寸W1 ’約等同於第2a圖中的尺寸W1
繼續進行方法100至操作步驟120,藉由一適當的 製程,例如一異向性蝕刻製程,將間隔層特徵部件及切割圖案 轉移至基板。
現請參照第8a-8c圖,在本實施例中,第8b圖繪示 出藉由一適當的製程,例如研磨製程,去除間隔層特徵部件與切割特徵部件,並於膜層208上留下圖案化的膜層210。第8c圖繪示出將圖案轉移至介電層202。上述步驟可透過一適當的製程來完成,其包括以圖案化的膜層210作為蝕刻罩幕來蝕刻膜層208,並在之後去除圖案化的膜層210;以圖案化的膜層208作為蝕刻罩幕來蝕刻膜層206,並在之後去除圖案化的膜層208;以及以圖案化的膜層206作為蝕刻罩幕來蝕刻膜層204。
繼續進行方法100至操作步驟122,於基板上形成 一最終圖案或裝置。現請參照第9a-9c圖,在本實施例中,目標圖案將形成作為多層內連線結構中的金屬線。進一步來說,金屬線係形成於層間介電層204內。在此例子中,操作步驟120係於內層介電層204內形成複數個溝槽。第9b圖繪示出於介電層202上以及圖案化的膜層204及206上沉積導電材料230,並在溝槽內填入例如為金屬的導電材料。第9c圖繪示出研磨導電材料(例如透過化學機械研磨(chemical mechanical polishing,CMP)),並去除圖案化的膜層206以露出圖案化的內層介電層204,進而於內層介電層204內形成金屬線。
在其他實施例中,可於一半導體基板上形成一鰭式場效電晶體結構。類鰭狀的主動區係形成於半導體基板上。在此實施例中,操作步驟120係於半導體基板內形成複數個溝槽。藉由一製程於溝槽內進一步形成淺溝槽隔離(shallow trench isolation,STI)特徵部件,其包括藉由一沉積製程以將介 電材料填入溝槽,並藉由研磨製程(例如化學機械研磨)以去除多餘的介電材料並平坦化半導體基板的上表面。之後,對介電材料實施一選擇性蝕刻製程以使淺溝槽隔離特徵部件下陷,進而形成類鰭狀的主動區。
截至目前所述,本發明提供一形成目標圖案或裝 置的方法,包括於一基板上形成一心軸圖案。縮減心軸圖案的尺寸。在心軸圖案周圍形成調整過寬度的間隔層特徵部件。於心軸圖案及間隔層特徵部件上形成一切割圖案,其中切割圖案與間隔層特徵部件部分重疊。以切割圖案作為蝕刻罩幕來蝕刻心軸圖案。縮減間隔層特徵部件與切割圖案的尺寸。將間隔層特徵部件及切割圖案所定義的圖案轉移至基板。於基板上形成最終圖案或裝置。在上述方法中,可選擇性移除縮減心軸圖案尺寸的操作步驟。
雖不意圖作為限制,本發明之一或多個實施例的 優點為維持傳統間隔層製程,且僅微調間隔層特徵部件的寬度來增加切割圖案的疊對預算及關鍵圖形尺寸變異預算。此外,切割圖案擋住心軸圖案,而讓最終圖案或裝置具有縮減的關鍵圖形尺寸差異以及更符合需求的角度。上述優點係進一步說明如下。
第10a圖繪示出沒有更動間隔層寬度的一實施 例。在此實施例中,位在重疊於間隔層300的切割特徵部件302邊緣304的誤差預算306相對較小。上述誤差預算大約相同於間隔層300的寬度。
第10b圖繪示出相同於第1圖所述方法100的一實 施例,其在操作步驟108中更動間隔層寬度。在此實施例中,位在切割特徵部件312邊緣314的間隔層310相對較寬,而具有較大的誤差預算316,進而提供更穩健的製程。
類似上述第11a圖,第11a圖繪示出沒有更動間隔層 寬度的一實施例。如圖所示,當需要微小的切割特徵部件時,切割圖案保真度誤差以及切割圖案偏移的累計效應將導致最終圖案的關鍵圖形尺寸間存在巨大差異,例如第11a圖中關鍵圖形尺寸L1 及關鍵圖形尺寸L2 之間的差異,以及導致最終圖案中的銳角,例如第11a圖中的角度θ。
類似上述第10b圖,第11b圖繪示出根據第1圖所述 方法100來更動間隔層寬度的一實施例。即便存在切割圖案保真度誤差以及切割圖案偏移,其仍可縮減最終圖案關鍵圖形尺寸之間的差異,例如第11b圖中關鍵圖形尺寸L1 ’及關鍵圖形尺寸L2 ’之間的差異,且可鈍化最終圖案中的銳角,例如第11b圖中的角度θ’,進而提供更穩健的製程。
因此,本發明提供一種形成目標圖案的方法的一 實施例,包括:於一基板上形成一心軸圖案,心軸圖案具有一線段,線段在一第一方向具有一第一尺寸且在一第二方向具有一第二尺寸;在心軸圖案周圍形成一間隔層,間隔層具有一第一寬度;在心軸圖案及間隔層上形成一切割圖案,其中切割圖案在第一方向與位於線段兩側上的間隔層部分重疊;去除至少一部分的心軸圖案以定義複數個開口;以及縮減間隔層的第一寬度,進而擴大開口。
本發明亦提供一種形成目標圖案的方法的其他實 施例,包括:於一基板上形成一心軸圖案,心軸圖案具有一第一線段及一第二線段,其在一第一方向彼此隔開,其中第一線段在第一方向具有一第一尺寸且在一第二方向具有一第二尺寸,且第二線段在第一方向具有一第三尺寸且在第二方向具有一第四尺寸;縮減第一尺寸及第三尺寸;在第一線段周圍形成一第一間隔層,且在第二線段周圍形成一第二間隔層,進而在第一間隔層與第二間隔層之間定義出一間隙;於位於第一間隔層與第二間隔層之間的基板上形成一切割圖案,其中切割圖案與第一間隔層及第二間隔層部分重疊;去除第一線段及第二線段,進而在第一間隔層內留下一第一開口,且在第二間隔層內留下一第二開口;以及在第一方向收縮第一間隔層及第二間隔層,進而擴大位於第一間隔層及第二間隔層之間的間隙,且擴大第一開口與第二開口。
本發明提供一種形成目標圖案的方法的其他實施 例,包括:於一基板上形成一心軸圖案,心軸圖案具有複數條線段;在線段周圍形成複數個間隔層;形成一切割圖案,其與間隔層部分重疊;以切割圖案作為一蝕刻罩幕來蝕刻心軸圖案,進而以間隔層、切割圖案及位於切割圖案下方的心軸圖案的一部分的側壁來定義複數個開口;以及收縮間隔層及切割圖案,進而擴大開口。
以上概略說明了本發明數個實施例的特徵,使所 屬技術領域中具有通常知識者對於本發明的各種樣態可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到本發明可輕易作為其它製程與結構的設計或變更基礎,以進行相同 於本發明實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的製程與結構並未脫離本揭露之精神和保護範圍內,且在不脫離本發明之精神和範圍內,當可作更動、替代與潤飾。
100‧‧‧方法
102、104、106、108、112、114、116、120、122‧‧‧操作步驟

Claims (10)

  1. 一種形成目標圖案的方法,其用於積體電路,包括:於一基板上形成一心軸圖案,該心軸圖案具有一線段,該線段在一第一方向具有一第一尺寸且在一第二方向具有一第二尺寸;在該心軸圖案周圍形成一間隔層,該間隔層具有一第一寬度;在該心軸圖案及該間隔層上形成一切割圖案,其中該切割圖案在該第一方向與位於該線段兩側上的該間隔層部分重疊;去除至少一部分的該心軸圖案以定義複數個開口;以及縮減該間隔層的該第一寬度,進而擴大該些開口。
  2. 如申請專利範圍第1項所述之形成目標圖案的方法,更包括在形成該間隔層之前,縮減該線段的該第一尺寸,其包括在該第一方向蝕刻該線段的側壁。
  3. 如申請專利範圍第1項所述之形成目標圖案的方法,其中該間隔層的該第一寬度至少大於該線段的該第一尺寸的2.5倍。
  4. 如申請專利範圍第1項所述之形成目標圖案的方法,更包括:蝕刻該切割圖案及位在該切割圖案下方的該心軸圖案的另一部分的側壁,進而在該第二方向擴大該些開口;以該間隔層及該切割圖案作為一蝕刻罩幕來蝕刻該基板;以及 之後,去除該間隔層、該切割圖案及位在該切割圖案下方的該心軸圖案的另一部分。
  5. 一種形成目標圖案的方法,包括:於一基板上形成一心軸圖案,該心軸圖案具有一第一線段及一第二線段,其在一第一方向彼此隔開,其中該第一線段在該第一方向具有一第一尺寸且在一第二方向具有一第二尺寸,且該第二線段在該第一方向具有一第三尺寸且在該第二方向具有一第四尺寸;縮減該第一尺寸及該第三尺寸;在該第一線段周圍形成一第一間隔層,且在該第二線段周圍形成一第二間隔層,進而在該第一間隔層與該第二間隔層之間定義出一間隙;於位於該第一間隔層與該第二間隔層之間的該基板上形成一切割圖案,其中該切割圖案與該第一間隔層及該第二間隔層部分重疊;去除該第一線段及該第二線段,進而在該第一間隔層內留下一第一開口,且在該第二間隔層內留下一第二開口;以及在該第一方向收縮該第一間隔層及該第二間隔層,進而擴大位於該第一間隔層及該第二間隔層之間的該間隙,且擴大該第一開口與該第二開口。
  6. 如申請專利範圍第5項所述之形成目標圖案的方法,更包括在該第二方向蝕刻該切割圖案的側壁。
  7. 如申請專利範圍第5項所述之形成目標圖案的方法,更包 括:於該基板上及由該間隔層與該切割圖案所定義的複數個開口內形成一材料層;以及之後,去除該間隔層與該切割圖案。
  8. 一種形成目標圖案的方法,包括:於一基板上形成一心軸圖案,該心軸圖案具有複數條線段;在該些線段周圍形成複數個間隔層;形成一切割圖案,其與該些間隔層部分重疊;以該切割圖案作為一蝕刻罩幕來蝕刻該心軸圖案,進而以該些間隔層、該切割圖案及位於該切割圖案下方的該心軸圖案的一部分的側壁來定義複數個開口;以及收縮該些間隔層及該切割圖案,進而擴大該些開口。
  9. 如申請專利範圍第8項所述之形成目標圖案的方法,更包括在形成該些間隔層之前,蝕刻該些線段的側壁,以縮減該些線段的寬度。
  10. 如申請專利範圍第8項所述之形成目標圖案的方法,更包括:以該些間隔層及該切割圖案作為一蝕刻罩幕來蝕刻該基板;之後,去除該些間隔層、該切割圖案及位於該切割圖案下方的該心軸圖案的該部分;以及藉由一製程,其包括一沉積製程與一研磨製程,以於該基板上形成複數條導線;其中,該基板包括一內層介電層,其位於一介電層上; 蝕刻該基板包括蝕刻該內層介電層,進而於該內層介電層內形成複數個溝槽;該沉積製程包括將一導電材料填入該些溝槽;以及該研磨製程包括一化學機械研磨製程。
TW103107364A 2013-03-14 2014-03-05 形成目標圖案的方法 TWI508137B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361784608P 2013-03-14 2013-03-14
US13/911,334 US8835323B1 (en) 2013-03-14 2013-06-06 Method for integrated circuit patterning

Publications (2)

Publication Number Publication Date
TW201435974A TW201435974A (zh) 2014-09-16
TWI508137B true TWI508137B (zh) 2015-11-11

Family

ID=51493339

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103107364A TWI508137B (zh) 2013-03-14 2014-03-05 形成目標圖案的方法

Country Status (2)

Country Link
US (1) US8835323B1 (zh)
TW (1) TWI508137B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9368349B2 (en) * 2014-01-14 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut last self-aligned litho-etch patterning
US9293341B2 (en) * 2014-03-13 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9761436B2 (en) 2014-06-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns using multiple lithography processes
US9711369B2 (en) 2015-03-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming patterns with sharp jogs
TWI604569B (zh) * 2016-11-15 2017-11-01 新唐科技股份有限公司 半導體裝置及其形成方法
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10636667B2 (en) * 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
KR102572514B1 (ko) 2018-04-17 2023-08-31 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10790155B2 (en) * 2018-06-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices
DE102019120765B4 (de) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden eines halbleiterbauelements

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200643609A (en) * 2005-03-15 2006-12-16 Micron Technology Inc Pitch reduced patterns relative to photolithography features
US20120129316A1 (en) * 2010-11-19 2012-05-24 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US7989355B2 (en) 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200643609A (en) * 2005-03-15 2006-12-16 Micron Technology Inc Pitch reduced patterns relative to photolithography features
US20120129316A1 (en) * 2010-11-19 2012-05-24 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device

Also Published As

Publication number Publication date
US20140273456A1 (en) 2014-09-18
TW201435974A (zh) 2014-09-16
US8835323B1 (en) 2014-09-16

Similar Documents

Publication Publication Date Title
TWI508137B (zh) 形成目標圖案的方法
US10840097B2 (en) Semiconductor methods and devices
US10049919B2 (en) Semiconductor device including a target integrated circuit pattern
CN109786225B (zh) 用于半导体器件的图案化方法和由此产生的结构
US10770303B2 (en) Mechanisms for forming patterns using multiple lithography processes
US9466486B2 (en) Method for integrated circuit patterning
US9589800B2 (en) Method for integrated circuit patterning
US9875927B2 (en) Method for forming patterns for semiconductor device
US9875906B2 (en) Mechanisms for forming patterns using multiple lithography processes
KR20190055681A (ko) 에칭 및 이에 의하여 형성된 구조물
TWI640042B (zh) 半導體裝置之圖案化結構的製作方法
US9564371B2 (en) Method for forming semiconductor device
TWI528417B (zh) 在半導體裝置內形成圖案的方法
Carlson et al. Negative and iterated spacer lithography processes for low variability and ultra-dense integration
TW202349457A (zh) 半導體裝置的製造方法
KR20220078133A (ko) 패턴 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
TWI518743B (zh) 半導體裝置圖案化結構之製作方法