TWI436159B - 反射型光罩基底及反射型光罩之製造方法 - Google Patents

反射型光罩基底及反射型光罩之製造方法 Download PDF

Info

Publication number
TWI436159B
TWI436159B TW099103374A TW99103374A TWI436159B TW I436159 B TWI436159 B TW I436159B TW 099103374 A TW099103374 A TW 099103374A TW 99103374 A TW99103374 A TW 99103374A TW I436159 B TWI436159 B TW I436159B
Authority
TW
Taiwan
Prior art keywords
film
reflective
range
substrate
pattern
Prior art date
Application number
TW099103374A
Other languages
English (en)
Other versions
TW201102753A (en
Inventor
Morio Hosoya
Original Assignee
Hoya Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corp filed Critical Hoya Corp
Publication of TW201102753A publication Critical patent/TW201102753A/zh
Application granted granted Critical
Publication of TWI436159B publication Critical patent/TWI436159B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Description

反射型光罩基底及反射型光罩之製造方法
本發明係關於半導體裝置等所使用之曝光用反射型光罩,及用以製造其之原版的反射型光罩基底者。
本申請案主張以2009年2月4日申請之日本專利申請特願2009-23957及2009年5月12日申請之日本專利申請特願2009-15307案為基礎之優先權,此處併入其所有內容。
近年來,在半導體產業中,伴隨半導體裝置之高積體化,需要超過光微影法之轉印界限之微細圖案。因此,使用波長更短之遠紫外線(Extreme Ultra Violet:以下稱EUV)光之曝光技術的EUV微影被視為有望。再者,此處所謂之EUV光,係指軟X射線區域或真空紫外線區域之波帶之光,具體而言係波長為0.2~100nm左右之光。作為該EUV微影所使用之光罩,例如提案有例如下述專利文獻1所記載之曝光用反射型光罩。
如此之反射型光罩係於基板上形成反射曝光用光之多層反射膜,於該多層反射膜上形成緩衝膜,進而以圖案狀於其上形成吸收曝光用光之吸收體膜者。緩衝膜係以保護吸收體膜之圖案形成步驟及修正步驟中之多層反射膜為目的,設於多層反射膜與吸收體膜之間。入射於搭載在曝光機(圖案轉印裝置)之反射型光罩之光在有吸收體膜之部份被吸收,而在無吸收體膜之部份則由多層反射膜反射之光像,係通過反射光學系統被轉印於半導體基板上。
先前技術文獻 專利文獻
[專利文獻1]日本特開平8-213303號公報
為使用反射型光罩,使微細圖案以高精度對半導體基板等進行圖案轉印,提高相對EUV光等曝光用光之光罩對比極為重要。
因此,有必要提高吸收體膜之對EUV光等曝光用光之吸收性能而提高遮光性。並且,抑制吸收體膜表面之對於曝光用光之表面反射此點亦屬重要。
因此,本發明之目的係提供一種可提高光罩使用時之對於曝光用光之對比的反射型光罩基底及使用其製造之反射型光罩。
本發明之其他目的係提供一種可提高圖案邊緣部份之圖案解像性,而可進行高解像度之圖案轉印之反射型光罩基底及使用其製造之反射型光罩。
以下針對本發明之各種態樣進行說明。以下說明中,折射率n、消光係數k係以EUV光(波長13~14nm)作為曝光用光之情形下之數值。
(態樣1) 一種反射型光罩基底,其特徵在於具有:基板、形成於該基板上之反射曝光用光之多層反射膜、及形成於該多層反射膜上之吸收曝光用光之吸收體膜,其係用於以EUV光作為曝光用光之EUV微影;前述吸收體膜係包含最上層與其以外之下層之積層構造,前述最上層係以包含鉭(Ta)氧化物、氮化物、氮氧化物或碳化物中任一者之材料所形成,折射率n在0.95~0.97之範圍,消光係數k在-0.033~-0.023之範圍;前述下層係以包含鉭(Ta)之材料所形成,折射率n在0.94~0.97之範圍,消光係數k在-0.050~-0.036之範圍。
根據態樣1之反射型光罩基底,吸收體膜係包含最上層與其以外之下層之積層構造,前述最上層係選定以包含鉭(Ta)氧化物、氮化物、氮氧化物或碳化物中任一者之材料所形成,消光係數k低,且EUV曝光用光易於透射之材料;前述下層係選定以包含鉭(Ta)之材料所形成,且消光係數k高於最上層之材料,藉此可確保主要在下層對EUV曝光用光充分之遮光性能,且抑制在最上層對EUV曝光用光之表面反射。其結果,可獲得提高對曝光用光之光罩對比之反射型光罩。
另,藉由使最上層與下層之折射率n及消光係數k分別在特定範圍,可在通過最上層且在下層反射之曝光用光與以多層反射膜反射之曝光用光間之干涉效應,而產生吸收體膜之圖案邊緣部份之移相效果,可提高圖案邊緣部份之圖案解像性。其結果,可獲得可進行高解像度之圖案轉印之反射型光罩。
(態樣2) 一種態樣1記載之反射型光罩基底,其特徵在於:前述吸收體膜之下層進而含有硼(B)與氮(N)中至少一種以上之元素。
如態樣2之反射型光罩基底,前述吸收體膜之下層可進而含有硼(B)與氮(N)中至少一種以上之元素。再者,藉由含有B,可更提高吸收體膜之非晶性與表面平滑性。另,於最上層應用以鉭氧化物為主要成份之材料之情形下也是,可降低因氧化所導致之導電性下降,可抑制於最上層之上形成抗蝕膜而以電子線描繪圖案時產生儲蓄電荷之現象。另,藉由含有N,可降低吸收體膜之膜應力,又,與吸收體膜下之緩衝膜或多層反射膜之密接性也會變得良好。
(態樣3) 如技術方案1或2之反射型光罩基底,其特徵在於:於前述多層反射膜與前述吸收體膜間,具有以包含鉻(Cr)氧化物之材料所形成,折射率n在0.90~0.95之範圍,消光係數k在-0.043~-0.030之範圍之緩衝膜。
根據態樣3之反射型光罩基底,除了上述各效果之外,可防止形成吸收體膜之圖案時及圖案修正時由於蝕刻所導致之多層反射膜之損壞。再者,藉由含Cr氮化物之緩衝膜之折射率n在0.90~.95之範圍,消光係數k在-0.043~-0.030之範圍,EUV曝光用光之吸收率變高,因此可以此使吸收體膜(尤其下層)之膜厚變薄,可抑制因蔭影效果所導致之作為轉印對象物之半導體基板上的抗蝕膜之圖案粗大,是為其效果。
(態樣4) 一種反射型光罩之製造方法,其特徵在於:於態樣1至3中任一項之反射型光罩基底之前述吸收體膜上,形成成為對於被轉印體之轉印圖案的吸收體膜圖案。
如態樣4,藉由使用前述態樣1至3之反射型光罩基底製造反射型光罩,可獲得光罩使用時對曝光用光之光罩對比提高,並且可進行高解像度之圖案轉印之反射型光罩。
根據本發明,可提供一種光罩使用時對曝光用光之光罩對比提高之反射型光罩基底及使用其製造之反射型光罩。另,根據本發明,提供一種可提高圖案邊緣部份之圖案解像性,而可進行高解像度之圖案轉印的反射型光罩基底及使用其製造之反射型光罩,。
以下,針對本發明之實施形態進行說明。以下說明中,所謂「光罩對比」,係指相對EUV曝光用光之反射型光罩之對比,即意味著以對比=反射率比=1:(自多層反射膜之反射率/自吸收體膜之反射率)定義之值。
本發明之實施形態之反射型光罩基底,其具有:基板、反射形成於該基板上之曝光用光之多層反射膜、及吸收形成於該多層反射膜上之曝光用光之吸收體膜,用於以EUV光作為曝光用光之EUV微影。前述吸收體膜係包含最上層及其以外之下層之積層構造。前述最上層係以包含鉭(Ta)之氧化物、氮化物、氮氧化物或碳化物中任一者之材料所形成,折射率n在0.95~0.97之範圍,消光係數k在-0.033~-0.023之範圍。前述下層係以包含鉭(Ta)之材料所形成,折射率n在0.94~0.97之範圍,消光係數k在-0.050~-0.036之範圍。
根據如此反射型光罩基底,可獲得一種反射型光罩基底及使用其製造之反射型光罩,其中以含鉭(Ta)之材料形成之吸收體膜之最上層與下層之消光係數k與折射率n分別在前述特定範圍內,藉此可獲得提高光罩使用時對於曝光用光之光罩對比。
前述吸收體膜之最上層係以包含鉭(Ta)之氧化物、氮化物、氮氧化物或碳化物中任一者之材料所形成,折射率n在0.95~0.97之範圍,消光係數k在-0.033~-0.023之範圍。若消光係數k不滿0.023,則相對EUV曝光用光之吸收率下降,為實現期望之對比,需要加厚吸收體層之膜厚。其結果,因蔭影效果導致圖案之粗細度變大,而產生轉印圖案之補正變得困難之問題。另一方面,若消光係數k高於0.033,則EUV曝光用光之透射率變低,而產生對最上層之EUV曝光用光之表面反射之抑制效果降低的問題。另,若折射率n不滿0.95,則最上層與下層間之界面之EUV曝光用光之反射光(界面反射光)變大,而產生界面反射光抑制變得因難之問題。另一方面,若欲使具有折射率n大於0.97之特性之最上層以含鉭化合物之材料實現,則需要粗膜質,以蝕刻加工性之觀點而言,將會產生以低的線邊緣粗糙度實現微細圖案變得困難之問題。尤其較佳為,最上層之折射率n在0.945~0.965之範圍,消光係數k在-0.0320~-0.0240之範圍。
作為以Ta之氧化物、氮化物、氮氧化物、或碳化物為代表之化合物之例,可舉出例如TaO、TaON、TaN、TaC、TaCN等。另,形成前述吸收體膜最上層之材料可進而含有硼(B)。藉由含有B,可更提高膜之非晶性與表面平滑性。作為代表性化合物之例,可舉出例如TaBO、TaB、TaBN、TaBCN等。
例如為含Ta與O之材料(Ta氧化物)時,根據Ta與O之組成比,可獲得0.9556~0.9647範圍之折射率n,及-0.0312~-0.0249範圍之消光係數k。另,若為含Ta與B與O之材料時,根據Ta與B與O之組成比,可獲得0.9496~0.9571範圍之折射率n,及-0.0303~-0.0257範圍之消光係數k。
再者,形成前述吸收體膜之最上層之材料,若成膜之膜之折射率n及消光係數k分別在前述特定範圍的話,則組成比無需特別規定,但若從例如轉印圖案形成時蝕刻加工性之觀點而言,例如含Ta與O之材料的情況,組成比Ta/O在30/50~75/15(原子%比)之範圍較佳,並且,含Ta與B與N之材料的情況,N為10~30原子%較佳,剩餘成份為100時,B為5~30原子%較佳。
前述吸收體膜之最上層之膜厚可大致在50~100nm左右,但為提高對EUV曝光用光之透射率,使膜厚最適化較佳。此時,使吸收體膜最上層之膜厚在5~20nm之範圍,從吸收體膜薄膜化之觀點而言尤其為佳。
另一方面,前述吸收體膜之下層係以含鉭(Ta)之材料所形成,折射率n在0.94~0.97之範圍,消光係數k在-0.050~0.036之範圍。若下層之消光係數k不滿0.036,則會產生無法充分獲得相對EUV曝光用光之下層之吸收性能之問題。另一方面,若下層之消光係數k高於0.050,則最上層與下層間之界面折射率變高,而產生對比無法提高之問題。另,折射率n不滿0.94之情形中,最上層與下層間之界面折射率亦變高,產生對比無法提高之問題。另一方面,若折射率n大於0.97,則最上層與下層間之界面之EUV曝光用光之反射光(界面反射光)變大,而產生抑制界面反射光變困難之問題。尤其較佳為,下層之折射率n在0.945~0.965之範圍,消光係數k在-0.049~0-0.037之範圍。
對於前述吸收體膜較佳的是可使用Ta單質或以Ta為主要成份之材料。作為以Ta為主要成份之材料,可適當地使用例如含Ta與B之材料、含Ta與N之材料、含Ta與B,進而含O與N中至少任一者之材料等。如前所述,藉由對Ta加入例如B,可容易地獲得非晶狀之材料,提高平滑性。另,即使於最上層使用以鉭為主要成份之材料,亦可減少因氧化所導致之導電性之下降,可抑制於最上層上形成抗蝕膜並以電子線描繪圖案時產生電荷儲存。另,若對Ta加入N或O,則相對氧化之耐性提高,可獲得經時安定性提高之效果。
例如,Ta單質膜之情形下,可獲得0.9548~0.9617範圍之折射率n,及-0.0479~-0.0406範圍之消光係數k。另,含Ta與B材料之情形下,根據Ta與B之組成比,可獲得0.9513~0.9627範圍之折射率n,及-0.0490~-0.0376範圍之消光係數k。另,含Ta與N材料之情形下,根據Ta與N之組成比,可獲得0.9500~0.9547範圍之折射率n,及-0.0457~-0.0414範圍之消光係數k。另,含Ta與B與N之情形下,根據Ta與B與N之組成比,可獲得0.9480~0.9559範圍之折射率n,及-0.0470~-0.0401範圍之消光係數k。
將含前述Ta與B之材料、含Ta與N之材料、含Ta與B與N之材料般之以Ta為主要成份之材料用於積層構造之吸收體膜下層之情形中,若成膜之膜之折射率n在0.94~0.97之範圍,消光係數k在-0.050~-0.036之範圍,則組成比無須特別規定,但若從例如轉印圖案形成時蝕刻加工性之觀點而言,則例如若為含Ta與B之材料時,組成比Ta/B在90/5~70/30(原子%比)之範圍較佳,若為含Ta與N之材料時,組成比Ta/N在90/10~50/50(原子%比)之範圍較佳,並且若為含Ta與B與N之材料時,N為10~40原子%較佳,剩餘成份為100時,B為5~30原子%較佳。
關於前述吸收體膜下層之膜厚,能充分獲得對EUV曝光用光之遮光性之厚度即可,通常為30~100nm左右。
上述積層構造之吸收體膜中,其最上層及下層以磁控濺射等濺鍍法形成較佳。例如在TaBN膜之情形中,可使用含Ta與B之靶材,以使用添加有氮之氬氣之濺射法成膜。另,TaO膜之情形中,可以使用Ta靶材,使用添加有氧之氬氣之濺射法成膜。以濺鍍法形成時,藉由使投入於濺鍍靶材之功率或投入氣體壓力變化,可控制膜密度或內部應力。另,由於可在室溫左右之低溫下形成,因此可使熱對多層反射膜等之影響減少。
再者,上述積層構造之吸收體膜之最上層、下層各者未必一定全體都為均一組成,例如亦可以在膜厚方向使組成不同之方式使組成傾斜。使組成傾斜之情形下,可使含有之元素之組成連續地不同,或亦可使組成階段性不同。
根據如此之反射型光罩基底,可獲得具有以下效果之反射型光罩基底及使用其製造之反射型光罩。
(1) 即,吸收體膜係包含最上層與其以外之下層之積層構造,前述最上層係選定以包含鉭(Ta)之氧化物、氮氧化物或碳化物中任一者之材料所形成,且消光係數k低、EUV曝光用光易透射之材料;前述下層係選定以包含鉭(Ta)之材料所形成,且消光係數k高於最上層之材料,藉此可在確保主要在下層對EUV曝光用光充分之遮光性能下,抑制在最上層對EUV曝光用光之表面反射。其結果,可獲得對曝光用光之光罩對比獲得提高之反射型光罩。
即,可提高最上層之光罩使用時之曝光用光透射率,抑制表面反射,且提高對曝光用光之EUV光之光罩對比,可以高精度使微細圖案進行圖案轉印。
(2) 藉由使吸收體膜最上層與下層之折射率n及消光係數k分別在特定範圍,可提高通過最上層而在下層反射之曝光用光及以多層反射膜反射之曝光用光間之干涉效應,產生吸收體膜之圖案邊緣部份之移相效果,可提高圖案邊緣部份之圖案解像性。其結果,可獲得可進行高解像度之圖案轉印之反射型光罩。
另,亦可於前述多層反射膜與吸收體膜間,形成該吸收體膜與蝕刻特性不同之緩衝膜。藉由形成該緩衝膜,可防止因形成吸收體膜之圖案時及圖案修正時之蝕刻所導致的多層反射膜之損壞。尤其包含含有鉻之鉻系材料之緩衝膜可獲得平滑性,因此形成於其上之吸收體膜表面亦可獲得高平滑性,可減少圖案不清晰。
作為鉻系材料,尤其可較佳舉出的有含鉻(Cr)之氮化物。另,緩衝膜之折射率n在0.90~0.95之範圍,消光係數k在-0.043~-0.030之範圍較佳。含鉻之氮化物之緩衝膜之折射率n在0.90~0.95之範圍,消光係數k在-0.043~-0.030之範圍,藉此EUV曝光用光之吸收率變高,因此可使吸收體膜(尤其下層)之膜厚變薄,可有效抑制起因於蔭影效果之轉印對象物之半導體基板上的抗蝕膜之圖案粗大。
再者,前述反射型光罩基底亦可具有用以於吸收體膜上面形成特定之轉印圖案之抗蝕膜。
作為使用前述反射型光罩基底所得之反射型光罩,可舉出如下態樣。
(1) 於形成於基板上之多層反射膜上形成緩衝膜,於該緩衝膜上形成具有特定轉印圖案之吸收體膜圖案而成之反射型光罩。
(2) 於形成於基板上之多層反射膜上,形成具有特定轉印圖案之緩衝膜與吸收體膜之圖案而成之反射型光罩。
(3) 於形成於基板上之多層反射膜上,形成具有特定轉印圖案之吸收體膜圖案而成之反射型光罩。
圖1係顯示本發明之一實施形態之反射型光罩基底,及使用該光罩基底製造反射型光罩之步驟之概要剖面圖。
如圖1(a)所示,該反射型光罩基底具有於基板1上形成之多層反射膜2、於其上形成之緩衝膜3及包含下層4a與最上層4b的積層構造之吸收體膜4之各層之構造。另,吸收體膜4之上面具有抗蝕膜5。
作為基板1,為防止曝光時因熱而圖案變形,具有0±1.0×10-7 /℃範圍內,更佳為具有0±0.3×10-7 /℃範圍內之低熱膨脹係數者較佳。作為具有該範圍之低熱膨脹係數之素材,可使用非晶性玻璃、陶瓷、金屬中之任一者。例如若為非晶性玻璃,可為SiO2 -TiO2 系玻璃、石英玻璃,若為結晶化玻璃,則可使用析出β石英固溶體之結晶化玻璃等。作為金屬基板之例,可舉出因瓦合金(Fe-Ni類合金)等。另,亦可使用單晶矽基板。
另,為獲得高反射率及高轉印精度,基板1係以具備高平滑性與平坦度之基板較佳。尤其以具有0.2nmRms以下之平滑表面(10μm角部位之平滑性),與50nm以下平坦度(142mm角部位之平坦度)較佳。另,為防止因形成於其上之膜之膜應力而變形,基板1宜具有高剛性。尤其具有65GPa以上之高揚氏模數者較佳。
再者,顯示平滑性之單位Rms為均方根粗糙度,可以原子力顯微鏡測定。並且,平坦度係以表示TIR(Total Indicated Reading)所示之表面之翹曲(變形量)之值,係以基板表面為基準以最小自乘法規定之平面為焦平面,較該焦平面為上方之基板表面之最高位置與較該焦平面為下方之基板表面之最低位置的高低差之絕對值。
多層反射膜2係如前述,係折射率不同之元素週期性積層之多層膜,一般言之,使用重元素或其化合物之薄膜與輕元素或其化合物之薄膜交互積層40~60週期左右而成之多層膜。
例如,作為相對波長13~14nm之EUV光之多層反射膜,較佳的是使用以40週期左右交互積層前述Mo膜與Si膜而成之Mo/Si週期積層膜。另外,作為於EUV區域使用之多層反射膜,有Ru/Si週期多層膜、Mo/Be週期多層膜、Mo化合物/Si化合物週期多層膜、Si/Nb週期多層膜、Si/Mo/Ru週期多層膜、Si/Mo/Ru/Mo週期多層膜、Si/Ru/Mo/Ru週期多層膜等。亦可根據曝光波長適當選擇材質。
多層反射膜2可利用DC磁控濺鍍法或離子束濺鍍法等,將各層成膜而形成。上述Mo/Si週期多層膜之情形中,藉由例如離子束濺鍍法,首先使用Si靶材成膜厚度為數nm左右之Si膜,接著使用Mo靶材成膜厚度數nm左右之Mo膜,以此為一週期,積層40~60週期後,最後成膜Si膜。
再者,亦可於多層反射膜2與緩衝膜3間,或多層反射膜2與吸收體膜4間(不具有緩衝膜3之情形),設置例如包含釕(Ru)或其化合物等材料之保護膜。藉由具有該保護膜,可防止因緩衝膜或吸收體膜圖案成形時之蝕刻所導致之多層反射膜之損壞,防止曝光用光反射率之下降。再者,作為前述釕化合物,可舉出例如RuNb、RuZr等。
作為緩衝膜3,可較佳地使用例如前述鉻系緩衝膜。該緩衝膜3除DC濺鍍、RF濺鍍法以外,可以離子束濺鍍等濺鍍法形成於前述多層反射膜上。
再者,緩衝膜3之膜厚例如在進行使用聚焦離子束(FIB)之吸收體膜圖案的修正時,以20~60nm左右較佳,但不使用FIB之情形時,可在5~15nm左右。
接著,吸收體膜4係具有吸收曝光用光之例如EUV光之功能者,圖1所示之實施形態中,為包含下層4a與最上層4b之積層構造。該吸收體膜與前述者相同。
圖1所示之實施形態中,如上構成反射型光罩基底10,具有緩衝膜,但亦可依對吸收體膜4之圖案形成方法或形成之圖案修正方法,而採不設置該緩衝膜之構成。
接著,說明使用該反射型光罩基底10之反射型光罩之製造步驟。
反射型光罩基底10(參照圖1(a))之各層材料及形成方法與前述相同。
然後,於該反射型光罩基底10之吸收體膜4形成特定之轉印圖案。首先,相對吸收體膜4上之抗蝕膜5,使用電子線描繪機進行特定之圖案描繪,使其顯影,形成特定之抗蝕圖案51(參照同圖(b))。
以形成之抗蝕圖案51為掩膜,將吸收體膜4之最上層4b及下層4a乾蝕刻,形成具有特定之轉印圖案之吸收體膜圖案(最上層圖案41b與下層圖案41a之積層圖案)(參照同圖(c))。吸收體膜4之最上層4b及下層4a均為包含以Ta為主要成份之材料時,可利用使用氯氣之乾蝕刻。
再者,使用熱濃硫酸除去殘留於最上層圖案41b上之抗蝕圖案51。
此處通常進行吸收體膜(下層圖案41a與最上層圖案41b之積層圖案)是否與設計相同地形成之檢查。圖案檢查所使用之檢查光入射於形成有吸收體膜圖案之光罩上,檢測在最上層圖案41b上反射之檢查光,及以除去吸收體膜4露出之緩衝膜3反射之檢查光,觀察其對比,藉此進行檢查。
如此,例如在檢測出不應除去之吸收體膜4被除去之針孔缺陷(白缺陷),或因蝕刻不足導致一部份未除去而殘留之蝕刻不足缺陷(黑缺陷)時,將其予以修正。
針孔缺陷之修正例如有藉由FIB輔助沉積法使碳膜等堆積於針孔等之方法。另,由於蝕刻不足所導致之缺陷之修正,有利用FIB照射進行不需要部份之除去等方法。此時,緩衝膜3相對FIB照射,成為保護多層反射膜2之保護膜。
如此,圖案檢查及修正結束後,根據吸收體膜圖案將露出之緩衝膜3除去,於緩衝膜上形成圖案31,而製作反射型光罩20(參照同圖(d))。此處,例如包含Cr系材料之緩衝膜之情形中,可使用含有氯與氧之混合氣體之乾蝕刻。除去緩衝膜之部份中,作為曝光用光之反射區域之多層反射膜2露出。
再者,不除去上述緩衝膜亦可獲得必要之反射率之情形下,亦可不將緩衝膜加工成同於吸收體膜之圖案狀,而殘留於多層反射膜上。
最後,以同於規格之尺寸精度進行是否有形成吸收體膜之最終確認檢查。
再者,使用上述反射型光罩基底製造之反射型光罩對使用EUV光(波長0.2~100nm左右)作為曝光用光使用之EUV微影尤佳,但亦可適當用於其他短波長之曝光用光。
實施例
以下,根據實施例更具體說明本發明之實施形態。
(實施例1)
使用之基板係SiO2 -TiO2 系玻璃基板(6英寸見方,厚度為6.3mm)。該基板之熱膨脹係數為0.2×10-7 /℃,楊氏模數為67GPa。藉由機械研磨,將該玻璃基板形成0.2nmRms以下之平滑表面與50nm以下之平坦度。
為使形成於基板上之多層反射膜為適於13~14nm之曝光用光波帶之多層反射膜,採用Mo膜/Si膜週期多層反射膜。即,使用Mo靶材與Si靶材,藉由離子束濺射法於基板上交互積層而形成多層反射膜。使Si膜為4.2nm,Mo膜為2.8nm,以此為一週期,積層40週期後,成膜4.2nm之Si膜,於其之上進而成膜2.5nm之Ru膜作為保護膜。
如此而獲得附多層反射膜基板。對該多層反射膜使13.5nm之EUV光以6.0度之入射角測定反射率,測得反射率為63%。
接著,於如上所得之附多層反射膜基板之保護膜上形成緩衝膜。緩衝膜係使氮化鉻膜形成20nm之厚度。使用Cr靶材,使用氬(Ar)與氮(N2 )之混合氣體作為濺鍍氣體,藉由DC磁控濺射法成膜。成膜之CrNx膜中,氮(N)為10at%(x=0.1)。再者,成膜之CrNx膜之折射率n為0.9223,消光係數k為-0.0396。折射率n及消光係數k之測定係藉由低角EUV反射光強度測定而進行。
接著,於該緩衝膜上以50nm厚度形成含Ta與B與N之材料作為吸收體膜之下層。即,使用含Ta及B之靶材,對氬(Ar)添加10%之氮(N2 ),藉由DC磁控濺射法成膜。再者,成膜之TaBN膜之組成比,Ta為80at%,B為10at%,N為10at%。
接著,以20nm厚度形成含Ta與B與O之材料作為吸收體膜之最上層。即,使用含Ta及B之靶材,對氬(Ar)添加10%之氧(O2 ),藉由DC磁控濺射法成膜。成膜之TaBO膜之組成比,Ta為80at%,B為10at%,O為10at%。
再者,成膜之TaBN膜(下層)之折射率n為0.9493,消光係數k為-0.0422,成膜之TaBO膜(最上層)之膜密度,折射率n為0.9511,消光係數k為-0.0299。折射率n及消光係數k之測定係藉由前述方法進行。
另,對前述最上層之TaBO膜使13.5nm之EUV光以6.0度之入射角測定透射率,測得為0.8%。
以如上方式製作本實施例之反射型光罩基底。
接著,使用該反射型光罩基底,如下製作具有設計規格為DRAM hp32nm世代之圖案之EUV曝光用反射型光罩。
首先,於前述反射型光罩基底上形成電子線描繪用抗蝕膜,使用電子線描繪機進行特定之圖案描繪,描繪後藉由顯影形成抗蝕圖案。
接著,將該抗蝕圖案作為掩膜,使用氯氣乾蝕刻吸收體膜之最上層及下層,於吸收體膜形成包含下層與最上層之積層圖案之轉印圖案。
再者,使用氯與氧之混合氣體,使殘留於反射區域上(吸收體膜中無圖案之部份)之緩衝膜根據吸收體膜之圖案乾蝕刻除去,於表面露出具備Ru保護膜之多層反射膜,而獲得反射型光罩。
進行所得之反射型光罩之最終確認檢查後,可確認可如同設計般之形成設計規格為DRAM hp32nm世代之圖案。另,反射區域之EUV光之反射率與以附多層反射膜基板測定之反射率幾乎未改變,為61.8%。
接著,使用所得之本實施例之反射型光罩,藉由圖2所示之圖案轉印裝置進行對於半導體基板上之利用EUV光之曝光轉印。
搭載反射型光罩之圖案轉印裝置50係大致由雷射電漿X射線源31、縮小光學系統32等構成。縮小光學系統32使用X線反射鏡。藉由縮小光學系統32,以反射型光罩20反射之圖案通常縮小至1/4左右。再者,由於使用13~14nm之波帶作為曝光波長,因此預先設定光路為真空中。
如此狀態下,對反射型光罩20入射從雷射電漿X射線源31所得之EUV光,將此處反射之光通過縮小光學系統32轉印於矽晶圓(附抗蝕層之半導體基板)33上。
入射於反射型光罩20之光在有吸收體膜圖案之部份被吸收於吸收體膜而不反射,另一方面,入射於無吸收體膜圖案之部份之光係藉由多層反射膜而被反射。如此,藉由從反射型光罩20反射之光形成之像入射於縮小光學系統32。經由縮小光學系統32之曝光用光係於矽晶圓33上之抗蝕層曝光轉印圖案。然後,藉由顯影該曝光後之抗蝕層而於矽晶圓33上形成抗蝕圖案。
如上進行對於半導體基板上之圖案轉印後,確認本實施例之反射型光罩之光罩對比高如1:1000,光罩精度為DRAM hp32nm設計規格所要求精度之4.8nm以下。
(實施例2)
與實施例1相同地製作附多層反射膜基板,於其Ru保護膜上,與實施例1相同般形成氮化鉻膜之緩衝膜。
接著,於該緩衝膜上以60nm厚度形成含Ta與N之材料作為吸收體膜之下層。即,使用Ta靶材對氬(Ar)添加10%之氮(N2 ),藉由DC磁控濺射法成膜。又,成膜之TaN膜之組成比,Ta為80at%,N為20at%。
接著,以20nm厚度形成含Ta與O之材料作為吸收體膜之最上層。即,使用Ta靶材,對氬(Ar)添加10%之氧(O2 ),藉由DC磁控濺射法成膜。再者,成膜之TaO膜之組成比,Ta為80at%,O為20at%。
再者,成膜之TaN膜(下層)之折射率n為0.9532,消光係數k為-0.0436,成膜之TaO膜(最上層)之折射率n為0.9597,消光係數k為-0.0264。
另,對前述最上層之TaO膜使13.5nm之EUV光以6.0度之入射角測定透射率,測得為0.65%。
如上製作本實施例之反射型光罩基底。
接著,使用該反射型光罩基底,以與實施例1相同之程序製作反射型光罩。
進行製作之反射型光罩之最終確認檢查後,可確認可如同設計般之形成設計規格為DRAM hp32nm世代之圖案。另,反射區域之EUV光之反射率與以附多層反射膜基板測定之反射率幾乎未改變,為61.5%。
再者,使用本實施例之反射型光罩,與實施例1相同,藉由圖2所示之圖案轉印裝置對半導體基板上進行利用EUV光之圖案轉印後,確認本實施例之反射型光罩之光罩對比為1:1000而較高,光罩精度為DRAM hp32nm設計規格所要求精度之4.8nm以下。
(實施例3)
與實施例1相同地製作附多層反射膜基板,於其Ru保護膜上與實施例1相同形成氮化鉻膜之緩衝膜。
接著,於該緩衝膜上,以50nm厚度形成Ta膜作為吸收體膜之下層。即。使用Ta靶材,於氬氣(Ar)中藉由DC磁控濺射法成膜。
接著,以20nm厚度形成含Ta與O之材料作為吸收體膜之最上層。即,使用Ta靶材。對氬(Ar)添加10%之氧(O2 ),藉由DC磁控濺射法成膜。再者,成膜之TaO膜之組成比,Ta為80at%,O為20at%。
再者,成膜之Ta膜(下層)之折射率n為0.9597,消光係數k為-0.0458,成膜之TaO膜(最上層)之折射率n為0.9597,消光係數k為-0.0264。
另,對前述最上層之TaO膜使13.5nm之EUV光以6.0度之入射角測定透射率,測得為0.78%。
如上地製作本實施例之反射型光罩基底。
接著,使用該反射型光罩基底,以與實施例1相同之程序製作反射型光罩。
進行製作之反射型光罩之最終確認檢查後,可確認可如同設計般之形成設計規格為DRAM hp32nm世代之圖案。另,反射區域之EUV光之反射率與以附多層反射膜基板測定之反射率幾乎未改變,為61.7%。
再者,使用本實施例之反射型光罩,與實施例1相同,藉由圖2所示之圖案轉印裝置對半導體基板上進行利用EUV光之圖案轉印後,確認本實施例之反射型光罩之光罩對比為1:1000而較高,光罩精度為DRAM hp32nm設計規格所要求精度之4.8nm以下。
以上參照實施形態及實施例進行了說明,但本發明不限於前述實施形態及實施例,所屬領域技術人員可進行能理解之各種變更。
1...基板
2...多層反射膜
3...緩衝膜
4...吸收體膜
4a...下層
4b...最上層
5...抗蝕膜
10...反射型光罩基底
20...反射型光罩
50...圖案轉印裝置
圖1(a)-(d)係顯示反射型光罩基底之一實施形態之構成及使用該光罩基底製造反射型光罩之步驟之剖面圖;及
圖2係顯示搭載有反射型光罩之圖案轉印裝置之概要構成之圖。
1...基板
2...多層反射膜
3...緩衝膜
4...吸收體膜
4a...下層
4b...最上層
5...抗蝕膜
10...反射型光罩基底
20...反射型光罩
31...圖案
41a...下層圖案
41b...最上層圖案
51...抗蝕圖案

Claims (10)

  1. 一種反射型光罩基底,其特徵在於:其係用於以EUV光作為曝光用光之EUV微影者,且具有:基板、形成於該基板上之反射曝光用光之多層反射膜、及形成於該多層反射膜上之吸收曝光用光之吸收體膜,其中前述吸收體膜係包含最上層及其以外之下層之積層構造,前述最上層係以包含鉭(Ta)之氧化物、氮化物、氮氧化物或碳化物中任一者之材料所形成,折射率n在0.95~0.97之範圍,且消光係數k在-0.033~-0.023之範圍;前述下層係以包含鉭(Ta)之材料所形成,折射率n在0.94~0.97之範圍,且消光係數k在-0.050~-0.036之範圍。
  2. 如請求項1之反射型光罩基底,其中前述吸收體膜之下層進而含有硼(B)與氮(N)中至少一種以上之元素。
  3. 如請求項1之反射型光罩基底,其中於前述多層反射膜與前述吸收體膜間具有緩衝膜,其係以包含鉻(Cr)之氮化物之材料所形成,折射率n在0.90~0.95之範圍,消光係數k在-0.043~-0.030之範圍。
  4. 如請求項1之反射型光罩基底,其中於前述多層反射膜與前述吸收體膜間、或前述多層反射膜與前述緩衝膜間,係具有包含釕(Ru)或釕化合物之保護膜。
  5. 一種反射型光罩之製造方法,其特徵在於:於如請求項1至4中任一項之反射型光罩基底之前述吸收體膜上,形 成成為相對於被轉印體之轉印圖案的吸收體膜圖案。
  6. 一種反射型光罩基底之製造方法,其特徵在於:該反射型光罩基底係用於以EUV光作為曝光用光之EUV微影者,且具有:基板、形成於該基板上之反射曝光用光之多層反射膜、及形成於該多層反射膜上之吸收曝光用光之吸收體膜,其中前述吸收體膜係成為包含最上層及其以外之下層之積層構造,且該製造方法包含下列步驟:使用利用含鉭(Ta)之靶材之濺射法,調整投入靶材之功率或投入氣體壓力,以將前述下層成膜,該下層之折射率n在0.94~0.97之範圍,消光係數k在-0.050~-0.036之範圍,且含有包含鉭之材料;使用利用含鉭(Ta)之靶材之濺射法,調整投入靶材之功率或投入氣體壓力,以將前述最上層成膜,該最上層之折射率n在0.95~0.97之範圍,消光係數k在-0.033~-0.023之範圍,且含有包含鉭(Ta)之氧化物、氮化物、氮氧化物或碳化物中任一者之材料。
  7. 如請求項6之反射型光罩基底之製造方法,其中前述吸收體膜之下層進而含有包含硼(B)之材料,且將前述下層成膜之步驟,係使用含鉭(Ta)及硼(B)之靶材。
  8. 如請求項6之反射型光罩基底之製造方法,其具備於前述多層反射膜與前述吸收體膜間,將緩衝膜成膜之步驟,該緩衝膜之折射率n在0.90~0.95之範圍,消光係數k 在-0.043~-0.030之範圍,且含有包含鉻(Cr)之氮化物之材料。
  9. 如請求項6之反射型光罩基底之製造方法,其具備於前述多層反射膜與前述吸收體膜間、或前述多層反射膜與前述緩衝膜間,將包含釕(Ru)或釕化合物之保護膜成膜之步驟。
  10. 一種反射型光罩之製造方法,其特徵在於:其係準備藉由如請求項6至9中任一項之反射型光罩基底之製造方法所製造之反射型光罩基底,且於前述吸收體膜上,形成成為相對於被轉印體之轉印圖案的吸收體膜圖案。
TW099103374A 2009-02-04 2010-02-04 反射型光罩基底及反射型光罩之製造方法 TWI436159B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009023957 2009-02-04
JP2009115307A JP5638769B2 (ja) 2009-02-04 2009-05-12 反射型マスクブランクの製造方法及び反射型マスクの製造方法

Publications (2)

Publication Number Publication Date
TW201102753A TW201102753A (en) 2011-01-16
TWI436159B true TWI436159B (zh) 2014-05-01

Family

ID=42542029

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099103374A TWI436159B (zh) 2009-02-04 2010-02-04 反射型光罩基底及反射型光罩之製造方法

Country Status (5)

Country Link
US (1) US8389184B2 (zh)
JP (1) JP5638769B2 (zh)
KR (1) KR101676052B1 (zh)
TW (1) TWI436159B (zh)
WO (1) WO2010090132A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012105508A1 (ja) 2011-02-01 2012-08-09 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク
US20150079502A1 (en) 2012-03-14 2015-03-19 Hoya Corporation Mask blank and method of manufacturing a transfer mask
WO2013136882A1 (ja) * 2012-03-14 2013-09-19 Hoya株式会社 マスクブランク、及び転写用マスクの製造方法
KR101477469B1 (ko) 2012-03-30 2014-12-29 호야 가부시키가이샤 마스크 블랭크용 기판, 다층 반사막 부착 기판, 투과형 마스크 블랭크, 반사형 마스크 블랭크, 투과형 마스크, 반사형 마스크 및 반도체 장치의 제조 방법
US9046781B2 (en) 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
JP6408790B2 (ja) * 2013-05-31 2018-10-17 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP6340800B2 (ja) * 2014-01-24 2018-06-13 凸版印刷株式会社 Euv露光用マスク及びその製造方法
US9357625B2 (en) * 2014-07-07 2016-05-31 Asml Netherlands B.V. Extreme ultraviolet light source
US9709884B2 (en) * 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
JP7063075B2 (ja) * 2017-04-17 2022-05-09 Agc株式会社 Euv露光用反射型マスクブランク、および反射型マスク
US11150550B2 (en) 2017-08-10 2021-10-19 AGC Inc. Reflective mask blank and reflective mask
US10890842B2 (en) 2017-09-21 2021-01-12 AGC Inc. Reflective mask blank, reflective mask, and process for producing reflective mask blank
JP7250511B2 (ja) 2018-12-27 2023-04-03 Hoya株式会社 反射型マスクブランク、反射型マスク、及び半導体装置の製造方法
JP7346088B2 (ja) 2019-05-31 2023-09-19 株式会社トッパンフォトマスク 反射型フォトマスクブランクス及び反射型フォトマスク
KR102285099B1 (ko) 2020-01-08 2021-08-04 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213303A (ja) 1995-02-03 1996-08-20 Nikon Corp 反射型x線マスク及びその製造法
EP2317383A3 (en) 2002-04-11 2011-12-28 HOYA Corporation Reflective mask blank, reflective mask and methods of producing the mask blank and the mask
JP3806702B2 (ja) * 2002-04-11 2006-08-09 Hoya株式会社 反射型マスクブランクス及び反射型マスク及びそれらの製造方法並びに半導体の製造方法
JP4946296B2 (ja) * 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
TWI444757B (zh) * 2006-04-21 2014-07-11 Asahi Glass Co Ltd 用於極紫外光(euv)微影術之反射性空白光罩
JP5018212B2 (ja) * 2007-04-26 2012-09-05 凸版印刷株式会社 反射型フォトマスクブランク及び反射型フォトマスク並びに半導体装置の製造方法

Also Published As

Publication number Publication date
TW201102753A (en) 2011-01-16
US8389184B2 (en) 2013-03-05
US20110281207A1 (en) 2011-11-17
KR20120057551A (ko) 2012-06-05
KR101676052B1 (ko) 2016-11-14
JP5638769B2 (ja) 2014-12-10
JP2010206156A (ja) 2010-09-16
WO2010090132A1 (ja) 2010-08-12

Similar Documents

Publication Publication Date Title
TWI436159B (zh) 反射型光罩基底及反射型光罩之製造方法
US8709685B2 (en) Reflective mask blank and method of manufacturing a reflective mask
JP5974321B2 (ja) 反射型マスクブランク及び反射型マスクの製造方法
JP4163038B2 (ja) 反射型マスクブランク及び反射型マスク並びに半導体の製造方法
JP4926521B2 (ja) 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP4553239B2 (ja) 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
JP2010092947A (ja) 反射型マスクブランク及びその製造方法、並びに反射型マスクの製造方法
JP2010109336A (ja) 反射型マスクの製造方法
JP2012159855A (ja) マスクブランクの製造方法及びマスクの製造方法
JP4320050B2 (ja) 反射型マスクブランクス及びその製造方法、反射型マスク
JP2004342734A (ja) 反射型マスクブランクス及び反射型マスク
JP2004281967A (ja) 反射型マスクブランクス及び反射型マスク
JP4541654B2 (ja) 反射型マスクの製造方法