TWI412900B - 用於雙重圖案成形之電場導向曝光後烘烤 - Google Patents

用於雙重圖案成形之電場導向曝光後烘烤 Download PDF

Info

Publication number
TWI412900B
TWI412900B TW099109964A TW99109964A TWI412900B TW I412900 B TWI412900 B TW I412900B TW 099109964 A TW099109964 A TW 099109964A TW 99109964 A TW99109964 A TW 99109964A TW I412900 B TWI412900 B TW I412900B
Authority
TW
Taiwan
Prior art keywords
fel
layer
patterned
pattern
active species
Prior art date
Application number
TW099109964A
Other languages
English (en)
Other versions
TW201109846A (en
Inventor
Steven Scheer
Mark Somervell
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201109846A publication Critical patent/TW201109846A/zh
Application granted granted Critical
Publication of TWI412900B publication Critical patent/TWI412900B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Description

用於雙重圖案成形之電場導向曝光後烘烤
本發明係關於基板處理,特別是關於用以在雙重圖案(D-P)處理順序中產生和利用電場增強層(E-FELs)以及相關聯之模擬與量測模型之設備及方法。
關鍵尺寸(CD)控制是次微米深紫外光(DUV)光微影技術的關鍵要件。目前,化學增幅型光阻(CARs)是最普遍的解決方法。這類的光阻是由在使用光阻之前塗佈和交聯之有機高分子所構成。酸性成分可用以使側向擴散的衝擊減至最小。基本上,一旦塗佈光阻後,將光阻曝光於掃描器中,接著使用曝光後烘烤(PEB)來給予光阻具選擇性的溶解度,然後光阻溶解於鹼性水溶液中。圖案化光阻中的CDs可由於側向酸擴散而不同。半導體技術的持續微縮已使得對酸擴散之有效控制的需求增加。
本發明可提供用以在雙重圖案(D-P)處理順序中產生和利用電場增強層(E-FELs)以及相關聯之模擬與量測模型之設備和方法。
本發明之其它態樣由隨後之說明和隨附之圖式當可更加明白。
先前已有許多人試圖利用電場來控制化學增幅型光阻之酸擴散,但是本發明之發明人並未知悉有任何應用於半導體工業上之成功案例。本案發明人已建立電場增強層(Electric-Field Enhancement Layers,E-FELs),相信可成功應用於雙重圖案(Double-Patterning,D-P)處理順序中。在某些實施例中,E-FEL可包含一個以上的抗反射塗層(ARC)、一個以上的光活化層、和一個以上的非光活化增強層。在不同的實施例中,可使用一個以上的DC(直流)信號、一個以上的AC(交流)信號、一個以上的RF(射頻)信號、一個以上的微波信號、一個以上的熱輻射源、一個以上的紫外光(UV)源、或一個以上的紅外光(IR)源、或其任意組合來控制增強層。在其它實施例中,E-FEL可包含一個以上的絕緣層和/或一個以上的光控制層。在又其它的實施例中,E-FEL可包含一個以上的ARC層、一個以上的活化物種層、和一個以上的非光活化層。在另外的實施例中,E-FEL可包含一個以上的ARC層、一個以上的延遲活化層、和一個以上的非延遲活化層。
在一些實施例中,E-FELs可配置有一個以上的光活化層,其可使用浸潤式掃描器來曝光。於曝光期間,可產生包含活化區和未活化區之圖案的潛像於超薄活性物種層中,且活化區可包含一個以上的活化物種和/或一個以上的帶電物種。活性物種層於浸潤式掃描器子系統中曝光後,可使用一個以上的電場增強顯影程序(其係使用電場增強顯影(E-FED)子系統加以執行)而將活性物種層中之曝光圖案轉印至E-FEL。E-FELs已被設計為可排除側壁和浮渣的問題,且可將在雙重圖案順序期間所需執行之蝕刻步驟的數目減至最少。
圖1為依照本發明之實施例,展示處理系統之一例示的方塊圖。利用電場增強層(E-FELs),處理系統100可用以產生半導體裝置。在圖示之實施例中,處理系統100包含微影子系統110、掃描器子系統120、蝕刻子系統130、沉積子系統140、電場增強顯影(E-FED)子系統150、評估子系統160、傳輸子系統170、製造執行系統(MES)180、系統控制器190、和記憶體/資料庫195。在圖示之實施例中展示了單一子系統(110、120、130、140、150、160和170),但本發明並未限定於此。在一些實施例中,處理系統100中可使用多重子系統(110、120、130、140、150、160和170)。此外,一個以上的子系統(110、120、130、140、150、160和170)可包含可用於D-P處理順序中之一個以上的處理元件。
可使用資料傳輸子系統191將系統控制器190與微影子系統110、掃描器子系統120、蝕刻子系統130、沉積子系統140、E-FED子系統150、評估子系統160和傳輸子系統170連接。且可使用資料傳輸子系統181將系統控制器190與MES 180連接。當產生和/或使用E-FELs時,可利用系統控制器190。或者,可利用其它系統配置。例如,一個以上之子系統(110、120、130、140、150、160和170)可購自東京威力科創股份有限公司。
微影子系統110可包含一個以上的傳輸/儲存元件112、一個以上的E-FEL相關處理元件113、一個以上的控制器114、和一個以上的E-FEL相關評估元件115。一個以上的傳輸/儲存元件112可與一個以上的E-FEL相關處理元件113和/或一個以上的E-FEL相關評估元件115連接,以及與傳輸子系統170在111處連接。傳輸子系統170可與微影子系統110在111處連接,並且在E-FEL相關處理順序期間,一個以上的基板105可即時在傳輸子系統170和微影子系統110間的111處被傳輸。例如,E-FEL相關處理元件113可執行E-FEL相關程序,且E-FEL相關評估元件115可提供E-FEL相關之量測資料和/或檢視資料。可使用一個以上的控制器114來與一個以上的傳輸/儲存元件112、一個以上的E-FEL相關處理元件113和/或一個以上的E-FEL相關評估元件115交換E-FEL相關資料。
在一些實施例中,於E-FEL相關處理順序期間,微影子系統110可在一個以上的基板上執行塗佈程序、熱程序、量測程序、檢視程序、對準程序和/或儲存程序。例如,可使用微影子系統110來沉積一個以上的E-FEL層、將活性物種或帶電物種由一個E-FEL層轉印到另一個E-FEL層,並且熱處理(烘烤)一個以上的遮罩層(masking layers)。此外,可使用微影子系統110在一個以上的基板上來顯影、量測、和/或檢視一個以上的E-FEL層。例如,E-FEL層可包含圖案化和/或未圖案化之材料層。
掃描器子系統120可包含一個以上的傳輸/儲存元件122、一個以上的處理元件123、一個以上的控制器124、和一個以上的評估元件125。一個以上的傳輸/儲存元件122可與一個以上的處理元件123和/或一個以上的評估元件125連接,並且可與傳輸子系統170在121處連接。傳輸子系統170可與掃描器子系統120在121處連接,且一個以上的基板105可即時在傳輸子系統170和掃描器子系統120間的121處被傳輸。例如,傳輸子系統170可與一個以上的傳輸/儲存元件122、一個以上的處理元件123、和/或一個以上的評估元件125連接。一個以上的控制器124可與一個以上的傳輸/儲存元件122、一個以上的處理元件123、和/或一個以上的評估元件125連接。
在一些實施例中,可使用掃描器子系統120來執行濕式和/或乾式曝光程序,在其它實施例中,可使用掃描器子系統120來執行極紫外光(EUV)曝光程序。
蝕刻子系統130可包含一個以上的傳輸/儲存元件132、一個以上的處理元件133、一個以上的控制器134、和一個以上的評估元件135。一個以上的傳輸/儲存元件132可與一個以上的處理元件133和/或一個以上的評估元件135連接,且可與傳輸子系統170在131處連接。傳輸子系統170可與蝕刻子系統130在131處連接,並且一個以上的基板105可即時在傳輸子系統170和蝕刻子系統130間的131處被傳輸。例如,傳輸子系統170可與一個以上的傳輸/儲存元件132、一個以上的處理元件133、和/或一個以上的評估元件135連接。一個以上的控制器134可與一個以上的傳輸/儲存元件132、一個以上的處理元件133、和/或一個以上的評估元件135連接。例如,可使用一個以上的處理元件133來執行電漿或非電漿蝕刻、灰化、和清潔程序、或電漿或非電漿蝕刻程序。可使用評估元件135來量測和/或檢視基板之一個以上的表面和/或材料層。
沉積子系統140可包含一個以上的傳輸/儲存元件142、一個以上的處理元件143、一個以上的控制器144、和一個以上的評估元件145。一個以上的傳輸/儲存元件142可與一個以上的處理元件143和/或一個以上的評估元件145連接,且可與傳輸子系統170在141處連接。傳輸子系統170可與沉積子系統140在141處連接,並且一個以上的基板105可即時在傳輸子系統170和沉積子系統140間的141處被傳輸。例如,傳輸子系統170可與一個以上的傳輸/儲存元件142、一個以上的處理元件143、和/或一個以上的評估元件145連接。一個以上的控制器144可與一個以上的傳輸/儲存元件142、一個以上的處理元件143、和/或一個以上的評估元件145連接。在一些實施例中,可使用一個以上的處理元件143來沉積用於E-FEL中之一個以上的材料。在不同的實施例中,可使用物理氣相沉積(PVD)程序、化學氣相沉積(CVD)程序、離子化物理氣相沉積(iPVD)程序、原子層沉積(ALD)程序、電漿增強原子層沉積(PEALD)程序、和/或電漿增強化學氣相沉積(PECVD)程序來沉積E-FEL層。此外,可使用評估元件145在一個以上的基板上來量測和/或檢視所沉積之E-FEL層之一個以上的表面。
電場增強顯影(E-FED)子系統150可包含一個以上的傳輸/儲存元件152、一個以上的E-FED元件153、一個以上的控制器154、和一個以上的評估元件155。或者,可配置不同的E-FED子系統150。可使用一個以上的E-FED元件153來增強在E-FEL中之一個以上的材料。一個以上的傳輸/儲存元件152可與一個以上的E-FED元件153和/或一個以上的評估元件155連接,且可與傳輸子系統170在151處連接。傳輸子系統170可與E-FED子系統150在151處連接,並且一個以上的基板105可即時在傳輸子系統170和E-FED子系統150間的151處被傳輸。例如,傳輸子系統170可與一個以上的傳輸/儲存元件152、一個以上的E-FED元件153、和/或一個以上的評估元件155連接。一個以上的控制器154可與一個以上的傳輸/儲存元件152、一個以上的EFED元件153、和/或一個以上的評估元件155連接。
評估子系統160可包含一個以上的傳輸/儲存元件162、一個以上的量測元件163、一個以上的控制器164、和一個以上的檢視元件165。或者,可配置不同的評估子系統160。一個以上的傳輸/儲存元件162可與一個以上的量測元件163和/或一個以上的檢視元件165連接,且可與傳輸子系統170在161處連接。傳輸子系 統170可與評估子系統160在161處連接,並且一個以上的基板105可即時在傳輸子系統170和評估子系統160間的161處被傳輸。例如,傳輸子系統170可與一個以上的傳輸/儲存元件162、一個以上的量測元件163、和/或一個以上的檢視元件165連接。一個以上的控制器164可與一個以上的傳輸/儲存元件162、一個以上的量測元件163、和/或一個以上的檢視元件165連接。評估子系統160可包含用以執行即時光學量測程序之一個以上的量測元件163,此量測程序可利用以資料庫或以回歸為基礎之技術而用以量測位於基板上之一個以上部位的目標結構。例如,基板上的部位可包含D-P相關部位、目標部位、重疊部位、對準部位、量測部位、驗證部位、檢視部位、或損害評估部位、或前述之任何組合。例如,可儲存和定期地使用一個以上的「黃金基板」或參考晶片來核對一個以上的量測元件163和/或一個以上的檢視元件165的工作表現。
在一些實施例中,量測元件163可被配置為整合式光學數位輪廓測定儀(integrated Optical Digital Profilometry,iODP),而iODP元件/系統可購自Timbre Technologies Inc.(TEL公司)。或者,可使用其它量測系統。例如,當產生和/或使用E-FELs時,可使用iODP技術來獲得即時資料,此資料可包含對在E-FEL中之一個以上的材料層之特徵部的關鍵尺寸(CD)資料,以及對在E-FEL中之一個以上的材料層的厚度資料,並且對iODP資料之波長範圍可為由大於100 nm左右至小於900左右。例示之iODP元件可包含ODP輪廓儀資料庫元件、輪廓儀應用伺服器(PAS)元件、和ODP輪廓儀軟體元件。ODP輪廓儀資料庫元件可包含光譜和與其相對應之半導體輪廓、CDs、和薄膜厚度之應用特定的資料庫元件。PAS元件可包含至少一個與光學硬體和電腦網路相連結的電腦。可配置PAS元件以提供資料通訊、ODP資料庫操作、量測程序、結果產生、結果分析、和結果輸出。ODP輪廓儀軟體元件可包含安裝在PAS元件上以控制量測配方之軟體、ODP輪廓儀資料庫元件、ODP輪廓儀資料、ODP輪廓儀搜尋/匹配結果、ODP輪廓儀計算/分析結果、資料通訊、以及對於不同的量測元件和電腦網路的PAS界面。
量測元件163可使用偏極化反射法、光譜橢圓偏光法、反射法、或其它光學量測技術來量測精確的裝置輪廓、精確的CDs、和基板之多層膜厚度。整合式量測處理(iODP)可被執行為在子系統之整合式群組中的整合式處理。此外,整合式處理不需要為了進行分析而破壞基板或為了來自外部系統的資料而長期等待。IODP技術可與用於線上輪廓和CD量測之現存薄膜量測系統一起使用,並且可與TEL處理系統和/或微影系統整合,以提供對即時處理之監控和控制。可藉由應用馬克士威方程式(Maxwell's equation)和使用可解馬克士威方程式之數值分析技術來產生模擬量測資料。
傳輸子系統170可包含與傳輸軌道(175、176和177)連接之傳輸元件174,其可用以接收基板、傳輸基板、對準基板、儲存基板和/或延遲基板。例如,傳輸元件174可支托兩個以上的基板。或者,可使用其它傳輸裝置。傳輸子系統170可基於E-FEL相關處理順序、傳輸順序、操作狀態、基板和/或處理狀態、處理時間、當前時間、基板資料、基板上的部位數目、基板上的部位種類、所需的部位數目、完成的部位數目、剩餘的部位數目、或信賴資料、或前述任何組合,來裝載、傳輸、儲存和/或卸除基板。
在一些實施例中,傳輸子系統170可使用載入的資料來確定於何處或何時傳輸基板。在其它實施例中,傳輸系統可使用反應室狀態資料來確定於何處或何時傳輸基板。或者,可使用其它程序。例如,當第一組基板少於或等於第一組可用處理元件時,可使用傳輸子系統170將第一組基板傳輸至在一個以上的子系統中之第一組可用處理元件。當第一組基板多於第一組可用處理元件時,可使用一個以上的傳輸/儲存元件(112、122、132、142、152和162)和/或傳輸子系統170來儲存和/或延遲部分的基板。
此外,當執行E-FEL相關處理順序時,可使用一個以上的子系統(110、120、130、140、150、160和170),E-FEL相關處理順序可包含微影相關程序、掃描器相關程序、檢視相關程序、量測相關程序、評估相關程序、蝕刻相關程序、沉積相關程序、熱處理程序、塗佈相關程序、對準相關程序、拋光相關程序、儲存相關程序、傳輸程序、清潔相關程序、再處理相關程序、氧化相關程序、氮化相關程序、或外部處理元件、或前述任何組合。
操作狀態資料可被建立給子系統(110、120、130、140、150、160和170),並且可被E-FEL處理順序所使用和/或更新。此外,操作狀態資料可被建立給傳輸/儲存元件(112、122、132、142、152和162)、處理元件(113、123、133、143、153和163)、和評估元件(115、125、135、145、155和165),並且可被E-FEL處理順序所更新。例如,對於處理元件之操作狀態資料可包含可利用性資料、對處理元件之匹配資料、對一些處理步驟和/或部位的預期處理時間、產率資料、對處理元件之信賴資料和/或風險資料、或對一個以上的E-FEL相關程序之信賴資料和/或風險資料。可藉由即時詢問一個以上的處理元件和/或一個以上的子系統來獲得更新的操作狀態。可藉由即時詢問一個以上的傳輸元件和/或一個以上的傳輸子系統來獲得更新的裝載資料。
一個以上的控制器(114、124、134、144、154和164)可使用資料傳輸子系統191與系統控制器190和/或彼此互相連接。或者,可使用其它連接配置。控制器可以串聯和/或並聯方式連接,並可具有一個以上的輸入埠和/或一個以上的輸出埠。例如,控制器可包含具有一個以上核心處理元件之微處理器。
此外,子系統(110、120、130、140、150、160和170)可使用企業內網路、網際網路、有線、和/或無線連接來與彼此以及其他裝置相連接。若有需要,控制器(114、124、134、144和190)可與外部裝置連接。
當執行即時E-FEL處理順序時,可使用一個以上的控制器(114、124、134、144、154、164和190)。在E-FEL處理順序期間,控制器可接收即時資料,而可用以更新子系統、處理元件、程序、配方、輪廓、成像、圖案、模擬、順序資料、和/或模型資料。可使用一個以上的控制器(114、124、134、144、154、164和190)與製造執行系統(MES)180或其它系統(圖中未示)交換一個以上的半導體設備通訊標準(SECS)訊息,而以SECS訊息的方式來讀取和/或移除資料、前饋和/或反饋資料、以及/或傳送資料。可在控制器之間交換一個以上的格式化訊息,且控制器可即時處理訊息並擷取新資料。當有了新資料時,可即時使用新資料來更新E-FEL模型和/或目前使用於基板和/或批次之E-FEL配方。
在一些實施例中,可配置MES 180來即時偵測一些子系統和/或系統程序,且可使用工廠等級之介入和/或判斷原則來確定該偵測哪個E-FEL相關程序和可使用哪個E-FEL相關資料。例如,當E-FEL處理順序期間產生了錯誤情況,可使用工廠等級之介入和/或判斷原則來確定如何處理資料。MES 180亦可提供模型化資料、處理順序資料、和/或基板資料。
此外,若有需要,控制器(114、124、134、144、154、164和190)可包含記憶體(圖中未示)。例如,可使用記憶體(圖中未示)來儲存資料和欲經控制器執行之指令,並可藉由處理系統100中之不同的電腦/處理器於指令執行期間來使用記憶體以儲存暫時性變量或其它中間資料。一個以上的控制器(114、124、134、144、154、164和190)、或其它系統組件可包含用以讀取資料和/或來自電腦可讀媒體之指令的工具,並且可包含用以寫入資料和/或到達電腦可讀媒體之指令的工具。
為回應處理系統(其可執行包含在記憶體內和/或接收在信息中之一個以上指令之一個以上順序)中的電腦/處理器,處理系統100可執行本發明之一部分或全部的處理步驟。可從其它電腦、電腦可讀媒體、或網路連接來接收此等指令。
在一些實施例中,可使用來自東京威力科創股份有限公司(TEL)的系統組件來配置整合式系統,亦可包含外來的子系統和/或工具。例如,可提供量測元件,其可包含CD-掃描式電子顯微鏡(CDSEM)系統、穿透式電子顯微鏡(TEM)系統、聚焦式離子束(FIB)系統、光學數位輪廓測定儀(ODP)系統、原子力顯微鏡(AFM)系統、或其它光學量測系統。子系統和/或處理元件可具有不同的界面規範,而可配置控制器以滿足這些不同的界面規範。
一個以上的子系統(110、120、130、140、150、160和170)可執行控制應用、圖形使用者界面(GUI)應用、和/或資料庫應用。此外,一個以上的子系統(110、120、130、140、150、160和170)和/或控制器(114、124、134、144、154、164和190)可包含實驗設計(DOE)應用、先進製程控制(APC)應用、缺陷偵測與分類(FDC)應用、和/或批次間控制(R2R)應用。
在隨後的程序中,可使用來自E-FEL處理順序的輸出資料和/或訊息以最佳化製程準確度與精確度。在E-FEL處理順序期間,可即時傳遞資料作為即時可變參數、覆寫現有模型的值、和歸納DOE之表格。可使用即時資料來最佳化E-FEL處理順序。
當使用與E-FEL處理順序相聯合之基於回歸的資料庫建立程序,量測的輪廓和/或信號資料可與模擬的輪廓和/或信號資料相比較。基於用於E-FEL處理順序之處理相關參數集,可反覆地產生模擬資料。當使用基於資料庫之處理時,可利用E-FEL處理順序、配方、輪廓和/或模型來產生和/或增強量測資料庫。例如,量測資料庫可包含模擬的和/或量測的量測資料以及相對應的E-FEL處理順序資料。以回歸和/或以資料庫為基礎的處理可被即時執行。於E-FEL處理順序期間用以產生資料庫資料之可替換的程序可包含使用機器學習系統(MLS)。例如,在產生資料庫資料之前,可利用已知的輸入和輸出資料來訓練MLS,且可用與E-FEL處理順序相關之資料庫資料子集來訓練MLS。
在E-FEL處理順序期間,每當遭遇相匹配之內容時可執行介入和/或判斷原則。介入和/或判斷原則和/或極限可基於歷史程序、顧客的經驗、或製程知識來建立,或者由主端電腦取得。在缺陷偵測與分類(FDC)程序中可使用原則來確定如何回應警報狀況、錯誤狀況、缺陷狀況、和/或警告狀況。根據原則的FDC程序可按優先順序排列和/或分類缺陷、預測系統工作表現、預測預防性維持計畫、減低維持停工期、和延長系統中耗材的使用壽命。為回應警報/缺陷,可採取不同的行動,而對警報/缺陷所採取之行動可以內容為基礎,且此內容資料可由原則、系統/處理配方、反應室類型、識別號碼、載入口號碼、卡匣號碼、批次號碼、控制工作ID、處理工作ID、插槽號碼、和/或資料類型加以註明。
當超過極限條件時,未成功的程序或處理順序可呈報失敗,而當接近極限條件時,成功的程序可產生警告訊息。對程序錯誤所事先註明之失敗行動可被儲存在資料庫中,當產生錯誤時,其可從資料庫中被擷取出來。例如,當程序失敗時,D-P處理順序可駁回在基板之一個以上的部位的資料。
當發展活化材料時,可合成單體,並加入酸不穩定基(acid-labile group)。此外,可使用酸不穩定基來提供鹼溶解度、抗蝕刻性、和/或溶解度轉換特性。可執行聚合反應處理來控制分子量、產生好的黏附特性、產生好的結構特性、提供好的一致性、並且提供溶解度轉換特性。
本文中所使用之名詞「樹脂」和「高分子」為可交換使用。名詞「烷基」意指直鏈、支鏈和環烷基。名詞「鹵素」和「鹵」(halo)包含氟、氯、溴和碘。高分子可意指為同質高分子和共聚高分子兩者,並可包含二聚物、三聚物、寡聚合物及其類似物等。單體可意指為任何能夠被聚合之乙烯性(ethylenically)或乙炔性(acetylenically)不飽和化合物。
在一些製造步驟期間,可使用開環聚合反應。例如,可打開環氧結構(epoxide ring),且可鍵結用於E-FEL中之一個以上的層的材料在被打開的環中。一些活化和/或增強材料可包含芳香族或雜環族化合物,其可鍵結至起始高分子以作為保護基、阻隔基或離去基。
在一些實施例中,用於E-FEL之材料可包含化學活化型和/或化學增幅型之材料。當使用化學增幅型材料於E-FEL中時,於活化處理期間可產生酸,而此酸可啟動用以產生去阻隔基或去保護基之催化反應。此外,於後續E-FED程序期間,可控制去保護處理。在E-FED程序期間,可藉由產生催化和未催化區域之電場,來導引酸通過E-FEL中之一個以上的的材料層,並且酸的擴散亦可產生已增強溶解度特性之曝光區域。例如,用於193 nm之曝光波長的化學增幅型材料,其擴散長度可至少為20 nm。
藉由增加用以導致E-FEL材料中溶解度改變的化學反應的數目,化學增幅作用可更有效地且更一致地活化和分佈去保護材料於E-FEL中。在未曝光狀態中,可使用酸不穩定保護基來抑制E-FEL材料的溶解速率。例如,可藉由以不可溶基來取代鹼性可溶羥基而達成。經光源曝光後,可在E-FEL材料中產生酸;產生的酸可與酸不穩定保護基反應,其中此保護基可為酯類或無水物;且可形成具有或沒有新保護基之反應性羥基。保護基可用來保護官能基使其免於不想要的反應。此外,保護基可被移除以顯露原本的官能基。
在其它實施例中,可藉由在高分子樹脂中以酸不穩定保護基取代一個以上的羥基來建立化學增幅作用。化學增幅型可轉換材料可包含:高分子樹脂、光酸產生劑(PAG),用以對具有一個以上波長的光源提供感光度、溶解抑制劑,用以在曝光前後提供溶解度的轉換、以及一個以上的成分,用以在經具有一個以上波長之光源曝光後修飾可轉換材料之顯影特性。溶解抑制劑可與轉換成份一起使用,並可為酸不穩定基保護之單體的寡聚合物。
在不同的實施例中,高分子可包含單體、共聚高分子、四元共聚物(tetrapolymer)、五元共聚物(pentapolymer)、或其組合物。此外,阻隔基、離去基、保護基、或切去基(cleaved group)可為染料、發色團、敏化劑、增進劑、色罩(color mask)、或色素、或其組合物。切去基(cleaved group)可在適當的條件下從高分子上被切除。此外,去阻隔基、剩餘基、去保護基、或活化基可為染料、發色團、敏化劑、增進劑、色罩或色素、或其組合物。例如,離去基可在取代反應或消去反應中被替換。
可使用敏化劑化合物來增進酸產生劑的功效,建立、改變和/或增進在E-FEL中之一個以上的層之材料的溶解特性。
發色團為由原子或原子團組成之分子實體之一部分,而負責特定光譜波段(spectral band)之電子躍遷(electronic transition)則大致在此部分發生。此外,發色團可為分子或原子團,用以藉由選擇性地吸收或反射特定波長的光來建立溶解度和/或光學特性。在不同的實施例中,發色團基可包含單環和/或多環芳香族基,且發色團可為連結至樹脂之懸掛基(pendant group),並且聚酯樹脂可包含萘基,而聚丙烯酸酯樹脂包含蒽基(anthracene group)或其它發色團(如苯基)。
在一些實施例中,用於E-FEL之一個以上的層的材料可包含能夠達到高解析度之微影性能的含矽合成物,特別是用於利用193 nm或更短波長之成像輻射之單層或多層的微影應用。電場增強(EFE)材料可包含酸敏感性成像高分子、非聚合型矽添加劑、輻射敏感性酸產生劑、和用以增強E-FEL之顯影特性的添加劑。例如,可使用添加劑將E-FEL材料由非可顯影(non-developable)狀態轉換成可顯影(developable)狀態,而添加劑可為輻射敏感性、酸敏感性、鹼敏感性、溶劑敏感性、或溫度敏感性、或前述之組合。
在其它實施例中,用於E-FEL層之高分子可含有少量矽或不含矽,且可使用一個以上的非聚合型矽添加劑來提供E-FEL層光學特性。例如,高分子可包含例如環烯烴、丙烯酸、或甲基丙烯酸等單體。
圖2為根據本發明之實施例,展示使用電場增強層(E-FELs)之雙重圖案(D-P)處理順序的簡化流程圖。
在步驟205中,處理系統(圖1中的100)接收複數個基板310。例如,基板可為圖案化和/或未圖案化之基板。當處理系統(圖1中的100)接收基板時,可接收到與基板和/或批次相關之資料。例如,MES 180系統可下載配方和/或處理參數到子系統(110、120、130和140),而配方和/或處理參數可用來控制基板處理程序。此外,MES可決定基板順序。被下載的資料可包含系統配方、處理配方、量測配方、EFE相關資料和D-P相關資料。資料可包含D-P相關地圖,例如對於即將進入之基板和/或批次之歷史地圖、即時地圖、資料庫相關地圖、曝光地圖、聚焦地圖、劑量地圖、參考地圖、量測地圖、預測地圖和/或信賴地圖。資料可包含來自與處理系統、主端系統和/或其它處理系統相關之量測模組的量測資料。
基板310可包含一個以上之事先建立層(圖3A之311),其可包含半導體材料、低介電常數材料、超低介電常數材料、陶瓷材料、玻璃材料、金屬材料、光阻材料、填充材料、摻雜材料、未摻雜材料、受應力材料、含氧材料、含氮材料、含碳材料、抗反射塗佈(ARC)材料、或底部抗反射塗佈(BARC)材料或前述之任何組合。例如,半導體材料可包含可受應力和/或被摻雜之矽(Si)、鍺(Ge)、砷化鎵(GaAs)材料。
在步驟210中,可在一個以上事先建立層(圖3A之311)上沉積一個以上的目標層(圖3A之312)。或者,事先建立層(圖3A之311)已包含一個以上的目標層。目標層(圖3A之312)可包含半導體材料、低介電常數材料、超低介電常數材料、陶瓷材料、玻璃材料、金屬材料、光阻材料、填充材料、摻雜材料、未摻雜材料、受應力材料、含氧材料、含氮材料、含碳材料、抗反射塗佈(ARC)材料、或底部抗反射塗佈(BARC)材料或前述之任何組合。
在步驟215中,可在至少一個目標層(圖3A之312)上沉積第一底部抗反射層(圖3A之313)。第一抗反射層在接近曝光波長之第一組波長為實質上抗反射的,而在第二組波長則為實質上可反射的。在一些實施例中,第一底部抗反射層可包含導電高分子。在其它實施例中,可配置底部抗反射層為不含有導電高分子。此外,底部抗反射層(圖3A之313)可包含抗反射塗佈(ARC)材料、和/或底部抗反射塗佈(BARC)材料。
在步驟220中,可在底部抗反射層(圖3A之313)上沉積一個以上的電場增強層(E-FELs,圖3A之314)。在一些實施例中,E-FEL可包含光敏性材料、光活化材料、化學活化材料、或電活化材料、溫度活化材料、或前述之任何組合。在其它實施例中,E-FEL材料可包含抗反射塗佈(ARC)材料。
在步驟225中,可在第一未圖案化E-FEL(圖3A之314)上沉積第一活性物種層(圖3A之315)。在一些實施例中,第一活性物種層材料可包含光阻材料、光活化材料、化學活化材料、或電活化材料、溫度活化材料、或前述之任何組合。例如,第一活性物種層材料可包含在曝光程序期間能夠被光活化的酸性物種、鹼性物種或離子物種。在一些製造程序期間,可在第一活性物種層(圖3A之315)與第一未圖案化E-FEL(圖3A之314)之間建立絕緣層(圖中未示)。
在步驟230中,可在第一活性物種層(圖3A之315)上沉積第一頂部塗層(圖3A之316)。在一些實施例中,第一頂部塗層材料可包含導電高分子。在其它實施例中,可配置第一頂部塗層為不含有導電高分子。此外,第一頂部塗層在接近曝光波長之第一組波長可為實質上可穿透的,並且當使用浸潤式掃描器程序時,可被用作頂部塗層。或者,第一頂部塗層(圖3A之316)可包含頂部抗反射塗佈(TARC)材料。
在步驟235中,使用第一曝光程序在第一活性物種層中產生帶電物種之第一圖案。一個以上的基板可由微影子系統(圖1之110)被傳輸至掃描器子系統(圖1之120),且可使用一個以上的掃描器相關程序在基板上產生一個以上的第一曝光活性物種層(圖3B之325)。在第一曝光程序期間,可使用在第一遮罩/初縮遮罩(mask/reticle,圖3A之301)之第一圖案在第一曝光基板(圖3B之320)上產生複數個第一活化區(圖3B之329)於第一曝光活性物種層(圖3B之325)中。例如,第一遮罩/初縮遮罩(圖3A之301)可橫跨和/或掃描過整個基板,並可使用一個以上的輻射光源(圖3A之302)來執行多重曝光。在一些實施例中,第一活化區(圖3B之329)可包含可用來作為量測結構之一個以上的週期性圖案或其它評估特徵部(圖中未示)。在可替換之實施例中,第一活化區(圖3B之329)可包含能夠用於基板定位和/或晶粒定位之一個以上的目標圖案(圖中未示)。
在步驟240中,執行第一增強程序以轉印在第一活性物種層中之第一圖案至第一EFE層,用以產生單一圖案化EFE層。一個以上的基板可從掃描器子系統(圖1之120)被傳輸至E-FED子系統(圖1之150)或微影子系統(圖1之110),且可使用一個以上的第一電場增強程序,將第一增強圖案產生於電場增強基板(圖3C之330)上之一個以上的圖案化E-FELs(圖3C之334)中。例如,可執行第一圖案轉印程序,以於於圖案化E-FELs(圖3C之334)中產生第一可溶區(圖3C之338)之第一圖案。
在不同的實施例中,可檢查從一個以上的基板事先收集而來的量測資料、信賴資料、和/或風險資料,來判定是否該執行第一電場增強程序,以及判定在第一電場增強程序期間欲使用之基板的數目。例如,在處理整個批次前,可選擇一個以上的預送基板進行處理。或者,可使用其它的資料。
在一些實施例中,可藉由E-FED子系統(圖1之150)或微影子系統(圖1之110)來建立對於第一曝光活性物種層(圖3B之325)之活化地圖。在一些E-FED程序期間,可使用對第一曝光活性物種層(圖3B之325)之活性物種資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的活化地圖。在其它E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測活化地圖。例如,可從第一曝光基板(圖3B之320)之一個以上的表面獲得光學資料。可使用活化地圖來識別和/或預測微橋接(micro-bridging)問題和非均勻活化問題。可使用活化地圖來驗證活化區的大小以及在活化區中之活性物種的濃度。
第一活性物種層(圖3A之315)可包含非可增幅之活性物種,且未圖案化E-FEL(圖3A之314)可包含可增幅之帶電物種和高分子材料。例如,第一活性物種層(圖3A之315)可包含一個以上的酸性成分,且未圖案化E-FEL(圖3A之314)可包含一個以上的高分子成分。當曝光第一活性物種層(圖3A之315)時,酸性成分可停留在第一活化區(圖3B之329)內,直到提供第一電壓差(電場)橫越第一曝光活性物種層(圖3B之325)和未圖案化E-FEL(圖3B之314)為止。可藉由掃描器處理時間、轉印時間和E-FED處理時間來確定酸性成分的時間常數。
當使用非可增幅之活性物種時,第一活化區(圖3B之329)之大小可實質上維持不變,且非增幅型活性物種的濃度可實質上維持不變,直到施以第一電壓差(電場)為止。例如,當E-FEL包含可增幅之帶電物種時,第一電壓差(電場)可導致E-FEL材料中之增幅處理。
當施加電場於基板(圖3C之330)時,可橫越第一曝光活性物種層(圖3B之325)和未圖案化E-FEL(圖3B之314)建立第一電壓差,且可在未圖案化E-FEL(圖3B之314)頂部產生帶電物種。可使用第一電壓差(電場)讓帶電物種沿著第一方向移動而遍及E-FEL材料之未圖案化部份,藉此修飾E-FEL材料中之一個以上的高分子成分,並且在圖案化E-FEL(圖3C之334)中產生第一可溶區(圖3C之338)之第一圖案。例如,可使用第一電壓差(電場)來啟動和維持導向溶解度改變處理遍及E-FEL材料之未圖案化部份。第一電壓差可取決於用於第一曝光活性物種層(圖3B之325)和未圖案化E-FEL(圖3B之314)中的材料。例如,於不同的EFE程序期間之不同時間點,第一電壓差之範圍可為約0.0伏特到約5000伏特之間。此外,可溶區可包含於導向高分子改變(溶解度改變)處理期間產生之修飾和/或未修飾之高分子成分。
在一些實施例中,將活性物種成分從第一曝光活性物種層(圖3B之325)移動到未圖案化E-FEL(圖3B之314)中並且在未圖案化E-FEL(圖3B之314)中啟動高分子改變處理所需之電壓差(電場)的強度,可與導引高分子改變處理遍及未圖案化E-FEL(圖3B之314)所需之電壓差(電場)的強度實質上相異。例如,起始電壓差可大於維持電壓差。在其它實施例中,起始電壓差可與維持電壓差為實質上相同。可使用對第一活性物種層(圖3A之315)之材料資料、對未圖案化E-FEL(圖3B之314)之材料資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之電壓差(電場)的強度,並且可在E-FEL處理配方中提供所需之電壓差(電場)的強度。電壓差(電場)的強度可決定在未圖案化E-FEL(圖3B之314)中帶電物種的速度和方向,並可決定與在圖案化E-FEL(圖3C之334)中之第一可溶區(圖3C之338)的第一圖案相關的CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
在不同的實施例中,可在高分子改變處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在高分子改變處理之前、期間和/或之後升高基板溫度。
在一些實施例中,當對第一曝光活性物種層(圖3B之325)建立活化地圖時,可基於活化地圖對未圖案化E-FEL(圖3B之314)產生一個以上的電場(電壓差)地圖。或者,可模擬和使用交聯地圖、去阻隔地圖和/或去保護地圖。在一些E-FED程序期間,可使用對第一曝光活性物種層(圖3B之325)之材料資料、對未圖案化E-FEL(圖3B之314)之材料資料、活化地圖資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的電場(電壓差)地圖。在其它E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測電場(電壓差)地圖。例如,可量測電場強度資料和/或電壓差資料。
當活化地圖識別和/或預測可能的微橋接問題和/或非均勻活化問題時,可建立和/或修正電場(電壓差)地圖以改正這些問題,且電場(電壓差)地圖可包含在基板上不同位置之不同的電場強度和/或電壓差。當使用E-FED子系統(圖6之600)時,可使用與多重分段上電極(圖6之645)中之一個以上的區段(圖6之646)連接之一個以上的電源供應器(圖6之640),在基板上之不同位置來建立不同的電場強度和/或電壓差。例如,控制器(圖6之655)可使用活化地圖和/或電場地圖來控制一個以上的電源供應器(圖6之640)和電壓源(圖6之630),並且在不同的EFE程序期間之不同的時間點,於基板上之不同位置建立不同的電場強度和/或電壓差,用以改正和/或預防這些問題。
在其它實施例中,可使用第一帶電物種來啟動或導引第一去阻隔處理而遍及未圖案化E-FEL(圖3B之314),用以在圖案化E-FEL(圖3C之334)中產生第一可溶區(圖3C之338)之第一圖案,且第一可溶區(圖3C之338)可包含去阻隔和/或阻隔之高分子材料。可使用一個以上的電壓差(電場),以於去阻隔處理期間沿著第一方向增強和/或導引帶電物種移動而遍及未圖案化E-FEL(圖3B之314),並且儘量減少和/或防止去阻隔處理發生在與第一方向成實質上垂直之第二方向上。例如,電壓差(電場)之範圍可為由約0.1伏特至約5000伏特。在一些實施例中,可在去阻隔處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在去阻隔處理之前、期間和/或之後升高基板溫度。
在還有一些實施例中,可使用第一交聯劑(cross-linking agent)來啟動和導引第一交聯處理而遍及未圖案化E-FEL(圖3B之314),以在圖案化E-FEL(圖3C之334)中產生第一可溶區(圖3C之338)之第一圖案,且第一可溶區(圖3C之338)可包含交聯和/或未交聯之高分子材料。可使用一個以上的電壓差(電場),以於第一交聯處理期間沿著第一方向增強和/或導引第一交聯劑移動而遍及未圖案化E-FEL(圖3B之314),並且儘量減少和/或防止交聯處理發生在與第一方向成實質上垂直之第二方向上。例如,電壓差(電場)之範圍可為由約0.1伏特至約5000伏特。在一些實施例中,可在交聯處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在交聯處理之前、期間和/或之後升高基板溫度。
在一些可替換之實施例中,第一頂部塗層(圖3A之316)或第一活性物種層(圖3A之315)可包含能用以建立一個以上之電壓差的導電層。
在步驟245中,可使用一個以上的清潔和/或去光阻(stripping)程序從基板上移除第一(事先曝光)活性物種層(圖3C之335)和第一頂部塗層(圖3C之316)。在一些實施例中,可在清潔和/或去光阻程序之前或之後執行一個以上的顯影程序。在其它實施例中,於顯影、清潔和/或去光阻程序之後可執行一個以上的沉積程序。單一圖案化基板(圖3D之340)可包含一個以上之事先建立層(圖3D之311)、至少一個目標層(圖3D之312)、底部抗反射層(圖3D之313)、和具有第一填充區(圖3D之348)之第一圖案的單一圖案化E-FEL(圖3D之344)。
在步驟250中,可在單一圖案化E-FEL(圖3E之344)上沉積第二活性物種層(圖3E之355)。在一些實施例中,第二活性物種層材料可包含光阻材料、光活化材料、化學活化材料、或電活化材料、溫度活化材料、或前述之任何組合。例如,第二活性物種層材料可包含可活化之酸性物種、可活化之鹼性物種、或可活化3E之離子物種。在一些製造程序期間,可在第二活性物種層(圖之355)和單一圖案化E-FEL(圖3E之344)之間建立絕緣層(圖中未示)。
在步驟255中,可在第二活性物種層(圖3E之355)上沉積第二頂部塗層(圖3E之356)。在一些實施例中,第二頂部塗層材料可包含導電高分子。在其它實施例中,可配置第二頂部塗層材料為不包含導電高分子。此外,第二頂部塗層在接近曝光波長之第一組波長可為實質上可穿透的。或者,第二頂部塗層(圖3E之356)可包含頂部抗反射塗佈(TARC)材料。
在步驟260中,使用第二曝光程序在第二活性物種層中產生帶電物種之第二圖案。可將一個以上的基板從微影子系統(圖1之110)傳輸至掃描器子系統(圖1之120),且可使用一個以上的掃描器相關程序在基板上產生一個以上的第二曝光活性物種層(圖3F3E之365)。在第二曝光程序期間,可使用第二遮罩/初縮遮罩(圖之305)中之第二圖案,在第二曝光基板(圖3F之360)上之第二曝光活性物種層(圖3F之365)中產生複數個第二活化區(圖3F之369)。例如,第二遮罩/初縮遮罩(圖3E之305)可橫跨和/或掃描過整個基板,並可使用一個以上的第二輻射光源(圖3E之306)來執行多重曝光。在一些實施例中,第二活化區(圖3F之369)可包含可用來作為量測結構或對準目標之一個以上的週期性圖案或其它之評估特徵部(圖中未示)。在其它實施例中,第一填充區(圖3E之348)之第一圖案可包含能用以對準第二遮罩/初縮遮罩(圖3E之305)之一個以上的目標圖案(圖中未示)。
在步驟265中,執行第二增強程序以轉印第二活性物種層中之第二圖案至第一EFE層,以產生雙重圖案化EFE層。可將一個以上的基板從掃描器子系統(圖1之120)傳輸至E-FED子系統(圖1之150)或微影子系統(圖1之110),且可使用一個以上的第二EFE程序在「雙重增強」基板(圖3G之370)上產生一個以上的雙重圖案化E-FELs(圖3G之374)。在一些實施例中,可執行第二圖案轉印程序,以於雙重圖案化E-FEL(圖3G之374)中產生第二可溶區(圖3G之378)之第二圖案。
在不同的實施例中,可檢查從一個以上的基板事先收集而來的量測資料、信賴資料、和/或風險資料來判定是否該執行第二EFE程序,以及判定在第二EFE程序期間欲使用之基板的數目。例如,在處理整個批次前,可選擇一個以上的預送基板進行處理。或者,可使用其它的資料。
在一些實施例中,可藉由E-FED子系統(圖1之150)或微影子系統(圖1之110)來建立對於第二曝光活性物種層(圖3F之365)之第二活化地圖。在一些E-FED程序期間,可使用對於第二曝光活性物種層(圖3F之365)之第二活性物種資料、第二曝光地圖資料、第二劑量地圖資料、第二聚焦地圖資料、第二厚度資料、或第二顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的第二活化地圖。在第二E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測第二活化地圖。例如,可從第二曝光基板(圖3F之360)之一個以上的表面獲得第二光學資料。可使用第二活化地圖來識別和/或預測微橋接問題和非均勻活化問題。可使用第二活化地圖來驗證第二活化區的大小以及在第二活化區之第二活性物種的濃度。
第二活性物種層(圖3E之355)可包含第二非可增幅之活性物種,且單一圖案化E-FEL(圖3E之344)可包含第二可增幅之帶電物種和第二高分子材料。例如,第二活性物種層(圖3E之355)可包含一個以上的第二酸性成分,且單一圖案化E-FEL(圖3E之344)可包含一個以上的第二高分子成分。當曝光第二活性物種層(圖3E之355)時,第二酸性成分可停留在第二活化區(圖3F之369)內,直到提供第二電壓差(電場)橫越第二曝光活性物種層(圖3F之365)和單一圖案化E-FEL(圖3F之344)為止。可藉由掃描器處理時間、轉印時間和E-FED處理時間來確定第二酸性成分的時間常數。
當使用第二非可增幅之活性物種時,第二活化區(圖3F之369)之大小可實質上維持不變,且第二非增幅型活性物種的濃度可實質上維持不變,直到施以第二電壓差(電場)為止。例如,當E-FEL包含第二可增幅之帶電物種時,第二電壓差(電場)可導致E-FEL材料中之增幅處理。
當施加第二電場於第二曝光基板(圖3F之360)時,可橫越第二曝光活性物種層(圖3F之365)和單一圖案化E-FEL(圖3F之344)建立第二電壓差,且可在單一圖案化E-FEL(圖3F之344)頂部產生第二帶電物種。可使用第二電壓差(電場)讓第二帶電物種沿著第一方向移動而遍及在單一圖案化E-FEL(圖3F之344)中E-FEL材料之未圖案化部份,藉此修飾E-FEL材料中之一個以上的第二高分子成分,並且在雙重圖案化E-FEL(圖3G之374)中產生第二可溶區(圖3G之378)之第二圖案。例如,可使用第二電壓差(電場)來啟動和維持第二導向溶解度改變處理而遍及E-FEL材料之未圖案化部份。第二電壓差可取決於用於第二曝光活性物種層(圖3F之365)和單一圖案化E-FEL(圖3F之344)中的材料。例如,於不同的EFE程序期間之不同時間點,第二電壓差之範圍可為約0.0伏特到約5000伏特之間。此外,第二可溶區可包含於第二導向高分子改變(溶解度改變)處理期間產生之修飾和/或未修飾之高分子成分。
在一些實施例中,將第二活性物種成分從第二曝光活性物種層(圖3F之365)移動到單一圖案化E-FEL(圖3F之344)中、並且在單一圖案化E-FEL(圖3F之344)中啟動第二高分子改變處理所需之第二電壓差(電場)的強度,實質上可不同於用以導引第二高分子改變處理而遍及單一圖案化E-FEL(圖3F之344)所需之第二電壓差(電場)的強度。例如,第二起始電壓差可大於第二維持電壓差。在其它實施例中,第二起始電壓差可實質上等於或小於第二維持電壓差。可使用對第二活性物種層(圖3E之355)之材料資料、對單一圖案化E-FEL(圖3F之344)之材料資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之第二電壓差(電場)的強度,並且可在E-FEL處理配方中提供所需之第二電壓差(電場)的強度。第二電壓差(電場)的強度可決定在單一圖案化E-FEL(圖3F之344)中帶電物種的速度和方向,並可決定與在雙重圖案化E-FEL(圖3G之374)中之第二可溶區(圖3G之378)的第二圖案相關的CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
在一些實施例中,可在第二高分子改變處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在第二高分子改變處理之前、期間和/或之後升高基板溫度。
在一些實施例中,當對第二曝光活性物種層(圖3F之365)建立第二活化地圖時,可基於第二活化地圖而對單一圖案化E-FEL(圖3F之344)產生一個以上的第二電場(電壓差)地圖。或者,可模擬和使用第二交聯地圖、第二去阻隔地圖和/或第二去保護地圖。在一些E-FED程序期間,可使用對第二曝光活性物種層(圖3F之365)之材料資料、對單一圖案化E-FEL(圖3F之344)之材料資料、第二活化地圖資料、第二曝光地圖資料、第二劑量地圖資料、第二聚焦地圖資料、第二厚度資料、或第二顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的第二電場(電壓差)地圖。在第二E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測第二電場(電壓差)地圖。例如,可量測電場強度資料和/或電壓差資料。
當第二活化地圖識別和/或預測可能的微橋接問題和/或非均勻活化問題時,可在第二E-FED程序期間建立和/或修正第二電場(電壓差)地圖以改正這些問題,且電場(電壓差)地圖可包含在基板上不同位置之不同的電場強度和/或電壓差。當使用E-FED子系統(圖6之600)時,可使用與多重分段上電極(圖6之645)中之一個以上的區段(圖6之646)連接之一個以上的電源供應器(圖6之640),於第二E-FED程序期間在基板上之不同位置來建立不同的電場強度和/或電壓差。例如,控制器(圖6之655)可使用活化地圖和/或電場地圖來控制一個以上的電源供應器(圖6之640)和電壓源(圖6之630),並且在不同的EFE程序期間之不同的時間點,於基板上之不同位置建立不同的電場強度和/或電壓差,用以改正和/或預防這些問題。
在一些可替換之實施例中,第二頂部塗層(圖3E之356)或第二活性物種層(圖3E之355)可包含能用以建立一個以上之電壓差的導電層。
在步驟270中,顯影雙重圖案化EFE層。在不同的實施例中,可執行一個以上的顯影程序以產生第一顯影遮罩特徵部(圖3H之387)和第二顯影遮罩特徵部(圖3H之388)於顯影雙重圖案化E-FEL(圖3H之384)中。此外,可使用一個以上的清潔和/或去光阻程序來從基板上移除第二事先曝光活性物種層(圖3G之375)和第二頂部塗層(圖3G之356)。雙重圖案化基板(圖3H之380)可包含事先建立層(圖3H之311)、至少一個目標層(圖3H之312)、一個以上的底部抗反射層(圖3H之313)、以及具有一個以上的第一顯影遮罩特徵部(圖3H之387)和一個以上的第二顯影遮罩特徵部(圖3H之388)的顯影雙重圖案化E-FEL(圖3H之384)。
在步驟275中,獲得對一個以上的雙重圖案化基板(圖3H之380)其中至少一個的評估資料。評估資料可包含風險資料、信賴資料、量測資料、模擬資料、歷史資料、驗證資料、或資料庫資料、或前述之任何組合。
在步驟280中,可執行查詢以確定是否評估資料落在為第一D-P處理順序建立之一個以上的第一極限範圍中。當部分評估資料落在一個以上的第一極限範圍中時,程序200可岔向步驟285。當其它評估資料未落在一個以上的第一極限範圍中時,程序200可岔向步驟290。
在步驟285中,可處理額外的基板。例如,當風險資料小於風險相關極限時,處理順序可被認定為驗證處理順序,以及/或清潔後的基板可被認定為驗證基板。
在步驟290中,可執行一個以上的改正行動。例如,當風險資料未小於風險相關極限時,處理順序可被認定為未驗證處理順序,以及/或清潔後的基板可被認定為未驗證基板。
在一些實施例中,可傳送一個以上的雙重圖案化基板(圖3H之380)到於其中可執行量測和/或檢視程序之評估子系統(圖1之160)。在其它實施例中,可傳送一個以上的雙重圖案化基板(圖3H之380)到於其中可執行一個以上的蝕刻程序之蝕刻子系統(圖1之130)。例如,可使用顯影雙重圖案化E-FEL(圖3H之384)作為遮罩層來蝕刻一個以上的抗反射層(圖3H之313)、或一個以上的目標層(圖3H之312)、或一個以上的事先建立層(圖3H之311)、或前述之任何組合,用以產生金屬閘極結構、多晶矽閘極結構、三閘極結構、N-FET結構、P-FET結構、FinFET結構、電容器結構、介電質結構、通孔、和/或佈局線。
圖3為依照本發明之實施例,展示雙重圖案(DP)程序中例示步驟之簡化代表圖。在圖3A至3H中,展示了包含有一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313、一個以上的第一電場增強層(E-FEL)314、一個以上的第一活性物種層315、和一個以上的第一頂部塗層316之基板(310至380)。
在不同的實施例中,事先建立層311可具有由約10 nm變化至約50.0 nm的厚度;目標層312可具有由約10 nm變化至約500.0 nm的厚度;底部抗反射層313可具有由約2 nm變化至約20.0 nm的厚度;未圖案化E-FEL 314可具有由約10 nm變化至約50.0 nm的厚度;第一活性物種層315可具有由約2 nm變化至約20.0 nm的厚度;且第一頂部塗層316可具有由約2 nm變化至約10.0 nm的厚度。
圖3B展示第一曝光基板320之簡化代表圖,其可包含一個以上的頂部塗層316和在一個以上之第一曝光活性物種層325中之一個以上的第一活化區329。此外,還展示了一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313和至少一個第一未圖案化E-FEL 314。
圖3C展示電場增強基板330之簡化代表圖,其可包含一個以上的頂部塗層316、在第一事先曝光活性物種層335中之一個以上的第一活化區339、和在一個以上之圖案化E-FEL 334中之一個以上的第一可溶區338。
在一些EFE程序期間,可對整個基板提供均勻的電場,且可橫越第一曝光活性物種層325和未圖案化E-FEL 314建立第一電壓差。第一電壓差可取決於用於第一曝光活性物種層(圖3B之325)和未圖案化E-FEL(圖3B之314)的材料。第一電壓差可大到足以導致在第一事先曝光活性物種層(圖3C之335)之第一活化區(圖3C之339)中的活性物種在未圖案化E-FEL(圖3B之314)中啟動導向溶解度改變處理,並在圖案化E-FEL(圖3C之334)中產生第一可溶區(圖3C之338)之第一圖案。例如,於不同的EFE程序期間之不同時間點,第一電壓差之範圍可為約0.0伏特到約5000伏特之間。
在一些EFE程序期間,可橫越一個以上的第一曝光活性物種層325和一個以上的未圖案化E-FEL 314建立第一電壓差,藉此轉印第一曝光活性物種層325中之第一活化區329,並在圖案化E-FEL 334中產生第一填充區348之第一圖案。在一些實施例中,可使用在E-FED子系統150或微影子系統(圖1之110)中的電場反應室來執行EFE程序。此外,圖3C亦展示一個以上的事先建立層311、至少一個目標層312、和一個以上的底部抗反射層313。
圖3D展示單一圖案化基板340之簡化代表圖,其可包含一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313、和具有第一填充區348之第一圖案的單一圖案化E-FEL 344。在一些實施例中,第一填充區348之第一圖案可為未顯影的。在其它實施例中,於執行下一個處理步驟前,可量測和/或檢視第一填充區348之第一圖案。
圖3E展示事先處理基板350之簡化代表圖,其可包含一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313、具有第一填充區348之第一圖案的單一圖案化E-FEL 344、一個以上的第二活性物種層355、和一個以上的第二頂部塗層356。在一些實施例中,第一填充區348之第一圖案可為未顯影的。在其它實施例中,於執行下一個處理步驟前,可顯影和填充第一填充區348之第一圖案。
圖3F展示第二曝光基板360之簡化代表圖,其可包含一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313、具有第一填充區348之第一圖案的單一圖案化E-FEL 344、一個以上的第二曝光活性物種層365、和一個以上的第二頂部塗層356。在一些實施例中,第二活化區369可包含於第二曝光期間已活化之酸性和/或鹼性成分。
圖3G展示「雙重增強」基板370之簡化代表圖,其可包含一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313、具有第一填充區348之第一圖案和第二可溶區378之第二圖案的雙重圖案化E-FEL 374、第二事先曝光活性物種層375、和一個以上的第二頂部塗層356。在一些EFE程序期間,可橫越第二曝光活性物種層365和單一圖案化E-FEL 344建立第二電壓差,藉此轉印在第二曝光活性物種層365中之第二活化區369,並在雙重圖案化E-FEL 374中產生第二可溶區378之第二圖案。在其它EFE程序期間,可橫越第二曝光活性物種層365和單一圖案化E-FEL 344建立第二電壓差,藉此轉印在第二曝光活性物種層365中之第二活化區369的第二圖案,並在雙重圖案化E-FEL 374中產生與第二可溶區378實質上相同的圖案。例如,於不同的EFE程序期間之不同時間點,第二電壓差之範圍可為約0.0伏特到約5000伏特之間。
在一些實施例中,第二可溶區378之第二圖案可包含於第二EFE程序期間已去阻隔之去阻隔材料。
圖3H展示雙重圖案化基板380之簡化代表圖,其可包含一個以上的事先建立層311、至少一個目標層312、一個以上的底部抗反射層313、以及具有一個以上第一顯影遮罩特徵部387和一個以上第二顯影遮罩特徵部388之顯影雙重圖案化E-FEL 384。在一些實施例中,第一顯影遮罩特徵部387和第二顯影遮罩特徵部388可為未顯影的。在其它實施例中,可在執行下一個處理步驟前顯影和/或評估第一顯影遮罩特徵部387和第二顯影遮罩特徵部388。
當第一活性物種層315包含鹼性成分時,於曝光期間鹼性成分可被活化,並可停留在第一活化區329內,直到提供電場橫越第一曝光活性物種層325和未圖案化E-FEL 314為止。在一些實施例中,將鹼性成分移動到未圖案化E-FEL 314中並且啟動在未圖案化E-FEL 314中之帶電物種所需的電場強度,可實質上相同於導引帶電物種而遍及未圖案化E-FEL 314所需之電場強度。在其它實施例中,將鹼性成分移動到未圖案化E-FEL 314中並且啟動在未圖案化E-FEL 314中之帶電物種所需的電場強度,可不同於導引帶電物種而遍及未圖案化E-FEL 314所需之電場強度。可使用對第一活性物種層315之材料資料、對未圖案化E-FEL 314之材料資料、鹼性成分資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之電場強度,且可在E-FEL處理配方中提供所需之電場強度。電場可決定在E-FEL材料中帶電物種的速度和方向,並可決定與在圖案化E-FEL(334、344)中之區域(338、348)的圖案以及第一顯影遮罩特徵部387的圖案相關之CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
此外,當第二活性物種層355包含鹼性成分時,鹼性成分可於第二曝光期間被活化,並可停留在第二活化區369內,直到提供電場橫越第二曝光活性物種層365和單一圖案化E-FEL 344為止。在一些實施例中,將鹼性成分移動到單一圖案化E-FEL 344中並且啟動在單一圖案化E-FEL 344中之帶電物種所需的電場強度,可實質上相同於導引帶電物種而遍及單一圖案化E-FEL 344所需之電場強度。在其它實施例中,將鹼性成分移動到單一圖案化E-FEL 344中並且啟動在單一圖案化E-FEL 344中之帶電物種所需的電場強度,可與導引帶電物種而遍及單一圖案化E-FEL 344之電場強度相異。可使用對第二活性物種層355之材料資料、對單一圖案化E-FEL 344之材料資料、鹼性成分資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之電場強度,且可在E-FEL處理配方中提供所需之電場強度。電場可決定在E-FEL材料中帶電物種的速度和方向,並可決定與在雙重圖案化E-FEL 374中之區域(348、378)的圖案以及在顯影雙重圖案化E-FEL 384中之顯影遮罩特徵部(387、388)的圖案相關之CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
圖4為依據本發明之實施例,展示使用電場增強層(E-FELs)之雙重圖案(D-P)處理順序的簡化流程圖。
在步驟405中,可由處理系統(圖1之100)接收複數個事先圖案化基板510。當由處理系統(圖1之100)接收基板時,可接收與基板和/或批次相關之資料。例如,MES 180可下載配方和/或處理參數到子系統(110、120、130和140),並可使用此配方和/或處理參數來控制基板處理程序。此外,MES可決定基板順序。下載的資料可包含系統配方、處理配方、量測配方、EFE相關資料、和D-P相關資料。資料可包含D-P相關地圖,例如對於即將進入之基板和/或批次之歷史地圖、即時地圖、資料庫相關地圖、曝光地圖、聚焦地圖、劑量地圖、參考地圖、量測地圖、預測地圖和/或信賴地圖。資料可包含來自量測系統、處理系統、主端系統、和/或外部處理系統之感應器資料。
基板510可包含一個以上的事先建立層(圖5A之511)和一個以上的含金屬層(圖5A之509)。事先建立層(圖5A之511)可包含半導體材料、低介電常數材料、超低介電常數材料、陶瓷材料、玻璃材料、金屬材料、光阻材料、填充材料、摻雜材料、未摻雜材料、受應力材料、含氧材料、含氮材料、含碳材料、抗反射塗佈(ARC)材料、或底部抗反射塗佈(BARC)材料、或前述之任何組合。例如,半導體材料可包含能夠受應力和/或摻雜之矽(Si)、鍺(Ge)、砷化鎵(GaAs)材料。含金屬層(圖5A之509)可包含金屬線、金屬接點、金屬閘極結構、或金屬陣列結構、或前述之任何組合。
在步驟410中,可在一個以上的事先建立層(圖5A之511)上沉積一個以上的目標層(圖5A之512)。目標層(圖5A之512)可包含半導體材料、低介電常數材料、超低介電常數材料、陶瓷材料、玻璃材料、金屬材料、光阻材料、填充材料、摻雜材料、未摻雜材料、受應力材料、含氧材料、含氮材料、含碳材料、抗反射塗佈(ARC)材料、或底部抗反射塗佈(BARC)材料、或前述之任何組合。
在步驟415中,可在至少一個目標層(圖5A之512)上沉積底部抗反射層(圖5A之513)。第一抗反射層在接近曝光波長之第一組波長為實質上抗反射的,而在第二組波長則為實質上可反射的。在一些實施例中,第一底部抗反射層可包含導電高分子。在其它實施例中,可配置底部抗反射層為不含有導電高分子。此外,底部抗反射層(圖5A之513)可包含抗反射塗佈(ARC)材料、和/或底部抗反射塗佈(BARC)材料。
在步驟420中,可在底部抗反射層(圖5A之513)上沉積一個以上的電場增強層(E-FELs)(圖5A之514)。在一些實施例中,E-FEL可包含光敏性材料、光活化材料、化學活化材料、或電活化材料、溫度活化材料、或前述之任何組合。在其它實施例中,E-FEL材料可包含抗反射塗佈(ARC)材料。
在步驟425中,可在未圖案化E-FEL(圖5A之514)上沉積第一活性物種層(圖5A之515)。在一些實施例中,第一活性物種層材料可包含光阻材料、光活化材料、化學活化材料、或電活化材料、溫度活化材料、或前述之任何組合。例如,第一活性物種層材料可包含能被光活化的酸性物種、鹼性物種、或離子物種。在一些製造程序期間,可在第一活性物種層(圖5A之515)和未圖案化E-FEL(圖5A之514)之間建立絕緣層(圖中未示)。
在步驟430中,可在第一活性物種層(圖5A之515)上沉積第一頂部塗層(圖5A之516)。在一些實施例中,第一頂部塗層材料可包含導電高分子。在其它實施例中,可配置第一頂部塗層為不含有導電高分子。此外,第一頂部塗層在接近曝光波長之第一組波長可為實質上可穿透的,並且當使用浸潤式掃描器程序時,可被用作頂部塗層。或者,第一頂部塗層(圖5A之516)可包含頂部抗反射塗佈(TARC)材料。
在步驟435中,可使用第一曝光程序在第一活性物種層中產生帶電物種之第一圖案。在不同的實施例中,一個以上的基板可由微影子系統(圖1之110)被傳輸至掃描器子系統(圖1之120),且可使用一個以上的掃描器相關程序在基板上產生一個以上的第一曝光活性物種層(圖5B之525)。在第一曝光程序期間,可使用在第一遮罩/初縮遮罩(圖5A之501)中之第一圖案在第一曝光基板(圖5B之520)上產生複數個第一活化區(圖5B之529)於第一曝光活性物種層(圖5B之525)中。例如,第一遮罩/初縮遮罩(圖5A之501)可橫跨和/或掃描過整個基板,並可使用一個以上的輻射光源(圖5A之502)來執行多重曝光。在一些實施例中,第一活化區(圖5B之529)可包含可用來作為量測結構之一個以上的週期性圖案或其它評估特徵部(圖中未示)。在可替換之實施例中,第一活化區(圖5B之529)可包含能夠用於基板定位和/或晶粒定位之一個以上的目標圖案(圖中未示)。
在步驟440中,執行第一增強程序以轉印在第一活性物種層中之第一圖案至第一EFE層,用以產生單一圖案化EFE層。在不同的實施例中,一個以上的基板可從掃描器子系統(圖1之120)被傳輸至E-FED子系統(圖1之150)或微影子系統(圖1之110),且可使用一個以上的第一電場增強程序,將第一增強圖案產生於電場增強基板(圖5C之530)上之一個以上的圖案化E-FELs(圖5C之534)中。例如,可執行第一圖案轉印程序,以於圖案化E-FELs(圖5C之534)中產生第一可溶區(圖5C之538)之第一圖案。
在不同的實施例中,可檢查從一個以上的基板事先收集而來的量測資料、信賴資料、和/或風險資料來判定是否該執行第一電場增強程序,以及判定在第一電場增強程序期間欲使用之基板的數目。例如,在處理整個批次前,可選擇一個以上的預送基板進行處理。或者,可使用其它的資料。
在一些實施例中,可藉由E-FED子系統(圖1之150)或微影子系統(圖1之110)來建立對於第一曝光活性物種層(圖5B之525)之活化地圖。在一些E-FED程序期間,可使用對於第一曝光活性物種層(圖5B之525)之活性物種資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的活化地圖。在其它E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測活化地圖。例如,可從曝光基板(圖5B之520)之一個以上的表面獲得光學資料;可使用活化地圖來識別和/或預測微橋接問題和非均勻活化問題;可使用活化地圖來驗證活化區的大小以及在活化區中之活性物種的濃度。
第一活性物種層(圖5A之515)可包含非可增幅之活性物種,且未圖案化E-FEL(圖5A之514)可包含可增幅之帶電物種和高分子材料。例如,第一活性物種層(圖5A之515)可包含一個以上的酸性成分,且未圖案化E-FEL(圖5A之514)可包含一個以上的高分子成分。當曝光第一活性物種層(圖5A之515)時,酸性成分可停留在第一活化區(圖5B之529)內,直到提供第一電壓差(電場)橫越第一曝光活性物種層(圖5B之525)和未圖案化E-FEL(圖5B之514)為止。可藉由掃描器處理時間、轉印時間和E-FED處理時間來確定酸性成分的時間常數。
當使用非可增幅之活性物種時,第一活化區(圖5B之529)之大小可實質上維持不變,且非增幅型活性物種的濃度可實質上維持不變,直到施以第一電壓差(電場)為止。例如,當E-FEL包含可增幅之帶電物種時,第一電壓差(電場)可導致E-FEL材料中之增幅處理。
當施加電場於增強基板(圖5C之530)時,可橫越第一曝光活性物種層(圖5B之525)和未圖案化E-FEL(圖5B之514)建立第一電壓差,且可在未圖案化E-FEL(圖5B之514)頂部產生帶電物種。可使用第一電壓差(電場)讓帶電物種沿著第一方向移動而遍及E-FEL材料之未圖案化部份,藉此修飾E-FEL材料中之一個以上的高分子成分,並且在圖案化E-FEL(圖5C之534)中產生第一可溶區(圖5C之538)之第一圖案。例如,可使用第一電壓差(電場)來啟動和維持導向溶解度改變處理而遍及E-FEL材料之未圖案化部份。第一電壓差可取決於在第一曝光活性物種層(圖5B之525)和未圖案化E-FEL(圖5B之514)中的材料。例如,於不同的EFE程序期間之不同時間點,第一電壓差之範圍可為約0.0伏特到約5000伏特之間。此外,可溶區可包含於導向高分子改變(溶解度改變)處理期間產生之修飾和/或未修飾之高分子成分。
在一些實施例中,將活性物種成分從第一曝光活性物種層(圖5B之525)移動到未圖案化E-FEL(圖5B之514)中並且在未圖案化E-FEL(圖5B之514)中啟動高分子改變處理所需之電壓差(電場)的強度,可實質上不同於導引高分子改變處理而遍及未圖案化E-FEL(圖5B之514)所需之電壓差(電場)的強度。例如,起始電壓差可大於維持電壓差。在其它實施例中,起始電壓差可與維持電壓差為實質上相同。可使用對第一活性物種層(圖5A之515)之材料資料、對未圖案化E-FEL(圖5A之514)之材料資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之電壓差(電場)的強度,並且可在E-FEL處理配方中提供所需之電壓差(電場)的強度。電壓差(電場)的強度可決定在未圖案化E-FEL(圖5B之514)中帶電物種的速度和方向,並可決定與在圖案化E-FEL(圖5C之534)中之第一可溶區(圖5C之538)的第一圖案相關的CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
在一些實施例中,可在高分子改變處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在高分子改變處理之前、期間和/或之後升高基板溫度。
在一些實施例中,當對第一曝光活性物種層(圖5B之525)建立活化地圖時,可基於活化地圖對未圖案化E-FEL(圖5B之514)產生一個以上的電場(電壓差)地圖。或者,可模擬和使用交聯地圖、去阻隔地圖和/或去保護地圖。在一些E-FED程序期間,可使用對第一曝光活性物種層(圖5B之525)之材料資料、對未圖案化E-FEL(圖5B之514)之材料資料、活化地圖資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的電場(電壓差)地圖。在其它E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測電場(電壓差)地圖。例如,可量測電場強度資料和/或電壓差資料。
當活化地圖識別和/或預測可能的微橋接問題和/或非均勻活化問題時,可建立和/或修正電場(電壓差)地圖以改正這些問題,且電場(電壓差)地圖可包含在基板上不同位置之不同的電場強度和/或電壓差。當使用E-FED子系統(圖6之600)時,可使用與多重分段上電極(圖6之645)中一個以上的區段(圖6之646)連接之一個以上的電源供應器(圖6之640)在基板上之不同位置來建立不同的電場強度和/或電壓差。例如,控制器(圖6之655)可使用活化地圖和/或電場地圖來控制一個以上的電源供應器(圖6之640)和電壓源(圖6之630),並且在不同的EFE程序期間之不同的時間點,於基板上之不同位置建立不同的電場強度和/或電壓差,用以改正和/或預防這些問題。
在其它實施例中,可使用第一帶電物種來啟動和導引第一去阻隔處理而遍及未圖案化E-FEL(圖5B之514),以在圖案化E-FEL(圖5C之534)中產生第一可溶區(圖5C之538)之第一圖案,且第一可溶區(圖5C之538)可包含去阻隔和/或阻隔之高分子材料。可使用一個以上的電壓差(電場)來增強和/或導引帶電物種於去阻隔處理期間沿著第一方向移動而遍及未圖案化E-FEL(圖5B之514),並且儘量減少和/或防止去阻隔處理發生在與第一方向成實質上垂直之第二方向上。例如,電壓差(電場)之範圍可為由約0.1伏特至約5000伏特。在一些實施例中,可在去阻隔處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在去阻隔處理之前、期間和/或之後升高基板溫度。
在還有一些實施例中,可使用第一交聯劑來啟動和導引第一交聯處理而遍及未圖案化E-FEL(圖5B之514),用以在圖案化E-FEL(圖5C之534)中產生第一可溶區(圖5C之538)之第一圖案,且第一可溶區(圖5C之538)可包含交聯和/或未交聯之高分子材料。可使用一個以上的電壓差(電場)來增強和/或導引第一交聯劑於第一交聯處理期間沿著第一方向移動而遍及未圖案化E-FEL(圖5B之514),並且儘量減少和/或防止交聯處理發生在與第一方向成實質上垂直之第二方向上。例如,電壓差(電場)之範圍可為由約0.1伏特至約5000伏特。在一些實施例中,可在交聯處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在交聯處理之前、期間和/或之後升高基板溫度。
在一些可替換之實施例中,第一頂部塗層(圖5A之516)或第一活性物種層(圖5A之515)可包含能用以建立一個以上之電壓差的導電層。
在步驟445中,可使用一個以上的清潔和/或去光阻(stripping)程序從基板上移除第一事先曝光活性物種層(圖5C之535)和第一頂部塗層(圖5C之516)。在一些實施例中,可在清潔和/或去光阻程序之前或之後執行一個以上的顯影程序。在其它實施例中,於顯影、清潔和/或去光阻程序之後可執行一個以上的沉積程序。單一圖案化基板(圖5D之540)可包含一個以上之事先建立層(圖5D之511)、一個以上的含金屬層(圖5D之509)、至少一個目標層(圖5D之512)、底部抗反射層(圖5D之513)、和具有第一填充區(圖5D之548)之第一圖案的單一圖案化E-FEL(圖5D之544)。
在步驟450中,可在單一圖案化E-FEL(圖5E之544)上沉積第二活性物種層(圖5E之555)。在一些實施例中,第二活性物種層材料可包含光阻材料、光活化材料、化學活化材料、或電活化材料、溫度活化材料、或前述之任何組合。例如,第二活性物種層材料可包含可活化之酸性物種、可活化之鹼性物種、或可活化之離子物種。在一些製造程序期間,可在第二活性物種層(圖5E之555)和單一圖案化E-FEL(圖5E之544)之間建立絕緣層(圖中未示)。
在步驟455中,可在第二活性物種層(圖5E之555)上沉積第二頂部塗層(圖5E之556)。在一些實施例中,第二頂部塗層材料可包含導電高分子。在其它實施例中,可配置第二頂部塗層為不含有導電高分子。此外,第二頂部塗層在接近曝光波長之第一組波長可為實質上可穿透的。或者,第二頂部塗層(圖5E之556)可包含頂部抗反射塗佈(TARC)材料。
在步驟460中,可使用第二曝光程序在第二活性物種層中產生帶電物種之第二圖案。在一些實施例中,一個以上的基板可由微影子系統(圖1之110)被傳輸至掃描器子系統(圖1之120),且可使用一個以上的掃描器相關程序在基板上產生一個以上的第二曝光活性物種層(圖5F之565)。在第二曝光程序期間,可使用在第二遮罩/初縮遮罩(圖5E之505)中之第二圖案在第二曝光基板(圖5F之560)上產生複數個第二活化區(圖5F之569)於第二曝光活性物種層(圖5F之565)中。例如,第二遮罩/初縮遮罩(圖5之505)可橫跨和/或掃描過整個基板,並可使用一個以上的第二輻射光源(圖5之506)來執行多重曝光。在一些實施例中,第二活化區(圖5F之569)可包含可用來作為量測結構或對準目標之一個以上的週期性圖案或其它評估特徵部(圖中未示)。在其它實施例中,第一填充區(圖5E之548)之第一圖案可包含能夠用來對準第二遮罩/初縮遮罩(圖5E之505)之一個以上的目標圖案(圖中未示)。
在步驟465中,執行第二增強程序以轉印在第二活性物種層中之第二圖案至第一EFE層,用以產生雙重圖案化EFE層。在不同的實施例中,一個以上的基板可從掃描器子系統(圖1之120)被傳輸至E-FED子系統(圖1之150)或微影子系統(圖1之110),且可使用一個以上的第二EFE程序在「雙重增強」基板(圖5G之570)上產生一個以上的雙重圖案化E-FELs(圖5G之574)。在一些實施例中,可執行第二圖案轉印程序以於雙重圖案化E-FEL(圖5G之574)中產生第二可溶區(圖5G之578)之第二圖案。
在不同的實施例中,可檢查從一個以上的基板事先收集而來的量測資料、信賴資料、和/或風險資料,來判定是否該執行第二電場增強程序,以及判定在第二電場增強程序期間欲使用之基板的數目。例如,在處理整個批次前,可選擇一個以上的預送基板進行處理。或者,可使用其它的資料。
在一些實施例中,可藉由E-FED子系統(圖1之150)或微影子系統(圖1之110)來建立對於第二曝光活性物種層(圖5F之565)之第二活化地圖。在一些E-FED程序期間,可使用對於第二曝光活性物種層(圖5F之565)之第二活性物種資料、第二曝光地圖資料、第二劑量地圖資料、第二聚焦地圖資料、第二厚度資料、或第二顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的第二活化地圖。在第二E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測第二活化地圖。例如,可從第二曝光基板(圖5F之560)之一個以上的表面獲得第二光學資料。可使用第二活化地圖來識別和/或預測微橋接問題和非均勻活化問題。可使用第二活化地圖來驗證第二活化區的大小以及在第二活化區中之第二活性物種的濃度。
第二活性物種層(圖5E之555)可包含第二非可增幅之活性物種,且單一圖案化E-FEL(圖5E之544)可包含第二可增幅之帶電物種和第二高分子材料。例如,第二活性物種層(圖5E之555)可包含一個以上的第二酸性成分,且單一圖案化E-FEL(圖5E之544)可包含一個以上的第二高分子成分。當曝光第二活性物種層(圖5E之555)時,第二酸性成分可停留在第二活化區(圖5F之569)內,直到提供第二電壓差(電場)橫越第二曝光活性物種層(圖5F之565)和單一圖案化E-FEL(圖5F之544)為止。可藉由掃描器處理時間、轉印時間和E-FED處理時間來確定第二酸性成分的時間常數。
當使用第二非可增幅之活性物種時,第二活化區(圖5F之569)之大小可實質上維持不變,且第二非增幅型活性物種的濃度可實質上維持不變,直到施以第二電壓差(電場)為止。例如,當E-FEL包含第二可增幅之帶電物種時,第二電壓差(電場)可導致E-FEL材料中之增幅處理。
當施加第二電場於第二曝光基板(圖5F之560)時,可橫越第二曝光活性物種層(圖5F之565)和單一圖案化E-FEL(圖5F之544)建立第二電壓差,且可在單一圖案化E-FEL(圖5F之544)頂部產生第二帶電物種。可使用第二電壓差(電場)讓第二帶電物種沿著第一方向移動而遍及在單一圖案化E-FEL(圖5F之544)中E-FEL材料之未圖案化部份,藉此修飾E-FEL材料中之一個以上的第二高分子成分,並且在雙重圖案化E-FEL(圖5G之574)中產生第二可溶區(圖5G之578)之第二圖案。例如,可使用第二電壓差(電場)來啟動和維持第二導向溶解度改變處理而遍及E-FEL材料之未圖案化部份。第二電壓差可取決於用於第二曝光活性物種層(圖5F之565)和單一圖案化E-FEL(圖5F之544)中的材料。例如,於不同的EFE程序期間之不同時間點,第二電壓差之範圍可為約0.0伏特到約5000伏特之間。此外,第二可溶區可包含於第二導向高分子改變(溶解度改變)處理期間產生之修飾和/或未修飾之高分子成分。
在一些實施例中,將第二活性物種成分從第二曝光活性物種層(圖5F之565)移動到單一圖案化E-FEL(圖5F之544)中並且在單一圖案化E-FEL(圖5F之544)中啟動第二高分子改變處理所需之第二電壓差(電場)的強度,可與導引第二高分子改變處理而遍及單一圖案化E-FEL(圖5F之544)所需之第二電壓差(電場)的強度實質上相異。例如,第二起始電壓差可大於第二維持電壓差。在其它實施例中,第二起始電壓差可實質上等於或小於第二維持電壓差。可使用對第二活性物種層(圖5E之555)之材料資料、對單一圖案化E-FEL(圖5F之544)之材料資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之第二電壓差(電場)的強度,並且可在E-FEL處理配方中提供所需之第二電壓差(電場)的強度。第二電壓差(電場)的強度可決定在單一圖案化E-FEL(圖5F之544)中帶電物種的速度和方向,並可決定與在雙重圖案化E-FEL(圖5G之574)中之第二可溶區(圖5G之578)的第二圖案相關的CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
在一些實施例中,可在第二高分子改變處理之前、期間和/或之後提供一個以上的製程氣體和/或製程液體。在其它實施例中,可在第二高分子改變處理之前、期間和/或之後升高基板溫度。
在一些實施例中,當對第二曝光活性物種層(圖5F之565)建立第二活化地圖時,可基於第二活化地圖對單一圖案化E-FEL(圖5F之544)產生一個以上的第二電場(電壓差)地圖。或者,可模擬和使用第二交聯地圖、第二去阻隔地圖和/或第二去保護地圖。在一些E-FED程序期間,可使用對第二曝光活性物種層(圖5F之565)之材料資料、對單一圖案化E-FEL(圖5F之544)之材料資料、第二活化地圖資料、第二曝光地圖資料、第二劑量地圖資料、第二聚焦地圖資料、第二厚度資料、或第二顯影劑資料、或前述之任何組合來計算和/或模擬一個以上的第二電場(電壓差)地圖。在第二E-FED程序期間,可使用在E-FED子系統(圖6之600)中與處理反應室(圖6之610)連接之一個以上的感應器(圖6之650)來量測第二電場(電壓差)地圖。例如,可量測電場強度資料和/或電壓差資料。
當第二活化地圖識別和/或預測可能的微橋接問題和/或非均勻活化問題時,可在第二E-FED程序期間建立和/或修飾第二電場(電壓差)地圖以改正這些問題,且電場(電壓差)地圖可包含在基板上不同位置之不同的電場強度和/或電壓差。當使用E-FED子系統(圖6之600)時,可使用與多重分段上電極(圖6之645)中之一個以上的區段(圖6之646)連接之一個以上的電源供應器(圖6之640),於第二E-FED程序期間在基板上之不同位置來建立不同的電場強度和/或電壓差。例如,控制器(圖6之655)可使用活化地圖和/或電場地圖來控制一個以上的電源供應器(圖6之640)和電壓源(圖6之630),並且在不同的EFE程序期間之不同的時間點,於基板上之不同位置建立不同的電場強度和/或電壓差,用以改正和/或預防這些問題。
在一些可替換之實施例中,第二頂部塗層(圖5E之556)或第二活性物種層(圖5E之555)可包含能用以建立一個以上之電壓差的導電層。
在步驟470中,可執行一個以上的顯影程序以產生第一顯影遮罩特徵部(圖5H之587)和第二顯影遮罩特徵部(圖5H之588)於顯影雙重圖案化E-FEL(圖5H之584)中。此外,可使用一個以上的清潔和/或去光阻程序,以從基板上移除第二事先曝光活性物種層(圖5G之575)和第二頂部塗層(圖5G之556)。雙重圖案化基板(圖5H之580)可包含事先建立層(圖5H之511)、一個以上的含金屬層(圖5H之509)、至少一個目標層(圖5H之512)、一個以上的底部抗反射層(圖5H之513)、以及具有一個以上的第一顯影遮罩特徵部(圖5H之587)和一個以上的第二顯影遮罩特徵部(圖5H之588)的顯影雙重圖案化E-FEL(圖5H之584)。
在步驟475中,可獲得對至少一個雙重圖案化基板(圖5H之580)的評估資料。評估資料可包含風險資料、信賴資料、量測資料、模擬資料、歷史資料、驗證資料、或資料庫資料、或前述之任何組合。
在步驟480中,可執行查詢以確定是否評估資料落在為第一D-P處理順序建立之一個以上的第一極限範圍中。當部分評估資料落在一個以上的第一極限範圍中時,程序400可岔向步驟485。當其它評估資料未落在一個以上的第一極限範圍中時,程序400可岔向步驟490。
在步驟485中,可處理額外的基板。例如,當風險資料小於風險相關極限時,處理順序可被認定為驗證處理順序,以及/或清潔後的基板可被認定為驗證基板。
在步驟490中,可執行一個以上的改正行動。例如,當風險資料未小於風險相關極限時,處理順序可被認定為未驗證處理順序,以及/或清潔後的基板可被認定為未驗證基板。
在一些實施例中,可傳送一個以上的雙重圖案化基板(圖5H之580)到於其中可執行量測和/或檢視程序之評估子系統(圖1之160)。在其它實施例中,可傳送一個以上的雙重圖案化基板(圖5H之580)到於其中可執行一個以上的蝕刻程序之蝕刻子系統(圖1之130)。例如,可使用顯影雙重圖案化E-FEL(圖5H之584)作為遮罩層,來蝕刻一個以上的底部抗反射層(圖5H之513)、或一個以上的目標層(圖5H之512)、或一個以上的含金屬層(圖5A之509)、或一個以上的事先建立層(圖5H之511)、或前述之任何組合,用以產生金屬閘極結構、多晶矽閘極結構、三閘極結構、N-FET結構、P-FET結構、FinFET結構、電容器結構、介電質結構、通孔、和/或佈局線。
在一些實施例中,可量測一個以上的基板以驗證正在正確地製造E-FEL,和/或驗證半導體處理系統正在產生優質裝置。當執行量測處理時,可使用一組波長來量測E-FEL中之一個以上的結構;可將E-FEL中之一個以上的結構的量測資料比對產品規範;以及若符合產品規範時可繼續基板處理或者若未符合產品規範時可實施改正行動。
改正行動可包含停止處理、暫停處理、重新評估一個以上的D-P基板、重新量測一個以上的D-P基板、重新檢視一個以上的D-P基板、重新處理一個以上的D-P基板、儲存一個以上的D-P基板、清潔一個以上的D-P基板、延遲一個以上的D-P基板、或去光阻一個以上的D-P基板、或前述之任何組合。改正行動亦可包含使用錯誤訊息、移除基板、暫停處理等等。
圖5為依照本發明之實施例,展示雙重圖案(DP)程序中例示步驟之簡化代表圖。在圖5A至5H中,展示了包含有一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513、一個以上的未圖案化電場增強(EFE)層514、一個以上的第一活性物種層515、和一個以上的第一頂部塗層516之基板(510至580)。
在不同的實施例中,事先建立層511可具有由約10 nm變化至約50.0 nm的厚度;含金屬層509可具有由約0.2 nm變化至約2.0 nm的厚度;目標層512可具有由約10 nm變化至約500.0 nm的厚度;底部抗反射層513可具有由約2 nm變化至約20.0 nm的厚度;未圖案化E-FEL 514可具有由約10 nm變化至約50.0 nm的厚度;第一活性物種層515可具有由約2 nm變化至約20.0 nm的厚度;且第一頂部塗層516可具有由約2 nm變化至約10.0 nm的厚度。
圖5B展示曝光基板520之簡化代表圖,其可包含一個以上的第一頂部塗層516和在一個以上之第一曝光活性物種層(圖5B之525)中之一個以上的第一活化區529。此外,還展示了一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513和一個以上的未圖案化E-FEL 514。
圖5C展示增強基板530之簡化代表圖,其可包含一個以上的頂部塗層516、在一個以上的第一事先曝光活性物種層535中之一個以上的活化區539、和在一個以上之圖案化E-FEL 534中之一個以上的第一可溶區538。在一些EFE程序期間,可橫越第一曝光活性物種層525和未圖案化E-FEL 514建立第一電壓差,藉此轉印第一曝光活性物種層525中之第一活化區529並在圖案化E-FEL 534中產生第一可溶區538之第一圖案。例如,於不同的EFE程序期間之不同時間點,第一電壓差之範圍可為約0.0伏特到約5000伏特之間。在一些實施例中,可使用在E-FED子系統150或微影子系統(圖1之110)中的電場反應室來執行EFE程序。此外,圖5C亦展示一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、和一個以上的底部抗反射層513。
圖5D展示單一圖案化基板540之簡化代表圖,其可包含一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513、和具有第一填充區548之第一圖案的單一圖案化E-FEL 544。在一些實施例中,第一填充區548之第一圖案可為未顯影的。在其它實施例中,在執行清潔和/或去光阻程序之前、期間、或之後可產生第一填充區548之第一圖案;且在執行下一個處理步驟前可填充第一填充區548之第一圖案。
圖5E展示基板550之簡化代表圖,其可包含一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513、具有第一填充區548之第一圖案的單一圖案化E-FEL 544、一個以上的第二活性物種層555、和一個以上的第二頂部塗層556。在一些實施例中,第一填充區548之第一圖案可為未顯影的。在其它實施例中,於執行下一個處理步驟前,可顯影和填充第一填充區548之第一圖案。
圖5F展示第二曝光基板560之簡化代表圖,其可包含一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513、具有第一填充區548之第一圖案的單一圖案化E-FEL 544、至少一個第二曝光活性物種層565、和一個以上的第二頂部塗層556。在一些實施例中,第二活化區569可包含於第二曝光期間已活化之酸性和/或鹼性成分。
圖5G展示基板570之簡化代表圖,其可包含一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513、具有第一填充區548之第一圖案和第二可溶區578之第二圖案的雙重圖案化E-FEL 574、一個以上的第二事先曝光活性物種層575、和一個以上的第二頂部塗層556。在一些EFE程序期間,可橫越第二曝光活性物種層565和單一圖案化E-FEL 544建立第二電壓差,藉此轉印在第二曝光活性物種層565中之第二活化區569,並在雙重圖案化E-FEL 574中產生第二可溶區578之第二圖案。在其它EFE程序期間,可使用含金屬層509橫越單一圖案化E-FEL 544建立第二電壓差。例如,於不同的EFE程序期間之不同時間點,第二電壓差之範圍可為約0.0伏特到約5000伏特之間。
在一些實施例中,第二可溶區578之第二圖案可包含於第二EFE程序期間已去阻隔之去阻隔材料。
圖5H展示雙重圖案化基板580之簡化代表圖,其可包含一個以上的事先建立層511、一個以上的含金屬層509、至少一個目標層512、一個以上的底部抗反射層513、以及具有第一顯影遮罩特徵部587之第一圖案和第二顯影遮罩特徵部588之第二圖案的顯影雙重圖案化E-FEL 584。在一些實施例中,第一遮罩特徵部587和第二遮罩特徵部588可為未顯影的。在其它實施例中,可在執行下一個處理步驟前,顯影和/或評估第一顯影遮罩特徵部587和第二顯影遮罩特徵部588。
當第一活性物種層515包含鹼性成分時,於曝光期間鹼性成分可被活化,並可停留在第一活化區529內,直到提供電場橫越第一曝光活性物種層525和未圖案化E-FEL 514為止。在一些實施例中,將鹼性成分移動到未圖案化E-FEL 514中並且啟動在未圖案化E-FEL 514中之帶電物種所需的電場強度,可與導引帶電物種而遍及未圖案化E-FEL 514所需之電場強度實質上相同。在其它實施例中,將鹼性成分移動到未圖案化E-FEL 514中並且啟動在未圖案化E-FEL 514中之帶電物種所需的電場強度,可與導引帶電物種而遍及未圖案化E-FEL 514所需之電場強度相異。可使用對第一活性物種層515之材料資料、對未圖案化E-FEL 514之材料資料、鹼性成分資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之電場強度,且可在E-FEL處理配方中提供所需之電場強度。電場可決定在E-FEL材料中帶電物種的速度和方向,並可決定與在圖案化E-FEL(534、544)中之區域(538、548)的圖案以及第一顯影遮罩特徵部587的圖案相關之CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
此外,當第二活性物種層555包含鹼性成分時,於第二曝光期間鹼性成分可被活化,並可停留在第二活化區569內,直到提供電場橫越第二曝光活性物種層565和單一圖案化E-FEL 544為止。在一些實施例中,將鹼性成分移動到單一圖案化E-FEL 544中並且啟動在單一圖案化E-FEL 544中之帶電物種所需的電場強度,可與導引帶電物種而遍及單一圖案化E-FEL 544所需之電場強度實質上相同。在其它實施例中,將鹼性成分移動到單一圖案化E-FEL 544中並且啟動在單一圖案化E-FEL 544中之帶電物種所需的電場強度,可與導引帶電物種而遍及單一圖案化E-FEL 544所需之電場強度相異。可使用對第二活性物種層555之材料資料、對單一圖案化E-FEL 544之材料資料、鹼性成分資料、曝光地圖資料、劑量地圖資料、聚焦地圖資料、厚度資料、或顯影劑資料、或前述之任何組合來計算所需之電場強度,且可在E-FEL處理配方中提供所需之電場強度。電場可決定在E-FEL材料中帶電物種的速度和方向,並可決定與在雙重圖案化E-FEL 574中之區域(548、578)的圖案以及在顯影雙重圖案化E-FEL 584中之顯影遮罩特徵部(587、588)的圖案相關之CDs、SWAs、線邊緣粗糙度(LER)、和/或線寬粗糙度(LWR)。
當打算使用EFE材料於157 nm微影處理中時,成像高分子可包含含氟成分和/或含矽成分。例如,使用一個以上的含氟化合物可提供對於波長193 nm和157 nm之深紫外光微影改善的工作表現。改善的工作表現可來自部分氟化材料之高光穿透度和氟化原醇(fluorocarbinols)之高酸度。例如,EFE材料可包含鹼金族可溶性氟化高分子、EFE材料、PAG和交聯劑。氟化高分子在波長193 nm和/或157 nm為可穿透的,且當製造EFE材料時,可使用一個以上的交聯劑來接合電場材料。交聯劑之例子可包含三聚氰胺(melamines)、羥甲基(methylols)、乙炔脲(glycolurils)、羥基烷基醯胺(hydroxy alkyl amides)、環氧和環氧胺樹脂(epoxy amine resins)、阻隔的異氰酸酯(isocyanates)、或二乙烯(divinyl)單體;且增強材料之例子可包含著色劑、非光化性染料、助黏劑、塗佈助劑、速度增進劑、或界面活性劑、或前述之組合。此外,在波長157 nm之微影處理時,可使用與波長157 nm之微影處理相容之一個以上的頂部塗層。
在一些實施例中,可使用波長193 nm之UV輻射,且總曝光能量可少於或等於約每平方公分100毫焦耳。
在另外一些例子中,E-FEL材料可包含高分子、酸產生劑化合物、和能與高分子鍵結以作為離去基之溶解度轉換材料,且在離去基被移除之後可建立第二組溶解特性。或者,可以不同的方式來結合溶解度轉換材料與高分子,且在移除、活化、去保護和/或去阻隔溶解度轉換材料之後,可建立第二組溶解特性。
在不同的實施例中,酸產生劑在一個材料層中可為光敏性,而在另一材料層中則為非光敏性。
當產生E-FEL材料時,可使用側壁增強成分和/或改善的溶劑來提供具有實質上較小量的LER和/或LWR之改善的結構。
圖6為依據本發明之實施例,展示電場增強顯影(E-FED)子系統之例示方塊圖。圖6展示一例示之E-FED子系統600,而圖示之E-FED子系統600可包含處理反應室610、用以固定欲處理之基板605於其上之基板支座620、和真空抽氣系統657。例如,可使用底座629來使基板支座620與處理反應室610連接並絕緣。例如,基板605可為半導體基板、工件(work piece)、或液晶顯示器(LCD)。
在一些實施例中,流體供應系統660可與處理反應室610以及能用以提供一個以上的製成液體至基板605表面之分配系統665連接。或者,可不需製程液體或可用不同方式提供製程液體。此外,供氣系統670可與處理反應室610以及能用以提供一個以上的製成氣體至氣體注入系統675之流量控制系統672連接。單一氣體或氣體混合物可經由氣體注入系統675而通入處理空間615,且可調整反應室之壓力。最好是可利用製程氣體來產生針對預定材料處理之材料,且幫助沉積材料於基板605,或者於顯影程序期間自基板605之曝光表面移除材料。例如,可使用控制器655來控制真空抽氣系統657、流體供應系統660、和供氣系統670。
例如,可藉由機器傳輸系統(圖中未示)使基板605穿過插槽閥和室饋通組件636,而被傳送進入或離開處理反應室610,而在機器傳輸系統處,設在基板支座620中之基板升降針(lift pin)可用以接收基板605,且設在基板支座620中之裝置可用以機械式地調動基板605。由傳輸系統處接收基板605之後,可降下基板605至基板支座620之上表面。在一些實施例中,可藉由夾持系統(圖中未示)將基板605固定至基板支座620。再者,基板支座620可進一步包含可與溫控系統628連接之多區加熱器組件627。在一些實施例中,一個以上的溫控元件625可接收來自背部供氣系統626之背部氣體,其可用以增進基板605和基板支座620之間的氣隙熱傳導性。多區加熱器組件627可包含電阻加熱元件、和/或熱電加熱器/致冷器。
在一些實施例中,E-FED子系統600可包含可與多重分段上電極645中之一個以上的區段646連接之一個以上的電源供應器640。可使用基板支座620和多重分段上電極645橫越基板605建立一個以上的電場。在一個以上的E-FED程序期間,可獨立地控制多重分段上電極645中之各個區段646,以提供均勻或非均勻之電場647。在一個實施例中,可控制電場強度以造成導向溶解度改變發生於基板605上之一個以上的E-FEL中。
在其它實施例中,可將多重分段上電極645配置和操作成可用以導引一個以上的電場647至基板605之複數個電子束源。在一個以上的E-FED程序期間,可獨立地控制由各個電子束源提供之電場強度。在一個實施例中,可控制電場強度以造成導向溶解度改變發生於基板605上之一個以上的E-FEL中。
在一些E-FED子系統配置中,基板支座620可包含能與電壓源630連接之下電極621。於E-FED程序期間,可建立DC電壓於下電極621上。或者,電壓源630可為低頻(AC)源、RF源、或微波源。在其它配置中,可不需要下電極621、電壓源630和/或濾波網絡(filter network)。在其他配置中,可施予下電極621多頻之信號。
在一些配置中,真空抽氣系統657可包含真空泵658和用以控制反應室壓力之閘閥659。再者,可連接用以偵測反應室壓力之裝置(圖中未示)至處理反應室610。此外,於E-FED程序期間,可控制E-FED反應室內的壓力在約5毫托耳和約400毫托耳之間。
在一些E-FED程序期間,可使用多區加熱器組件627對基板建立邊緣溫度和中心溫度。於E-FED程序期間,邊緣溫度和中心溫度可在約攝氏10度至約攝氏70度之間變化。此外,E-FED程序之處理時間可由約30秒變化至約6分鐘。
如圖6所述,E-FED子系統600可包含用以與處理反應室610連接以獲得工作表現資料之一個以上的感應器650,且可連接控制器655與感應器650以接收工作表現資料。感應器650可包含在處理反應室610內部之感應器和在處理反應室610外部之感應器兩者。感應器650可包含能作為終點偵測器(EPD)和提供EPD資料之光學放射光譜(OES)感應器。
控制器655可包含微處理器、記憶體、和能夠用以產生控制電壓之數位I/O埠(可能包含D/A和/或A/D轉換器),其中控制電壓足以傳輸與啟動輸入至E-FED子系統600並且偵測來自E-FED子系統600之輸出。如圖6所示,控制器655可與基板支座620、電壓源630、多重分段上電極645、真空抽氣系統657、背部供氣系統626、溫控系統628、和感應器650相連接並交換資料。依照所儲存的處理配方來利用儲存於記憶體中之程式與E-FED子系統600之前述組件互動。
當產生E-FEL時,E-FEL材料可包含含有阻隔成分之非光敏性高分子。在其它實施例中,E-FEL可包含含有阻隔成分之光敏性高分子。在一些實施例中,E-FEL可包含能藉酸性成分去保護之酸敏感性高分子,且可使用具有不同強度和/或不同頻率之一個以上的電場來導引和增強酸性成分的移動。在其它實施例中,E-FEL可包含能藉鹼性成分而去保護之鹼敏感性高分子,且可使用具有不同強度和/或不同頻率之一個以上的電場來導引和增強鹼性成分的移動。在一些其它實施例中,E-FEL可包含能藉曝光於輻射光源而去保護之輻射敏感性高分子,且可使用具有不同強度和/或不同頻率之一個以上的電場來導引和增強去保護物種的移動。在另外一些實施例中,底部遮罩層可包含能藉熱輻射而去保護之熱敏感性高分子,且可使用具有不同強度和/或不同頻率之一個以上的電場來導引和增強去保護物種的移動。
可在E-FEL頂部上沉積活化層。在一些實施例中,活化層可包含含有非化學增幅型成分之光敏性高分子。在其它實施例中,活化層可包含含有化學增幅型成分之光敏性高分子。在一些實施例中,活化層可包含能藉曝光於光源而去保護之酸生成性高分子。在其它實施例中,活化層可包含能藉光源而去保護之鹼生成性高分子。在一些其它的實施例中,活化層可包含能藉曝光於輻射光源而活化之輻射敏感性高分子。在另外一些實施例中,活化層可包含能藉熱輻射而活化之熱敏感性高分子。在一些實施例中,可沉積絕緣層於活化層和底部遮罩層之間。
此外,當一些E-FEL被設計來與浸潤式微影處理一起使用時,來自用於E-FEL中之材料的釋放氣體(out-gassing)和/或來自用於其它層中之材料的釋放氣體有可能污染曝光透鏡。釋放氣體可導致傳輸損耗(transmission loss)和扭曲的成像。在一些實施例中,可能需要在E-FEL疊層頂部上面的薄罩層來解決污染問題。當頂部塗層與E-FEL一起使用時,頂部塗層應可溶於TMAH顯影劑但不可溶於浸潤液中。此外,頂部塗層材料在波長193 nm應為高度可穿透的,且與E-FEL中之材料和浸潤液可相容。
圖7為依照本發明之實施例,展示另一多重分段電極之簡化方塊圖。在圖示之實施例中,展示了包含具有厚度712和直徑714之安裝組件710的多重分段電極700。厚度712可由約0.05 cm變化至約1.0 cm,而直徑714可由約250 mm變化至約500 mm。直徑714可取決於欲處理之基板的尺寸。可配置複數個內段部720、複數個中段部730、和複數個外段部740於安裝組件710中。內段部720之寬度722可由約5 mm變化至約40 mm;內段部720之長度724可由約10 mm變化至約100 mm;而內段部720之厚度726可由約1 mm變化至約5 mm。中段部730之寬度732可由約5 mm變化至約40 mm;中段部730之長度734可由約10 mm變化至約100 mm;而中段部730之厚度736可由約1 mm變化至約5 mm。外段部740之寬度742可由約5 mm變化至約40 mm;外段部740之長度744可由約10 mm變化至約100 mm;而外段部740之厚度746可由約1 mm變化至約5 mm。或者,可使用不同數目之段部和不同的配置。
圖中展示可用以提供輸入信號、提供輸入電力和/或接收輸出信號之複數個輸入/外部元件760和複數個控制元件750。圖中亦展示可用以接收輸入信號、控制輸入電力和/或產生輸出信號之複數個控制元件750。此外,圖中展示可用以與輸入/外部元件760、複數個控制元件750、內段部720、中段部730、和外段部740相連接之複數個信號/匯流排755。可對DC信號、AC信號、數位信號、RF信號、微波信號、和/或控制信號來配置信號/匯流排755。
在其它實施例中,化學增幅材料可包含:高分子樹脂、用以對非光學活化源提供敏感性之非光酸產生劑(NPAG)、用以於曝光前後提供溶解度轉換之溶解抑制劑、用以在曝光至具有一個以上波長之光源後增強EFE材料之顯影特性之一個以上的成分。溶解抑制劑可與EFE成分一起使用,且可為酸不穩定基保護單體的寡聚合物。例如,非光學活化源可包含化學活化劑、電活化劑、熱活化劑、和/或壓力活化劑。
在一些實施例中,當E-FEL中之第一層處於第一顯影態時,不能使用氫氧化四甲銨(tetra methylammonium hydroxide,TMAH)水溶液來顯影第一層;而當第一層處於第二顯影態時,可使用0.26 N之氫氧化四甲銨(TMAH)水溶液來顯影第一層。顯影速率可取決於鹼性顯影劑溶液和高分子鏈中的酸之間的化學反應。此化學反應可被模型化為反應限制製程,且模型化輸入可包含高分子之結構和EFE材料之結構。
在一些實施例中,E-FEL材料可包含鹼性添加劑、溶解抑制劑、抗條紋劑、塑化劑、速度增進劑、填充劑、或潤濕劑、或前述之組合。
當製造E-FEL時,可檢視使用有機和無機材料之間的得失。當製造E-FEL時,可分析光學吸收、特徵部CD輪廓、CD一致性、線路邊緣和側壁粗糙度、和在掃描式電子顯微鏡(SEM)檢視下縮減之線路特徵部,以及分析特性。
此外,可將E-FEL材料和/或ARC材料加入旋塗式材料,例如旋塗式玻璃(spin-on glass,SOG)材料。旋塗式玻璃材料的例子可包含甲基矽氧烷(methylsiloxane)、甲基矽酸鹽(methylsilsesquioxane)、苯基矽氧烷(phenylsiloxane)、苯基矽酸鹽(phenylsilsesquioxane)、甲基苯基矽氧烷(methylphenylsiloxane)、甲基苯基矽酸鹽(methylphenyl-silsesquioxane)、和矽酸高分子,且旋塗式玻璃合成物可溶解於適當的溶劑中以形成塗佈溶液,並且可於半導體裝置之製造期間被塗佈在不同的材料層上。
在不同的實施例中,可於不同的處理步驟期間活化EFE材料,並可藉由產生接近E-FED程序期間和/或之後的E-FEL結構配置之晶格式模型來模擬EFE行為。此外,亦可模型化於處理期間之溶劑蒸發效應和薄膜收縮。可使用一些市售的軟體套件來模型化和/或模擬EFE材料之溶解和光學特性。可使用不同的成像來源、不同的EFE材料、不同的光罩、和不同的層結構配置來執行模型化和/或模擬。此外,可在寬和/或窄波長範圍間執行模型化和/或模擬,且可使用轉換來增進準確性和/或減少計算時間。可即時執行模型化和/或模擬,且可對不同的EFE材料產生預測模型和地圖。此外,可即時執行模型化和/或模擬,且可對不同的轉換材料產生熱模型和地圖。藉由使用固定的或可變的顯影時間和/或固定的或可變的熱處理時間可控制和/或最佳化增強特性。這些時間可取決於需要用以完成EFE材料之去保護和/或活化的時間。當去保護和/或活化發生時,E-FEL的溶解性質即改變。
在一些實施例中,可使用0.26 N之氫氧化四甲銨(TMAH)水溶液來顯影E-FEL之增強層,且曝光之轉換材料的溶解度可取決於鹼性顯影劑溶液與高分子鏈中的酸之間的化學反應。此化學反應可被模型化為反應限制製程,且模型化輸入可包含高分子之結構和轉換材料之結構。
當使用光學量測技術來量測曝光或顯影之E-FEL時,在其它波長處之E-FEL的光學特性亦為重要的。E-FEL之成像成分未限定於任何特定之成像高分子的使用。在一些實施例中,成像高分子可為具有酸不穩定性之懸掛基(pendant groups)的酸敏感性高分子,其中懸掛基可在於曝光期間產生之酸的存在下被切去。或者,切去可發生在熱處理步驟期間。
酸不穩定性保護成份的例子可包含三級烷基(或環烷基)酯類(例如三級丁基、甲基環戊基(methyl cyclopentyl)、甲基環己基(methyl cyclohexyl)、和甲基金鋼烷基(methyl adamantly))、縮酮類(ketals)、和縮醛類(acetals)。
在一些實施例中,E-FEL材料可包含非聚合型矽添加劑,且非聚合型矽添加劑可包含酸不穩定性基。非聚合型矽添加劑的例子可包含:三(三甲基矽基甲基)1,3,5-環己烷三羧酸(Tris(trimethylsilylmethyl) 1,3,5-cyclohexanetricarboxylate,TMSCT)、雙(三甲基矽基甲基) 1,4-環己烷二羧酸(Bis(trimethylsilylmethyl) 1,4-cyclohexanedicarboxylate,TMSCD)、雙(雙(三甲基矽基)甲基) 1,4-環己烷二羧酸(Bis(bis(trimethylsilyl)methyl) 1,4cyclohexane-dicarboxylate,BTSCD)、雙(三(三甲基矽氧基矽基)甲基) 1,4-環己烷二羧酸(Bis(tris(trimethylsiloxysilyl)methyl) 1,4-cyclohexane-dicarboxylate,BSOSCD)、三(三甲基矽氧基矽基)甲基1-金鋼烷羧酸(Tris(trimethylsiloxysilyl)methyl 1-adamantanecarboxylate,SOSAC)、2,5-雙(三甲基矽基甲基-羧氧基)-2,5-二甲基己烷(2,5-Bis(trimethylsilylmethyl-carboxyloxy)-2,5-dimethylhexane BTSDMH)、或含內酯之非聚合型矽添加劑。
E-FEL材料亦可包含一個以上的輻射敏感性酸產生劑。輻射敏感性酸產生劑的例子可包含修飾之鎓鹽(onium salts),例如三級硫鎓(triaryl sulfonium)或二芳基錪鎓(diaryliodonium)之六氟銻酸(hexafluoroantimonate)、六氟砷酸(hexafluoroarsenates)、三氟甲烷磺酸(triflates)、全氟烷烴磺酸(perfluoroalkane sulfonates,例如全氟甲烷磺酸(perfluoromethane sulfonate)、全氟丁烷磺酸(perfluorobutane sulfonate)、全氟己烷磺酸(perfluorohexane sulfonate)、全氟辛烷磺酸(perfluorooctane sulfonate)等等)、全氟烷基磺醯基醯亞胺(perfluoroalkyl sulfonyl imide)、全氟烷基磺醯基甲基金屬化合物(perfluoroalkyl sulfonyl methide)、全氟芳基磺醯基醯亞胺(perfluoroaryl sulfonyl imide)、全氟芳基磺醯基甲基金屬化合物(perfluoroaryl sulfonyl methide);經取代之芳基磺酸,例如焦五倍子酸(pyrogallols,例如焦五倍子酸之三甲磺酸(trimesylate)或焦五倍子酸之三磺酸)、氫氧化醯亞胺(hydroxyimides)之磺酸酯、N-磺醯氧基萘二甲醯亞胺(N-sulfonyloxynaphthalimides,例如N-樟腦基磺醯氧基萘二甲醯亞胺(N-camphorsulfonyloxynaphthalimide)、N-五氟苯磺醯氧基萘二甲醯亞胺(N--pentafluorobenzenesulfonyloxynaphthalimide))、α-α雙-磺醯基重氮甲烷、萘醌-4-重氮化物(naphthoquinone-4-diazides)、烷基重硫酸鹽或其它。
曝光波長193 nm之酸產生劑的例子可包含鎓鹽和氫氧化醯亞胺之磺酸酯,例如二苯基錪鎓鹽、三苯基硫鎓鹽、二烷基錪鎓鹽、或三烷基硫鎓鹽。用於曝光波長248 nm之酸產生劑的例子可包含鎓鹽,例如二苯基錪鎓鹽、三苯基硫鎓鹽,或氫氧化醯亞胺之磺酸酯。離子性PAGs之額外的例子可包含重氮鹽(diazonium salts)、錪鎓鹽、硫鎓鹽;或者,非離子性PAGs可包含重氮磺醯基(diazosulfonyl)化合物、磺醯氧基醯亞胺(sulfonyloxy imides)、或硝苄基磺酸酯(nitrobenzyl sulfonate esters),儘管可使用任何於光照後產生酸之光敏性化合物。其它可使用之於光照後形成酸之化合物為三氮(triazines)、唑(oxazoles)、二唑(oxadiazoles)、噻唑 (thiazoles)、或經取代之2-哌哢(2-pyrones)。可使用PAGs之混合物,亦可使用離子性和非離子性之PAGs的混合物。
在許多實施例中,E-FEL材料可包含能用以控制擴散處理和增進成像之鹼添加劑。或者,鹼添加劑可被用作轉換材料和可被用以改變E-FEL之溶解特性。鹼的例子可包含胺類、氫氧化銨、或光敏性鹼。此外,鹼添加劑可包含脂肪族或脂環族三級烷基胺、或三級烷基氫氧化銨(例如三級丁基氫氧化銨(TBAH))。其它鹼的例子可包含乳酸四丁基銨(tetrabutylammonium lactate)、或受阻胺(hindered amine)。可使用相對小量之鹼添加劑,例如相對於總固體之約0.03至5的重量百分比。
在一些實施例中,可直接塗佈E-FEL於已經沉積在基板上之平坦化材料上,或者,在其它實施例中,E-FEL可包含平坦化材料。例如,平坦化材料可包含苯乙烯、金鋼烷基丙烯酸(adamantyl acrylate)、和/或環氧丙基丙烯酸(glycidyl acrylate)。
當使用反射係數值來描述E-FEL之特性時,E-FEL可具有可轉換之反射係數值。於曝光前可建立第一組反射係數值,而於曝光後可建立第二組反射係數值。反射係數值可取決於波長。例如,可使用包含進入薄膜之光強度與離開薄膜之光強度的比值來確定反射係數值。抗反射薄膜可具有在曝光波長以外之波長可為減少百分之10的反射係數值。
當使用(n和k)數值來描述E-FEL之特性時,E-FEL可具有可轉換之(n和k)數值組。於曝光前可建立一組(n和k)數值,而於曝光之後可建立另一組(n和k)數值。
當需要一個以上的BARC/ARC薄膜於E-FEL中時,各薄膜可被分開地沉積且可具有與其相關之不同的曝光程序。一個以上的BARC/ARC薄膜可隨後被圖案化和/或用作蝕刻硬光罩。此外,可在沉積BARC層之前、和/或沉積E-FEL之前,沉積經純化之六甲基二矽氮(Hexamethyldisilazane,HMDS)層。
由於可藉由控制含矽薄膜(例如SiON或SiOx 薄膜)之矽含量來確定n和k值,當製造E-FEL材料時可使用含矽材料。例如, 當E-FEL含有多層膜時,可使用具有相容(相配)之光學特性(例如(n)和(k))的兩層含矽薄膜,其中可選擇(n)和(k)值以在靠近曝光波長之波長範圍內提供最小量的反射(即小於1%)。此外,可將一個以上的含矽薄膜圖案化和/或用作蝕刻硬光罩。當E-FEL含有多層膜時,可於曝光之前和期間控制和/或匹配厚度、消光係數和/或折射率以使反射性減至最低;且可藉由於曝光後改變一個以上的消光係數和/或一個以上的折射率來增加反射性。
在一些情況下可使用非芳香族高分子,因為其在波長約193 nm可為實質上不透光的。再者,在較低波長,反射成分變得更為重要,且在較低波長,可使用抗反射塗佈。
染料的例子可包含以下化合物之單體或高分子:三苯基苯酚(triphenylphenol)、2-茀醇(2-hydroxyfluorene)、9-蒽基甲醇(9-anthracene-methanol)、2-甲基菲(2-methylphenanthrene)、2-萘基乙醇(2-naphthalene ethanol)、2-萘基-β-D-乳哌喃糖苷氫化物(2-naphthyl-beta-d-galacto-pyranoside hydride)、羥苯乙烯(hydroxystyrene)、苯乙烯、乙醯氧基苯乙烯(acetoxystyrene)、甲基丙烯酸苄酯(benzyl methacrylate)、N-甲基順丁烯二亞醯胺(N-methyl maleimide)、苯甲酸乙烯酯(vinyl benzoate)、4-三級丁基苯甲酸乙烯酯(vinyl 4-tert-butylbenzoate)、乙二醇苯基醚丙烯酸酯(ethylene glycol phenyl ether acrylate)、丙烯酸苯氧基丙基酯(phenoxypropyl acrylate)、苄基甲二羥戊酸內酯之順丁烯二酸酯(benzyl mevalonic lactone ester of maleic acid)、2-羥基-3-丙烯酸苯氧基丙基酯(2-hydroxy-3-phenoxypropyl acrylate)、甲基丙烯酸苯酯(phenyl methacrylate)、甲基丙烯酸苄酯(benzyl methacrylate)、9-甲基丙烯酸蒽基甲基酯(9-anthracenylmethyl methacrylate)、9-乙烯蒽(9-vinyl-anthracene)、2-乙烯萘(2-vinylnaphthalene)、N-乙烯酞醯亞胺(N-vinylphthalimide)、N-(3-羥基)苯基甲基丙烯醯胺(N-(3-hydroxy)phenyl methacrylamide)、N-(3-羥基-4-羥羰基苯偶氮基)苯基甲基丙烯醯胺(N-(3--hydroxy-4-hydroxycarbonylphenylazo)phenyl methacrylamide)、 N-(3-羥基-4-乙氧羰基苯偶氮基)苯基甲基丙烯醯胺(N-(3-hydroxyl-4-ethoxycarbonylphenylazo)phenyl methacrylamide)、N-(2,4-二硝苯胺苯基)順丁烯二亞醯胺(N-(2,4-dinitrophenylaminophenyl)maleimide)、3-(4-乙醯胺苯)偶氮基-4-羥苯乙烯(3-(4-acetoaminophenyl)azo-4-hydroxystyrene)、3-(4-乙氧羰基苯)偶氮基-乙醯乙醯氧基甲基丙烯酸乙酯(3-(4-ethoxycarbonylphenyl)azo-acetoacetoxy ethyl methacrylate)、3-(4-羥苯)偶氮基-乙醯乙醯氧基甲基丙烯酸乙酯(3-(4-hydroxyphenyl)azo-acetoacetoxy ethyl methacrylate)、或3-(4-磺酸基苯)偶氮基乙醯乙醯氧基甲基丙烯酸乙酯(3-(4-sulfophenyl)azoacetoacetoxy ethyl methacrylate)之硫酸四氫銨鹽。
可使用習知程序來沉積可轉換材料之成份和/或E-FELs。例如,可使用噴霧塗佈法、旋轉塗佈法、浸漬塗佈法、滾筒塗佈法、或其它習知沉積技術。一個以上的E-FEL材料可溶解於溶劑中,且可以在乾燥步驟中去除溶劑和/或殘餘物。溶劑的例子可包含:丙二醇單烷基醚(propylene glycol mono-alkyl ether)、丙二醇烷基(例如甲基)醚醋酸(propylene glycol alkyl ether acetate)、2-庚酮(2-heptanone)、3-甲氧基-3-甲基丁醇(3-methoxy-3-methyl butanol)、醋酸丁酯、苯甲醚、二甲苯、二甘二甲醚(diglyme)、乙二醇單乙基醚醋酸(ethylene glycol monoethyl ether acetate)、乙二醇單甲基醚(ethylene glycol monomethyl ether)、乙二醇單乙基醚(ethylene glycol monoethyl ether)、雙乙二醇單乙基醚(diethylene glycol monoethyl ether)、乙二醇單乙基醚醋酸(ethylene glycol monoethyl ether acetate)、乙二醇單甲基醋酸(ethylene glycol monomethyl acetate)、甲基乙基酮(methyl ethyl ketone)、或單氧單羧酸酯(monooxymonocarboxylic acid ester),例如氧基乙酸甲酯(methyl oxyacetate)、氧基乙酸乙酯、氧基乙酸丁酯、甲氧基乙酸甲酯(methyl methoxyacetate)、甲氧基乙酸乙酯、甲氧基乙酸丁酯、乙氧基乙酸甲酯(methyl ethoxyactetate)、乙氧基乙酸乙酯、乙氧基丙酸乙酯 (ethoxy ethyl propionate)、3-氧基丙酸甲酯(methyl 3-oxypropionate)、3-氧基丙酸乙酯、3-甲氧基丙酸甲酯(methyl 3-methoxypropionate)、3-甲氧基丙酸乙酯、2-氧基丙酸甲酯(methyl 2-oxypropionate)、2-氧基丙酸乙酯、2-羥基丙酸乙酯(乙基乳酸)、3-羥基丙酸乙酯(ethyl 3-hydroxypropionate)、2-氧基丙酸丙酯、2-乙氧基丙酸甲酯、或2-甲氧基丙酸丙酯(propyl 2-methoxy propionate)、或前述之組合。此外,E-FEL可含有溶劑和鹼添加劑。此外,溶劑可包含丙二醇單甲基醚醋酸和/或環己酮(cyclohexanone)。
此外,一個以上的苯基可作為在波長193 nm以上之發色團,且可藉由鍵結正確的苯基至高分子來提供可轉換之特性。
碳環芳香族基的例子可包含含有分開和/或稠合之芳香族基的多環化合物。例如,碳環芳香族基可包含苯基;包含1-萘基和2-萘基之萘基;聯苯基;菲基(phenanthryl);蒽基(anthracyl);和苊基(acenaphthyl)。
敏化劑化合物的例子可包含芳香族系統(雜環芳香族和碳環芳香族兩者),其包含含有分開和/或稠合之多環芳香族系統之化合物。此外,敏化劑化合物可為富電子的並且包含具有1至約20個碳原子之一個以上的推電子基化合物。
酸產生化合物的例子可包含具有陽離子成分之硫鎓和錪鎓化合物,其中陽離子成分包含一個以上的萘基、噻吩基(thienyl)或五氟苯基之取代基,或者,陽離子成分具有如噻吩基、苯基噻吩(benzothiophenium)等之硫環基。
在一些實施例中,可以使用化學增幅型陰性成分和/或化學增幅型陽性成分來製造E-FEL材料。可包含例如胺類之鹼添加劑,並可包含含有酯類之溶劑。在額外的實施例中,E-FEL材料可包含光活化成分和包含高分子之樹脂成分,其中高分子包含具有脂環族基、腈基、內酯基、和溶解度轉換基之酸不穩定酯基。
本發明之發明人苦思出一群不同的高分子、包含這些高分子之新的可轉換之BARC合成物,以及使用這些新的可轉化之合成 物來製造微電子裝置之方法。
不同的能量需用於本文中敘述之去阻隔處理,且此所需之能量於本領域中被稱做活化能。可增加酸強度和/或溫度以提供較大的活化能。
阻隔基之例子可具有約80(g/mol)至約120(g/mol)之平均分子量,並包含6至8個碳原子。不同的阻隔基可需要不同的酸濃度和/或不同的熱量來從高分子/樹脂上脫離。
吸收發色團的例子可包含經取代和未取代之苯基、和含有選自氧、氮、硫和其組合之雜原子之經取代和未取代之雜環芳香族環。此外,吸收發色團的例子可包含含有碳氫芳香族環之化合物、經取代和未取代之苯基、經取代和未取代之蒽基、經取代和未取代之菲基、經取代和未取代之萘基、和含有選自氧、氮、硫和其組合之雜原子之經取代和未取代之雜環芳香族環。
此外,非可轉換之BARC/ARC層可包含染料、發色團、敏化劑、增進劑、或色素、或前述之組合,且可使用一個以上的這些成分來建立和/或改變BARC/ARC之溶解和/或光學特性。
在另外一些實施例中,E-FEL材料可包含具有一個以上發色團之複數個交聯高分子微粒。高分子微粒可具有由約1 nm至約50 nm之平均粒徑。
在其它實施例中,可使用驗證雙重圖案(D-P)模型和驗證D-P處理順序來處理一個以上的基板。當使用驗證D-P模型時,可於測試基板上產生一個以上驗證雙重曝光結構,而當檢查測試基板時,可使用測試參考週期性結構。在檢查期間,可從測試參考週期性結構獲得檢查資料。可從包含驗證結構和相關資料之量測資料庫來選擇最佳評估結構和相關最佳評估資料。可計算測試參考週期性結構和來自資料庫之最佳評估結構間之一個以上的差異,此差異可與匹配條件、產生條件、或產品規範、或前述之任何組合相比較。當使用匹配條件時,測試參考週期性結構可被認定為資料庫的一部分,並且若符合或超過匹配條件時測試基板可被認定為參考「黃金」基板。當使用產生條件時,測試參考週期性結 構可被認定為資料庫之新的部分,並且若符合產生條件時測試基板可被認定為驗證參考基板。當使用產品規範資料時,測試參考週期性結構可被認定為驗證結構,且若符合一個以上的產品規範時測試基板可被認定為驗證產品基板。若未符合一個以上的條件或產品規範時,可施以改正行動。可使用測試參考結構資料和最佳評估結構資料對測試參考結構建立D-P相關信賴資料和/或風險資料。例如,評估資料庫資料可包含適切度(goodness of fit,GOF)資料、產生規則資料、量測資料、檢視資料、驗證資料、地圖資料、信賴資料、準確性資料、處理資料、或一致性資料、或前述之任何組合。
在一些實施例中,可將D-P基板之各別和/或總信賴值比對各別和/或總信賴極限。若符合一個以上的信賴極限時,對一組D-P基板的處理可繼續;或者,若未符合一個以上的信賴極限時可施行改正行動。改正行動可包含對D-P基板組中之一個以上額外的基板建立信賴值、比較對一個以上額外的基板之信賴值與額外的信賴極限;以及若符合一個以上額外的信賴極限時,繼續處理D-P基板組,或者若未符合一個以上額外的信賴極限時停止處理。
在其它實施例中,可將D-P基板之各別和/或總風險值比對各別和/或總風險極限。若符合一個以上的風險極限時,對一組D-P基板的處理可繼續;或者,若未符合一個以上的風險極限時可施行改正行動。改正行動可包含對D-P基板組中之一個以上額外的基板建立風險值、比較對一個以上額外的基板之風險值與額外的風險極限;以及若符合一個以上額外的風險極限時,繼續處理D-P基板組,或者若未符合一個以上額外的風險極限時則停止處理。
可基於來自標準化熱點量測之預測產率使用模擬對比資料,以產生、精煉、和驗證用於特定積體電路層之電路設計或佈局規則。可藉由應用馬克士威方程式(Maxwell's equation)和使用可以解馬克士威方程式之數值分析技術來產生模擬量測資料。
在一些實施例中,可產生用以量化E-FEL資料和缺陷產生間之一個以上關係的模擬模型。這些E-FEL模擬模型可由特定的處 理組加以標準化,並可用以產生較高的產率。
雖然在上述細節中僅僅描述了本發明的某些實施例,熟悉此技藝者應當很容易了解,在未實質偏離本發明的新穎教示及優點下,實施例可能有許多的變化。因此,所有此類的變化應當包含於本發明之範圍內。
因此,本文之敘述並非用以限制本發明,其係在已了解到實施例之修正與變化亦為可能之前提下來描述本發明之配置、操作和運行,而提供呈現於本文中之細節程度。據此,先前之詳述在任何情況下皆非意指或用來限制本發明,而本發明之範疇係由隨附之申請專利範圍界定。
100‧‧‧處理系統
105‧‧‧基板
110‧‧‧微影子系統
111‧‧‧處
112‧‧‧傳輸/儲存元件
113‧‧‧E-FEL相關處理元件
114‧‧‧控制器
115‧‧‧E-FEL相關評估元件
120‧‧‧掃描器子系統
121‧‧‧處
122‧‧‧傳輸/儲存元件
123‧‧‧處理元件
124‧‧‧控制器
125‧‧‧評估元件
130‧‧‧蝕刻子系統
131‧‧‧處
132‧‧‧傳輸/儲存元件
133‧‧‧處理元件
134‧‧‧控制器
135‧‧‧評估元件
140‧‧‧沉積子系統
141‧‧‧處
142‧‧‧傳輸/儲存元件
143‧‧‧處理元件
144‧‧‧控制器
145‧‧‧評估元件
150‧‧‧電場增強顯影(E-FED)子系統
151‧‧‧處
152‧‧‧傳輸/儲存元件
153‧‧‧E-FED元件
154‧‧‧控制器
155‧‧‧評估元件
160‧‧‧評估子系統
161‧‧‧處
162‧‧‧傳輸/儲存元件
163‧‧‧量測元件
164‧‧‧控制器
165‧‧‧檢視元件
170‧‧‧傳輸子系統
174‧‧‧傳輸元件
175,176,177‧‧‧傳輸軌道
180‧‧‧製造執行系統(MES)
181‧‧‧資料傳輸子系統
190‧‧‧系統控制器
191‧‧‧資料傳輸子系統
195‧‧‧記憶體/資料庫
200‧‧‧程序
205‧‧‧接收第一組基板
210‧‧‧沉積一個以上的目標層
215‧‧‧沉積底部抗反射塗(BARC)層
220‧‧‧沉積第一電場增強(EFE)層
225‧‧‧沉積第一活性物種層
230‧‧‧沉積第一頂部塗層
235‧‧‧使用第一曝光程序在第一活性物種層中產生帶電物種之第一圖案
240‧‧‧執行第一增強程序以轉印在第一活性物種層中之第一圖案至第一EFE層,用以產生單一圖案化EFE層
245‧‧‧移除第一活性物種層和第一頂部塗層
250‧‧‧沉積第二活性物種層
255‧‧‧沉積第二頂部塗層
260‧‧‧使用第二曝光程序在第二活性物種層中產生帶電物種之 第二圖案
265‧‧‧執行第二增強程序以轉印在第二活性物種層中之第二圖案至第一EFE層,用以產生雙重圖案化EFE層
270‧‧‧顯影雙重圖案化EFE層
275‧‧‧獲得評估資料
280‧‧‧是否評估資料落在極限範圍中?
285‧‧‧處理額外的基板
290‧‧‧執行改正行動
301‧‧‧第一遮罩/初縮遮罩
302‧‧‧輻射光源
305‧‧‧第二遮罩/初縮遮罩
306‧‧‧第二輻射光源
310‧‧‧基板
311‧‧‧事先建立層
312‧‧‧目標層
313‧‧‧底部抗反射層
314‧‧‧未圖案化電場增強層(E-FEL)
315‧‧‧第一活性物種層
316‧‧‧第一頂部塗層
320‧‧‧第一曝光基板
325‧‧‧第一曝光活性物種層
329‧‧‧第一活化區
330‧‧‧電場增強基板
334‧‧‧圖案化E-FEL
335‧‧‧第一事先曝光活性物種層
338‧‧‧第一可溶區
339‧‧‧第一活化區
340‧‧‧單一圖案化基板
344‧‧‧單一圖案化E-FEL
348‧‧‧第一填充區
350‧‧‧事先處理基板
355‧‧‧第二活性物種層
356‧‧‧第二頂部塗層
360‧‧‧第二曝光基板
365‧‧‧第二曝光活性物種層
369‧‧‧第二活化區
370‧‧‧雙重增強基板
374‧‧‧雙重圖案化E-FEL
375‧‧‧第二事先曝光活性物種層
378‧‧‧第二可溶區
379‧‧‧第二活化區
380‧‧‧雙重圖案化基板
384‧‧‧顯影雙重圖案化E-FEL
387‧‧‧第一顯影遮罩特徵部
388‧‧‧第二顯影遮罩特徵部
400‧‧‧程序
405‧‧‧接收第一組圖案化基板
410‧‧‧沉積一個以上的目標層
415‧‧‧沉積底部抗反射塗(BARC)層
420‧‧‧沉積第一電場增強(EFE)層
425‧‧‧沉積第一活性物種層
430‧‧‧沉積第一頂部塗層
435‧‧‧使用第一曝光程序在第一活性物種層中產生帶電物種之第一圖案
440‧‧‧執行第一增強程序以轉印在第一活性物種層中之第一圖案至第一EFE層,用以產生單一圖案化EFE層
445‧‧‧移除第一活性物種層和第一頂部塗層
450‧‧‧沉積第二活性物種層
455‧‧‧沉積第二頂部塗層
460‧‧‧使用第二曝光程序在第二活性物種層中產生帶電物種之 第二圖案
465‧‧‧執行第二增強程序以轉印在第二活性物種層中之第二圖案至第一EFE層,用以產生雙重圖案化EFE層
470‧‧‧顯影雙重圖案化EFE層
475‧‧‧獲得評估資料
480‧‧‧是否評估資料落在極限範圍中?
485‧‧‧處理額外的基板
490‧‧‧執行改正行動
501‧‧‧第一遮罩/初縮遮罩
502‧‧‧輻射光源
505‧‧‧第二遮罩/初縮遮罩
506‧‧‧第二輻射光源
509‧‧‧含金屬層
510‧‧‧圖案化基板
511‧‧‧事先建立層
512‧‧‧目標層
513‧‧‧底部抗反射層
514‧‧‧未圖案化電場增強層(E-FEL)
515‧‧‧第一活性物種層
516‧‧‧第一頂部塗層
520‧‧‧第一曝光基板
525‧‧‧第一曝光活性物種層
529‧‧‧第一活化區
530‧‧‧電場增強基板
534‧‧‧圖案化E-FEL
535‧‧‧第一事先曝光活性物種層
538‧‧‧第一可溶區
539‧‧‧第一活化區
540‧‧‧單一圖案化基板
544‧‧‧單一圖案化E-FEL
548‧‧‧第一填充區
550‧‧‧基板
555‧‧‧第二活性物種層
556‧‧‧第二頂部塗層
560‧‧‧第二曝光基板
565‧‧‧第二曝光活性物種層
569‧‧‧第二活化區
570‧‧‧雙重增強基板
574‧‧‧雙重圖案化E-FEL
575‧‧‧第二事先曝光活性物種層
578‧‧‧第二可溶區
579‧‧‧第二活化區
580‧‧‧雙重圖案化基板
584‧‧‧顯影雙重圖案化E-FEL
587‧‧‧第一顯影遮罩特徵部
588‧‧‧第二顯影遮罩特徵部
600‧‧‧E-FED子系統
605‧‧‧基板
610‧‧‧處理反應室
615‧‧‧處理空間
620‧‧‧基板支座
621‧‧‧下電極
625‧‧‧溫控元件
627‧‧‧多區加熱器組件
626‧‧‧背部供氣系統
628‧‧‧溫控系統
629‧‧‧底座
630‧‧‧電壓源
636‧‧‧室饋通組件
640‧‧‧電源供應器
645‧‧‧多重分段上電極
646‧‧‧區段
647‧‧‧電場
650‧‧‧感應器
655‧‧‧控制器
657‧‧‧真空抽氣系統
658‧‧‧真空泵
659‧‧‧閘閥
660‧‧‧流體供應系統
665‧‧‧分配系統
670‧‧‧供氣系統
672‧‧‧流量控制系統
675‧‧‧氣體注入系統
700‧‧‧多重分段電極
710‧‧‧安裝組件
712‧‧‧厚度
714‧‧‧直徑
720‧‧‧內段部
722‧‧‧內段部之寬度
724‧‧‧內段部之長度
726‧‧‧內段部之厚度
730‧‧‧中段部
732‧‧‧中段部之寬度
734‧‧‧中段部之長度
736‧‧‧中段部之厚度
740‧‧‧外段部
742‧‧‧外段部之寬度
744‧‧‧外段部之長度
746‧‧‧外段部之厚度
750‧‧‧控制元件
755‧‧‧信號/匯流排
760‧‧‧輸入/外部元件
本發明之實施例僅以例示為目的來參照隨附之概要圖式被加以描述,圖式中對應之參考符號表示對應之部件,而其中:圖1為依照本發明之實施例,展示處理系統之一例示方塊圖;圖2為依照本發明之實施例,展示使用電場增強層(E-FELs)之雙重圖案(D-P)處理順序的簡化流程圖;圖3A至3H為依照本發明之實施例,展示在雙重圖案(D-P)程序中之例示步驟的簡化代表圖;圖4為依照本發明之實施例,展示使用電場增強層(E-FELs)之另一雙重圖案(D-P)處理順序的簡化流程圖;圖5A至5H為依照本發明之實施例,展示在另一雙重圖案(D-P)程序中之例示步驟的簡化代表圖;圖6為依照本發明之實施例,展示電場增強顯影(E-FED)子系統之例示方塊圖;以及圖7為依照本發明之實施例,展示用於電場增強顯影(E-FED)子系統之多重分段電極的簡化方塊圖。
200...程序
205...接收第一組基板
210...沉積一個以上的目標層
215...沉積底部抗反射塗(BARC)層
220...沉積第一電場增強(EFE)層
225...沉積第一活性物種層
230...沉積第一頂部塗層
235...使用第一曝光程序在第一活性物種層中產生帶電物種之第一圖案
240...執行第一增強程序以轉印在第一活性物種層中之第一圖案至第一EFE層,用以產生單一圖案化EFE層
245...移除第一活性物種層和第一頂部塗層
250...沉積第二活性物種層
255...沉積第二頂部塗層
260...使用第二曝光程序在第二活性物種層中產生帶電物種之第二圖案
265...執行第二增強程序以轉印在第二活性物種層中之第二圖案至第一EFE層,用以產生雙重圖案化EFE層
270...顯影雙重圖案化EFE層
275...獲得評估資料
280...是否評估資料落在極限範圍中
285...處理額外的基板
290...執行改正行動

Claims (18)

  1. 一種基板處理方法,包含:接收複數個未圖案化基板與相關之基板資料,各該未圖案化基板具有一個以上的事先建立層於其上;沉積至少一目標層於該一個以上之事先建立層上;沉積一底部抗反射塗(BARC)層於一第一組基板上之該至少一個目標層上;沉積一電場增強層(E-FEL)於該BARC層上,其中該E-FEL包含一非光敏性遮罩材料;沉積一第一活性物種層於該E-FEL上,其中該第一活性物種層包含一第一光敏性遮罩材料;沉積一第一頂部塗層於該第一活性物種層上;使用一第一初縮遮罩和一第一輻射源曝光該第一活性物種層,其中使第一帶電物種區之一第一圖案產生於該第一活性物種層中;藉由建立橫越該第一活性物種層與該E-FEL之一第一電壓差,而在該E-FEL中產生一第一增強圖案,其中藉由將該第一活性物種層中之該第一圖案轉印至一單一圖案化E-FEL,而於該單一圖案化E-FEL中產生複數個第一可溶區,該第一電壓差之範圍為由約0.1伏特至約5000伏特;移除該第一頂部塗層與該第一活性物種層;沉積一第二活性物種層於具有該第一圖案之該單一圖案化E-FEL上,其中該第二活性物種層包含一第二光敏性遮罩材料;沉積一第二頂部塗層於該第二活性物種層上;使用一第二初縮遮罩和一第二輻射源曝光該第二活性物種層,其中使第二帶電物種區之一第二圖案產生於該第二活性物種層中;藉由建立橫越該第二活性物種層與該E-FEL之一第二電壓差,而在該E-FEL中產生一第二增強圖案,其中藉由將該第二活性物種層中之該第二圖案轉印至一雙重圖案化E-FEL,而於該雙重圖案化E-FEL中產生複數個第二可溶區,該第二電壓差之範圍 為由約0.1伏特至約5000伏特;以及顯影該第一可溶區與該第二可溶區,其中係產生一第一組雙重圖案化基板。
  2. 如申請專利範圍第1項所述之基板處理方法,更包含:獲得對該第一組雙重圖案化基板之至少其中一個的評估資料,其中該評估資料係包含微粒資料、損害資料、橋接資料、關鍵尺寸(critical dimension,CD)資料、側壁傾角(sidewall angle,SWA)資料、厚度資料、處理資料、或反射係數資料、或其任何組合;當該評估資料小於一第一雙重圖案(D-P)極限時,將該第一組雙重圖案化基板識別為驗證基板;以及當該評估資料不小於該第一D-P極限時,執行一改正行動。
  3. 如申請專利範圍第2項所述之基板處理方法,其中執行該改正行動係包含:移除於一個以上之該第一組雙重圖案化基板上之該雙重圖案化E-FEL;清潔一個以上之該第一組雙重圖案化基板;洗滌一個以上之該第一組雙重圖案化基板;沖洗一個以上之該第一組雙重圖案化基板;或加熱一個以上之該第一組雙重圖案化基板;或其任何組合。
  4. 如申請專利範圍第1項所述之基板處理方法,其中於沉積該BARC層之前,沉積一底部導電層於一個以上之該複數個未圖案化基板上。
  5. 如申請專利範圍第1項所述之基板處理方法,其中該BARC層、該第一頂部塗層、或該第二頂部塗層、或其任何組合係包含一個以上的導電材料。
  6. 如申請專利範圍第1項所述之基板處理方法,其中一頂部抗反射塗(TARC)層係沉積於該第一頂部塗層和/或該第二頂部塗層 上。
  7. 如申請專利範圍第1項所述之基板處理方法,其中於沉積該BARC層之前、和/或沉積該E-FEL之前,沉積一經純化之六甲基二矽氮(Hexamethyldisilazane,HMDS)層。
  8. 如申請專利範圍第1項所述之基板處理方法,其中係藉由自該第一活性物種層之至少一材料中之一高分子結構移除至少一基團,以在該第一活性物種層中產生第一帶電物種區之該第一圖案,而第一帶電物種區之該第一圖案係包含一酸、一鹼、或一離子、或其組合,其中該至少一基團包含一染料、一發色團、一敏化劑、一間隔物、一增進劑、一色罩、或一色素、或其組合。
  9. 如申請專利範圍第1項所述之基板處理方法,其中係藉由增加至少一基團至該第一活性物種層之至少一材料中之一高分子結構,以在該第一活性物種層中產生第一帶電物種區之該第一圖案,而第一帶電物種區之該第一圖案係包含一酸、一鹼、或一離子、或其組合,其中該至少一基團包含一染料、一發色團、一敏化劑、一間隔物、一增進劑、一色罩、或一色素、或其組合。
  10. 如申請專利範圍第1項所述之基板處理方法,其中係藉由自該第二活性物種層之至少一材料中之一高分子結構移除至少一基團,以在該第二活性物種層中產生第二帶電物種區之該第二圖案,而第二帶電物種區之該第二圖案係包含一酸、一鹼、或一離子、或其組合,其中該至少一基團包含一染料、一發色團、一敏化劑、一間隔物、一增進劑、一色罩、或一色素、或其組合。
  11. 如申請專利範圍第1項所述之基板處理方法,其中係藉由增加至少一基團至該第二活性物種層之至少一材料中之一高分子結構,以在該第二活性物種層中產生第二帶電物種區之該第二圖 案,其中第二帶電物種區之該第二圖案係包含一酸、一鹼、或一離子、或其組合。
  12. 如申請專利範圍第1項所述之基板處理方法,其中該第一活性物種層係包含一非增幅型酸產生性高分子和/或一非增幅型鹼產生性高分子。
  13. 如申請專利範圍第1項所述之基板處理方法,其中該第二活性物種層係包含一非增幅型酸產生性高分子和/或一非增幅型鹼產生性高分子。
  14. 如申請專利範圍第1項所述之基板處理方法,該第一電壓差在該E-FEL中建立一第一導向去保護處理,其中該第一導向去保護處理係在第一帶電物種區之該第一圖案下方之該E-FEL的頂部處被啟動,並且繼續沿著一第一方向而遍及該E-FEL,藉此於該單一圖案化E-FEL中產生該第一可溶區;該第二電壓差在該單一圖案化E-FEL中建立一第二導向去保護處理,其中該第二導向去保護處理係在第二帶電物種區之該第二圖案下方之該單一圖案化E-FEL的頂部處被啟動,並且繼續沿著一第二方向而遍及該單一圖案化E-FEL,藉此在該雙重圖案化E-FEL中產生該第二可溶區,而該第二方向係實質上平行於該第一方向。
  15. 如申請專利範圍第1項所述之基板處理方法,該第一電壓差在該E-FEL中建立一第一導向切去(cleaving)處理,其中該第一導向切去處理係在第一帶電物種區之該第一圖案下方之該E-FEL的頂部處被啟動,並且繼續沿著一第一方向而遍及該E-FEL,藉此於該單一圖案化E-FEL中產生該第一可溶區;該第二電壓差在該單一圖案化E-FEL中建立一第二導向切去處理,其中該第二導向切去處理係在第二帶電物種區之該第二圖案下方之該單一圖案化E-FEL的頂部處被啟動,並且繼續沿著一第二方向而遍及該單一 圖案化E-FEL,藉此在該雙重圖案化E-FEL中產生該第二可溶區,而該第二方向係實質上平行於該第一方向。
  16. 如申請專利範圍第1項所述之基板處理方法,該第一電壓差在該E-FEL中建立一第一導向交聯(cross-linking)處理,其中該第一導向交聯處理係在之第一帶電物種區之該第一圖案下方之該E-FEL的頂部處被啟動,並且繼續沿著一第一方向而遍及該E-FEL,藉此於該單一圖案化E-FEL中產生該第一可溶區;該第二電壓差在該單一圖案化E-FEL中建立一第二導向交聯處理,其中該第二導向交聯處理係在第二帶電物種區之該第二圖案下方之該單一圖案化E-FEL的頂部處被啟動,並且繼續沿著一第二方向而遍及該單一圖案化E-FEL,藉此在該雙重圖案化E-FEL中產生該第二可溶區,而該第二方向係實質上平行於該第一方向。
  17. 如申請專利範圍第1項所述之基板處理方法,該第一電壓差在該E-FEL中建立一第一導向去聯結(de-linking)處理,其中該第一導向去聯結處理係在第一帶電物種區之該第一圖案下方之該E-FEL的頂部處被啟動,並且繼續沿著一第一方向而遍及該E-FEL,藉此於該單一圖案化E-FEL中產生該第一可溶區;該第二電壓差在該單一圖案化E-FEL中建立一第二導向去聯結處理,其中該第二導向去聯結處理係在第二帶電物種區之該第二圖案下方之該單一圖案化E-FEL的頂部處被啟動,並且繼續沿著一第二方向而遍及該單一圖案化E-FEL,藉此在該雙重圖案化E-FEL中產生該第二可溶區,而該第二方向係實質上平行於該第一方向。
  18. 如申請專利範圍第1項所述之基板處理方法,該第一電壓差在該E-FEL中建立一第一導向去阻隔(de-blocking)處理,其中該第一導向去阻隔處理係在第一帶電物種區之該第一圖案下方之該E-FEL的頂部處被啟動,並且繼續沿著一第一方向而遍及該E-FEL,藉此於該單一圖案化E-FEL中產生該第一可溶區;該第 二電壓差在該單一圖案化E-FEL中建立一第二導向去阻隔處理,其中該第二導向去阻隔處理係在第二帶電物種區之該第二圖案下方之該單一圖案化E-FEL的頂部處被啟動,並且繼續沿著一第二方向而遍及該單一圖案化E-FEL,藉此在該雙重圖案化E-FEL中產生該第二可溶區,而該第二方向係實質上平行於該第一方向。
TW099109964A 2009-03-31 2010-03-31 用於雙重圖案成形之電場導向曝光後烘烤 TWI412900B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/415,505 US8097402B2 (en) 2009-03-31 2009-03-31 Using electric-field directed post-exposure bake for double-patterning (D-P)

Publications (2)

Publication Number Publication Date
TW201109846A TW201109846A (en) 2011-03-16
TWI412900B true TWI412900B (zh) 2013-10-21

Family

ID=42784700

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099109964A TWI412900B (zh) 2009-03-31 2010-03-31 用於雙重圖案成形之電場導向曝光後烘烤

Country Status (3)

Country Link
US (1) US8097402B2 (zh)
TW (1) TWI412900B (zh)
WO (1) WO2010114716A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5171422B2 (ja) * 2008-06-19 2013-03-27 ルネサスエレクトロニクス株式会社 感光性組成物、これを用いたパターン形成方法、半導体素子の製造方法
US9760008B2 (en) * 2013-12-05 2017-09-12 Tokyo Electron Limited Direct current superposition freeze
US9733579B2 (en) 2014-10-15 2017-08-15 Applied Materials, Inc. Tooling configuration for electric/magnetic field guided acid profile control in a photoresist layer
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
US9823570B2 (en) 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US9927709B2 (en) 2015-10-02 2018-03-27 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
JP2017111092A (ja) * 2015-12-18 2017-06-22 株式会社フジクラ 光学素子および光学装置、光学素子および光学装置の検査装置、並びに光学素子および光学装置の検査方法
KR102471161B1 (ko) * 2015-12-23 2022-11-25 삼성전자주식회사 선택적 증착 층을 이용한 반도체 소자 형성 방법 및 관련된 소자
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9996006B2 (en) 2016-10-14 2018-06-12 Applied Materials, Inc. Resist sensitivity and profile improvement via acid anion control during field-guided post exposure bake
CN108074812B (zh) * 2016-11-08 2020-07-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的制造方法
US9964863B1 (en) 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
US10615058B2 (en) 2016-12-29 2020-04-07 Applied Materials, Inc. Apparatus for field guided acid profile control in a photoresist layer
US10082736B2 (en) * 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
TWI634396B (zh) * 2017-07-13 2018-09-01 凌巨科技股份有限公司 曝光方法
JP2022518411A (ja) 2019-01-18 2022-03-15 アプライド マテリアルズ インコーポレイテッド 電界誘導フォトレジストパターン形成工程のためのフィルム構造
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
EP4291954A1 (en) 2021-02-15 2023-12-20 Applied Materials, Inc. Apparatus for post exposure bake of photoresist
US11815816B2 (en) 2021-02-15 2023-11-14 Applied Materials, Inc. Apparatus for post exposure bake of photoresist

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200845203A (en) * 2006-12-06 2008-11-16 Fujifilm Electronic Materials Device manufacturing process utilizing a double patterning process
TW200906890A (en) * 2007-04-02 2009-02-16 Cheil Ind Inc Hardmask composition having antireflective properties and method of patterning material using the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03159114A (ja) 1989-11-16 1991-07-09 Mitsubishi Electric Corp 微細パターンの形成方法
US5258266A (en) 1989-11-16 1993-11-02 Mitsubishi Denki Kabushiki Kaisha Method of forming minute patterns using positive chemically amplifying type resist
KR100239440B1 (ko) 1997-08-20 2000-01-15 김영환 화학 증폭형 포토레지스트의 패터닝 방법
US6441298B1 (en) 2000-08-15 2002-08-27 Nec Research Institute, Inc Surface-plasmon enhanced photovoltaic device
US6686132B2 (en) * 2001-04-20 2004-02-03 The Regents Of The University Of California Method and apparatus for enhancing resist sensitivity and resolution by application of an alternating electric field during post-exposure bake
US7160665B2 (en) * 2002-12-30 2007-01-09 International Business Machines Corporation Method for employing vertical acid transport for lithographic imaging applications
US6900123B2 (en) 2003-03-20 2005-05-31 Texas Instruments Incorporated BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
US7740737B2 (en) 2004-06-21 2010-06-22 Tokyo Electron Limited Plasma processing apparatus and method
US7906270B2 (en) * 2005-03-23 2011-03-15 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7759253B2 (en) 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
US20070226674A1 (en) 2006-03-27 2007-09-27 Henning Haffner System and method for semiconductor device fabrication using modeling
US7444196B2 (en) 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200845203A (en) * 2006-12-06 2008-11-16 Fujifilm Electronic Materials Device manufacturing process utilizing a double patterning process
TW200906890A (en) * 2007-04-02 2009-02-16 Cheil Ind Inc Hardmask composition having antireflective properties and method of patterning material using the same

Also Published As

Publication number Publication date
US8097402B2 (en) 2012-01-17
WO2010114716A1 (en) 2010-10-07
US20100248152A1 (en) 2010-09-30
TW201109846A (en) 2011-03-16

Similar Documents

Publication Publication Date Title
TWI412900B (zh) 用於雙重圖案成形之電場導向曝光後烘烤
JP5077844B2 (ja) 光学的に調節可能な反射防止コーティングの作製方法
JP5472559B2 (ja) 光学的に調節可能なソフトマスクプロファイルライブラリを用いる方法及び装置
JP5583716B2 (ja) レジストの光学特性を変化させる方法及び装置
JP5057328B2 (ja) 光学的に調節可能なソフトマスクプロファイルライブラリを用いる方法及び装置
JP4282500B2 (ja) 構造検査方法及び半導体装置の製造方法
US9519227B2 (en) Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
US8455183B2 (en) Resist pattern slimming treatment method
JP2008098629A (ja) 光計測の精度を改善する方法
JP2008098628A (ja) 光計測の精度を改善する方法
US20120045721A1 (en) Method for forming a self-aligned double pattern
JP2008098630A (ja) 光計測の精度を改善する方法
US6558965B1 (en) Measuring BARC thickness using scatterometry
US20120045722A1 (en) Technique to form a self-aligned double pattern
US10768532B2 (en) Co-optimization of lithographic and etching processes with complementary post exposure bake by laser annealing
JP4834706B2 (ja) 構造検査方法
US7262138B1 (en) Organic BARC with adjustable etch rate
Kulkarni et al. Impact of loading effect on retrograde profile of CAMP negative photoresist in metal lift-off applications
US20230078946A1 (en) Hybrid Development of EUV Resists
US20240027916A1 (en) Fingerprinting and process control of photosensitive film deposition chamber
Osborn et al. A modified bilayer resist approach for 45 nm flash lithography
Cho In-situ control and monitoring of wet and dry etching of patterned semiconductors using real time spectroscopic ellipsometry