JP2008098630A - 光計測の精度を改善する方法 - Google Patents

光計測の精度を改善する方法 Download PDF

Info

Publication number
JP2008098630A
JP2008098630A JP2007245157A JP2007245157A JP2008098630A JP 2008098630 A JP2008098630 A JP 2008098630A JP 2007245157 A JP2007245157 A JP 2007245157A JP 2007245157 A JP2007245157 A JP 2007245157A JP 2008098630 A JP2008098630 A JP 2008098630A
Authority
JP
Japan
Prior art keywords
otsm
improved
measurement
optical properties
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007245157A
Other languages
English (en)
Inventor
James E Willis
イー ウィリス ジェイムズ
James E Klekotka
イー クレオトカ ジェイムズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008098630A publication Critical patent/JP2008098630A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • G01N2021/95615Inspecting patterns on the surface of objects using a comparative method with stored comparision signal

Abstract

【課題】集積回路のような電子素子の製造に用いることのできる調節可能なレジストの光学特性を変化させる方法及びシステムに関する。
【解決手段】光計測を用いた測定の精度を改善する方法及びシステムを供する。さらに本発明は調節可能なレジスト層を用いる方法及びシステムを供する。その調節可能なレジスト層は、露光前に第1組の光学特性、及び露光後に第2組の光学特性を供する。
【選択図】なし

Description

本発明は、光計測に関し、より詳細には光計測を用いた測定精度の改善に関する。本発明は、243nmソフトマスク、193nmソフトマスク、157nmソフトマスク、極紫外ソフトマスク、X線の波長に敏感なソフトマスク、及び電子ビームに敏感なソフトマスクを改善することでリソグラフィ特性及び限界寸法を改善する方法及び装置に関する。
光計測は、構造体への入射ビームを導光する工程、構造体へ入射した結果として回折されたビームを測定する工程、及びその回折ビームを解析することで、構造体のプロファイルのような様々な特性を決定する工程、を有する。半導体製造においては、典型的には、光計測は品質保証に用いられる。
一般には、フォトレジスト組成物は、樹脂結合剤成分及び光活性剤を少なくとも有する。フォトレジスト組成物は、非特許文献1及び非特許文献2で説明されている。
たとえば半導体ウエハ上の半導体チップのすぐ近くに周期回折格子を製造した後、光計測システムは、周期回折格子のプロファイルを決定するのに用いられる。周期回折格子のプロファイルを決定することによって、周期回折格子を形成するのに利用される製造プロセスの品質ひいては周期回折格子近傍の半導体チップの評価が可能となる。
米国特許出願第11/535407号明細書 米国特許出願第09/727530号明細書 米国特許出願第10/357705号明細書 米国特許第6608690号明細書 米国特許第6839145号明細書 米国特許出願第10/206491号明細書 米国特許第6785638号明細書 米国特許出願第09/907488号明細書 米国特許出願第09/923578号明細書 米国特許出願第10/608300号明細書 米国特許第6947141号明細書 米国特許第6928395号明細書 米国特許第6839145号明細書 米国特許出願第09/770997号明細書 デフォレスト(Deforest)、「フォトレジスト材料及びプロセス」("Photoresist Materials and Processes")、マックグロウヒルブック(McGraw Hill Book Company)社、1975年 モロー(Moreau)、「半導体リソグラフィ、原理、実践及び応用」("Semiconductor Lithography、Principles、Practices and Materials")、プレナム出版(Plenum Press)社
従来の光計測は、半導体ウエハ上に形成された構造体の決定論的プロファイルを決定するのに用いることが可能である。たとえば従来の光計測は、構造体の限界寸法を決定するのに用いることが可能である。しかしウエハは、光計測の精度を減少させる恐れのある様々なプロセスの効果によって形成されていると考えられる。
本発明は、光計測に関し、より詳細には光計測を用いた測定精度の改善に関する。本発明は、たとえば集積回路のようなエレクトロニクス素子の製造に用いることのできる調節可能なレジストの光学特性を変化させる方法及び装置に関する。さらに本発明は光学的に調節可能なソフトマスク(OTSM)を供する。OTSMは、露光前に第1組の光学特性を供し、及び露光後に第2組の光学特性を供する。OTSMは化学増幅レジストを有して良く、かつリソグラフィによる特徴部位及び/又はエッチングされた特徴部位の限界寸法及び/又はパラメータの精度を改善しながら、300nm未満の波長で動作する。
本発明は、光学的に調節可能なソフトマスク(OTSM)を使用する方法を供する。当該方法は:上に材料層を有する基板を供する工程;その材料層上にOTSMを堆積する工程;レクチル及び放射線源を用いた放射線でOTSMを露光することで、OTSM中に除去可能領域及び除去不可能領域を形成し、OTSM中の除去可能領域の溶解度を変化させる工程;露光されたOTSMを現像することで、除去可能な領域が除去され、かつOTSM中に複数の構造体を形成するのに除去不可能領域を用いることが可能となる工程;及びOTSM中の複数の構造体を改善し、現像プロセス中に離脱基が除去されることで、OTSM中に複数の改善された構造体を形成する工程;を有して良い。OTSMは、露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する。OTSMは、ポリマー、酸を生成する化合物、及び、離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料を有する。計測を改善する材料は、離脱基が除去された後に第2組の光学特性を供する。複数の改善された構造体のうちの少なくとも1は第2組の光学特性によって特徴付けられる。
それに加えて本発明は、光学的に調節可能なソフトマスク(OTSM)を使用するシステムを供する。当該システムは、上に材料層を有する基板を供する搬送サブシステム、及びリソグラフィサブシステムを有して良い。当該リソグラフィサブシステムは、その材料層上にOTSMを堆積し、レクチル及び放射線源を用いた放射線でOTSMを露光することで、OTSM中に除去可能領域及び除去不可能領域を形成し、OTSM中の除去可能領域の溶解度を変化させ、露光されたOTSMを現像することで、除去可能な領域が除去され、かつOTSM中に複数の構造体を形成するのに除去不可能領域を用いることを可能にし、並びにOTSM中の複数の構造体を改善し、現像プロセス中に離脱基が除去されることで、OTSM中に複数の改善された構造体を形成する。OTSMは、露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する。OTSMは、ポリマー、酸を生成する化合物、及び、離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料を有する。計測を改善する材料は、離脱基が除去された後に第2組の光学特性を供する。複数の改善された構造体のうちの少なくとも1は第2組の光学特性によって特徴付けられる。
本発明の他の実施例は、光学的に調節可能なソフトマスク(OTSM)を使用する方法を供する。当該方法は:上に材料層を有する基板を供する工程;その材料層上にOTSMを堆積する工程;を有して良い。OTSMは調節可能な光学特性を有し、第1組の光学特性は露光装置用に最適化され、調節され、及び/又は改善され、第2組の光学特性は測定装置用に最適化され、調節され、及び/又は改善される。OTSMは、ポリマー、酸を生成する化合物、及び離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料を有する。計測を改善する材料は離脱基が除去された後に第2組の光学特性を確立する。
本発明のさらに他の実施例は、光学的に調節可能なソフトマスク(OTSM)を使用する方法を供する。当該方法は:上に材料層を有する基板を供する工程;及びその材料層上にOTSMを堆積する工程;を有して良い。OTSMは調節可能な光学特性を有し、第1組の光学特性は露光装置用に最適化され、調節され、及び/又は改善され、第2組の光学特性は測定装置用に最適化され、調節され、及び/又は改善される。OTSMは、ポリマー、酸を生成する化合物、及び、離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料を有する。計測を改善する材料は離脱基が除去された後に第2組の光学特性を確立する。
本発明の追加実施例は、光学的に調節可能なソフトマスク(OTSM)を使用する方法を供する。当該方法は:上に材料層を有する基板を供する工程;その材料層上にOTSMを堆積する工程;レクチル及び放射線源を用いた放射線でOTSMを露光することで、OTSM中に露光領域及び非露光領域を形成し、OTSM中の非露光領域の溶解度を変化させる工程;露光されたOTSMを現像することで、非露光領域が除去され、かつOTSM中に複数の構造体を形成するのに露光領域を用いることが可能となる工程;及びOTSM中の複数の構造体を改善し、現像プロセス中に計測を改善する材料が活性化されることで、OTSM中に複数の改善された構造体を形成する工程;を有して良い。OTSMは、露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する。OTSMは、ポリマー、酸を生成する化合物、及び、離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料を有する。計測を改善する材料は、離脱基が除去された後に第2組の光学特性を供する。複数の改善された構造体のうちの少なくとも1は第2組の光学特性によって特徴付けられる。
本発明の別な追加実施例は、光学的に調節可能なソフトマスク(OTSM)を使用する方法を供する。当該方法は:上に材料層を有する基板を供する工程;その材料層上にOTSMを堆積する工程;レクチル及び放射線源を用いた放射線でOTSMを露光することで、OTSM中に露光領域及び非露光領域を形成し、OTSM中の露光領域の溶解度を変化させる工程;露光されたOTSMを現像することで、露光領域が除去され、かつOTSM中に複数の構造体を形成するのに非露光領域を用いることが可能となる工程;及びOTSM中の複数の構造体を改善し、現像プロセス中に計測を改善する材料が活性化されることで、OTSM中に複数の改善された構造体を形成する工程;を有して良い。OTSMは、露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する。OTSMは、ポリマー、酸を生成する化合物、及び、離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料を有する。計測を改善する材料は、離脱基が除去された後に第2組の光学特性を供する。複数の改善された構造体のうちの少なくとも1は第2組の光学特性によって特徴付けられる。
本発明の他の態様は、以降の説明及び図から明らかとなる。
現在用いられている材料プロセス方法においては、パターンエッチングは、たとえばフォトレジストのような感光性材料をウエハの上側面に薄く堆積する工程を有する。続いて薄く堆積された感光性材料は、エッチング中に、このパターンを下に存在する薄膜に転写するマスクを供するためにパターニングされて良い。フォトレジストは一般的に、既知の波長を有する所定の露光装置に対して最適化されるが、フォトレジストは計測装置に対して最適化されない。
本明細書では、光学的に調節可能なソフトマスク(OTSM)法の例について説明する。OTSM法の例には、特に243nm以下の波長を有する像生成用放射線を用いる2層又は多層のリソグラフィ応用において高分解能のリソグラフィ性能を示す能力を有する調節可能なレジスト組成物が含まれて良い。OTSMは、酸に敏感な像生成用ポリマー、非ポリマーのシリコン添加物、放射線に敏感な酸の発生体及び計測を改善する添加物を有して良い。
像生成用ポリマーは、193nmのリソグラフィプロセスで有効となり得る。また像生成用ポリマーは、環状オレフィン、アクリラート、及びメタクリラートからなる群から選択されるモノマーを有することが好ましい。レジスト組成物は、像生成用ポリマーの少なくとも約5質量%のシリコンを有することが好ましい。非ポリマーのシリコン添加物は、少なくとも約10の炭素原子を含み、より好適には少なくとも約12から30の炭素原子を含む。非ポリマーのシリコン添加物は、約250から1000の分子量を有して良い。
OTSMを発展させるとき、1の目標は、比較的広いプロセスウインドウの範囲内でのCD制御の改善及び計測特性の改善を実現することである。OTSMに関連するプロセスウインドウは、計測を改善する材料、誘電体材料、ウエハ材料及び底部の反射防止コーティング/反射防止コーティング(BARC/ARC)材料との相性の問題に影響されると考えられる。それに加えて、ポリマーの問題、露光の問題、現像の問題、活性化の問題、反射率の問題、エッチング耐性の問題、光学特性の問題、熱の問題、タイミング及び遅延の問題、分解能及び感度の問題、ライン端部の粗さの問題及びパターンが壊れる問題は、プロセスに影響する。
光学的に調節可能なレジスト層(ソフトマスク及び/又はハードマスク)は第1組の光学特性を有して良い。その第1組の光学特性は、露光装置に対して最適化、調節、及び/又は改善されて良い。光学的に調節可能なレジスト層(ソフトマスク及び/又はハードマスク)は第2組の光学特性を有して良い。その第2組の光学特性は、計測装置及び/又は1以上の測定波長に対して最適化、調節、及び/又は改善されて良い。光学的に調節可能なレジスト層は、露光前では第1組の光学特性によってその特徴が表されて良く、露光後では第2組の光学特性によってその特徴が、同時に複数の点で表されて良い。光学的に調節可能なレジスト層は、放射線源及びマスク/レクチルを用いることによって露光可能な感光性材料を有して良い。ポジのレジスト層では、レジスト層の照射領域は、現像液を用いることによって除去可能である。ネガのレジスト層では、レジスト層の未照射領域は、現像液を用いることによって除去可能である。
それに加えて、単一層の及び/又は多層の光学的に調節可能なレジスト層/マスクが設けられて良い。ソフトマスク及び/又はハードマスク層が用いられて良い。光学的に調節可能なマスクは、OTSM材料及び/又は反射防止材料を有して良い。
OTSMは化学増幅成分を有して良い。また化学増幅OTSMs及び/又はレジストの現像を予測するモデルを立てることは、OTSMsの開発において今なお困難な課題である。OTSMsは多くの段階で用いることができるため、モデル化の必要性は、ゲートレベルに始まり、チップレベルにまで及ぶ。モデル化は、OTSMs材料の化学的特性、熱的特性、電気的特性、及び光学的特性の知識を必要とする。また新たな計測改善材料は、本明細書で示されている。既存のレジストモデルは、計測改善材料の性能を予測するために修正される必要があると考えられる。別の複雑なモデル化がされることで、リソグラフィプロセスと測定プロセス及び/又はエッチングプロセスとを結びつけることが可能となると考えられる。たとえば1以上の格子型モデルは、光学的に調節可能なレジスト層/マスクの特性及び/又は振る舞いを予測及び/又はシミュレートするのに用いられて良い。
本明細書では、光学的に調節可能なレジスト材料を用いて製造されるマイクロエレクトロニクスウエハ又はフラットパネルディスプレイ基板を有することができる製造例についても説明している。
図1は、本発明の実施例に従ったプロセスシステムの典型的ブロックダイアグラムを図示している。図示された実施例では、プロセスシステム100は、リソグラフィサブシステム110、転写サブシステム120、プロセスサブシステム130、及び計測サブシステム140を有する。リソグラフィサブシステム110、転写サブシステム120、プロセスサブシステム130、及び計測サブシステム140は相互に結合して良い。プロセスシステム100は、システム制御装置105及び記憶装置107を有して良い。リソグラフィサブシステム110は、制御装置115及び記憶装置117を有して良い。転写サブシステム120は、制御装置125及び記憶装置127を有して良い。プロセスサブシステム130は、制御装置135及び記憶装置137を有して良い。計測サブシステム140は、制御装置145及び記憶装置147を有して良い。制御装置(105、115、125、135及び145)と記憶装置(107、117、127、137及び147)とは、要求されているように相互に結合して良い。それに加えて、スキャナ150は、リソグラフィサブシステム110又と結合して良い。あるいはその代わりに、リソグラフィサブシステム110は走査システムを有して良い。
製造実行システム(MES)180は、システム制御装置105及び1以上のサブシステムと結合して良い。あるいはその代わりに、他の構成及び他の結合方法が用いられても良い。
プロセスシステム100の1以上のサブシステムは制御部、GUI部、及び/又はデータベース部(図示されていない)を有して良い。代替的実施例では、1以上の別なサブシステムを必要としても良い。
1以上の制御装置(105、115、125、135及び145)によって、工場のシステム(MES)180からセットアップ及び/又は設定情報が得られて良い。工場レベルでの業務上の規則は、制御階層を設定するのに用いられて良い。業務上の規則は、通常のプロセスで取られる行動及びエラーが生じたときの行動を特定するのに用いられて良い。それに加えて、工場レベルでの業務上の規則は、いつプロセスが中断及び/又は中止されるのか、並びにプロセスが中断及び/又は中止されるときに何ができるのかを決定するのに用いられて良い。それに加えて、工場レベルでの業務上の規則は、いつプロセスを変更するのか、及びどのようにプロセスを変更するのかを決定するのに用いられて良い。
業務上の規則は、戦略レベル、計画レベル、モデルレベル又は方法レベルで定義されて良い。業務上の規則は、特定の状況に遭遇したときはいつでも実行されるように指定されて良い。高次のレベル及び低次のレベルで一致した状況に遭遇するときには、高次のレベルに関連する業務上の規則が実行されて良い。GUIスクリーンは、業務上の規則を定義及び維持するのに用いられて良い。業務上の規則の定義及び指定は、通常のセキュリティレベルよりも高いユーザーに許されて良い。業務上の規則は、データベース内に維持されて良い。業務上の規則の定義方法、指定方法、及び維持方法についての文書及びヘルプスクリーンが供されても良い。
MES180は、1以上の制御装置(105、115、125、135及び145)から報告されるデータを用いてシステムプロセスを監視するように備えられて良い。工場レベルでの業務上の規則は、どのプロセスが監視されるのか、及びどのデータを用いることが可能なのかを判断するのに用いられて良い。たとえば制御装置(105、115、125、135及び145)は、独立にデータを収集して良い。又はデータ収集プロセスは、ある程度MES180によって制御されて良い。それに加えて、工場レベルでの業務上の規則は、プロセスが変更、中断及び/又は中止される恐れのあるときの、データの管理方法を決定するのに用いられて良い。それに加えてMES180は、ランタイム設定情報を1以上の制御装置(105、115、125、135及び145)に供して良い。データは、GEM SECS通信プロトコルを用いてやり取りされて良い。
一般には、規則によって、システム及び/又は装置の動作は、プロセスシステム100の動的状態及び/又は製品のプロセス状態に基づいて変化することができる。セットアップ及び/又は設定情報の一部は、初期設定のときにプロセスシステムのサブシステムによって決定されて良い。それに加えて規則は、いつプロセスが中断及び/又は中止可能なのか、並びにプロセスが中断及び/又は中止されるときに何ができるのかを決定するのに用いられて良い。それに加えて規則は、たとえばいつプロセスを変更するのか、プロセスをどのように変更するのか、及びどのようにデータを管理するのか、というようなどのような補正行為が行われるべきなのかを決定するのに用いられて良い。
図1では単一のサブシステムが図示されている。しかしこのことは本発明にとって必須ではない。プロセスシステム100は、様々な数のプロセスサブシステムを有して良い。そのプロセスサブシステムは、他の型のプロセス装置及びモジュールに加え、それに付随する制御装置をいくつ有しても良い。プロセスサブシステム130は、エッチングモジュール、堆積モジュール、ALDモジュール、測定モジュール、イオン化モジュール、研磨モジュール、コーティングモジュール、現像モジュール、洗浄モジュール若しくは熱処理モジュール、又はこれらの2以上のモジュールの結合を有して良い。これらの2以上のモジュールの結合には、これらのモジュールの複数の段階が含まれる。
1以上の制御装置(105、115、125、135及び145)は、利用しやすいインターフェースを供するGUI部(図示されていない)を有して良い。その利用しやすいインターフェースによって、ユーザーは、状態を見ること、戦略、計画、エラー、失敗、データベース、規則、レシピ、モデル化アプリケーション、シミュレーション/スプレッドシートアプリケーション、eメールメッセージを生成すること/見ること/編集すること、及び診断スクリーンを見ることが可能となる。当業者にとっては明らかなように、GUI部は全機能についてのインターフェースを供する必要はない。これらの機能のうちの任意のサブセットについてのインターフェースが供されれば良く、他の機能は列挙されなくて良い。
1以上の制御装置(105、115、125、135及び145)及び/又は記憶装置(107、117、127、137及び147)はメモリ部(図示されていない)を有して良く、そのメモリ部は1以上のコンピュータによる読み取りが可能な記憶媒体を有して良い。それに加えて1以上の制御装置(105、115、125、135及び145)及び/又は記憶装置(107、117、127、137及び147)は、1以上のコンピュータによる読み取りが可能な記憶媒体と情報をやり取りして良い。動作データ、プロセスデータ、ライブラリデータ、履歴データ及び/又はコンピュータによる実行が可能なコードが、記憶装置(107、117、127、137及び147)及び/又は制御装置(105、115、125、135及び145)に記憶されて良い。データ収集計画は、収集可能なデータ及びいつデータの収集が可能なのかを制御するのに用いられて良い。
それに加えて、データ収集前、データ収集中、及び/又はデータ収集後、解析戦略が実行されて良い。それに加えて、判断及び/又は介入計画が実行されて良い。解析戦略が実行されるとき、ウエハデータ、プロセスデータ、モジュールデータ、及び/又はOTSM関連データを解析することが可能となり、警告/失敗に関する条件の特定が可能となる。それに加えて判断及び/又は介入計画がOTSM関連の方法に関係するとき、これらの計画が実行されて良い。たとえばOTSM関連データが生成された後、そのデータは、ランルール(run−rule)評価法を用いて解析されて良い。精度の限界は、履歴データ、使用者の経験、若しくはプロセスに関する知識に基づいて自動的に計算する、又はホストコンピュータから得ることが可能である。特徴部位のサイズが65nm未満に減少することで、ノードの精度に関する測定データがより重要でかつより得るのが難しくなっている。光学的に調節可能なレジストは、これらの非常に小さな特徴部位を正確に製造及び測定するのに用いられて良い。OTSM関連データは、警告及び/又は制御限界と比較されて良い。ランルールが守られないとき、プロセスの問題を意味する警告が発せられて良い。
警告が発せられるとき、制御装置は告知又は介入のいずれかを実行して良い。告知は、eメール又はeメールで起動するポケットベルによって行われて良い。それに加えて制御装置は、介入を行っても良い。その介入は、現在のロットの終了時にプロセスを中断するか、又は現在のウエハの終了時にプロセスを中断するかのいずれかである。制御装置は、警告を発生させるプロセスモジュールを識別して良い。
1以上の制御装置(105、115、125、135及び145)は、失敗を検出及び分類する(FDC)アプリケーションを有して良い。これらの制御装置は、互いに及び/又はMES180と情報をやり取りして良い。規則は、FDCアプリケーション内において、警告条件、エラー条件、失敗条件及び/又は警戒条件にどのように応じるのかを決定するのに用いられて良い。それに加えて、MES180は、コマンド及び/又は上書き情報を1以上の制御装置(105、115、125、135及び145)へ送って良い。1以上のFDCアプリケーションは、同一時間で動作して良く、かつ警告/エラー/失敗の条件に関する情報の送受信をして良い。たとえばFDC情報は、e診断ネットワーク、eメール又は個人向け通信機器を介してやり取りされて良い。たとえば警告/エラー/失敗の条件が設定されて良い。またメッセージが送信されることで、限界を超えたとき、又は製造要件が満たされないとき、又は補正行為が必要なときに、現在のプロセスが中断、又は中止されて良い。
サブシステム(110、120、130及び140)は、複数のプロセスアプリケーション及び/又はモデルを制御して良い。そのような複数のプロセスアプリケーション及び/又はモデルは、同一時間に実行され、かつ異なる組のプロセスに係る制約に支配される。たとえば制御装置は、3の異なるモードで動作して良い。その3の異なるモードとは、シミュレーションモード、テストモード及び標準モードである。制御装置は、実際のプロセスモードと平行してシミュレーションモードで動作して良い。それに加えて、FDCアプリケーションは、リアルタイムで動作し、かつリアルタイムの失敗及び/又はエラーを発生して良い。さらにFDCアプリケーションは、シミュレーションモードで動作し、かつ予想される失敗及び/又はエラーを発生させて良い。
FDCアプリケーションは、失敗を検出し、システム性能を予測し、予防的メンテナンススケジュールを予測し、メンテナンスに係る休止時間を減少させ、かつシステム内の消費されうる部品の耐用年数を延ばすことができる。FDCアプリケーションのインターフェースは、ウエブで可能であり、かつリアルタイムでFDCの状態を表示することができる。
サブシステム(110、120、130及び140)及び/又はプロセスシステム100は、警告/失敗の性質に依存して、警告/失敗に応じた様々な動作を取って良い。警告/失敗について取られる動作は状況に基づいたものであって良い。その状況は、規則、システム/プロセッサのレシピ、モジュールの型、モジュール識別番号、搬送ポートの番号、カセット番号、ロット番号、制御ジョブID、プロセスジョブID、スロット番号、及び/又はデータ型によって特定されて良い。
制御装置(105、115、125、135及び145)は、互いに及び/又はMES180と情報をやり取りして良い。情報は、測定データ、プロセスデータ、履歴データ、フィードフォワードデータ、及び/又はフィードバックデータを有して良い。さらにMES180は、限界寸法走査型電子顕微鏡(CD SEM)のような測定データを供するのに用いられて良い。あるいはその代わりに、CD SEM情報は、システム制御装置を用いることによって供されて良い。たとえば外部接続装置は、CD−走査型電子顕微鏡(CDSEM)装置、透過型電子顕微鏡(TEM)装置、集束イオンビーム(FIB)装置、原子間力顕微鏡(AFM)又は他の光計測装置を有して良い。
1以上の制御アプリケーションは、入力状態、プロセス特性、及びプロセスモデルに基づいて、予測されたウエハの状態を計算するのに用いられて良い。改善された計測モデルは、改善された構造体及び/又は特徴部位を予測及び/又は計算するのに用いられて良い。エッチングレートモデルは、エッチング深さを計算するプロセス時間と共に用いられて良い。堆積レートモデルは、堆積厚さを計算するプロセス時間と共に用いられて良い。たとえばモデルは、電磁場(EM)モデル、統計的プロセス管理(SPC)チャート、部分最小2乗(PLS)モデル、主成分分析(PCA)モデル、失敗及び検出分類(FDC)モデル及び多変量解析(MVA)モデルを有して良い。制御アプリケーションは、シミュレーションモード、テストモード、及び標準モードで動作して良い。
プロセスシステム100はウエハサンプリングを供して良い。ウエハスロットの選択は、(PJ生成)関数を用いることによって決定されて良い。R2R制御構成は、とりわけ、フィードフォワード制御計画変数、フィードバック制御計画変数、計測校正パラメータ、
制御限界、及びSEMI標準変数パラメータを有して良い。計測データレポートは、とりわけウエハ、位置、構造、及び組成データを有して良い。装置は、ウエハについての実際の設定を報告して良い。
計測サブシステム140は、光学デジタルプロファイリング(ODP)システム(図示されていない)を有して良い。あるいはその代わりに、他の計測システムが用いられても良い。ODP装置は、ティンバーテクノロジー(Timbre Technologies Inc)社(TELの子会社)から販売されている。ティンバーテクノロジーは、半導体素子中の構造体プロファイルの測定に係る特許権が付与された技術を供する。たとえばODP技術は、限界寸法(CD)の情報、構造体プロファイルの情報、又はビアプロファイルの情報を得るのに用いられて良い。ODPシステムの波長範囲は、200nmから900nmの範囲であって良い。
計測サブシステム140は、偏光反射率測定法、分光偏光解析法、反射率測定法、又は他の光学測定法を用いることによって、真の素子プロファイル、正確な限界寸法(CD)、及びウエハの複数層の膜厚を測定して良い。たとえばOTSM関連方法のような改善された計測法は、従来技術のレジストよりもより垂直な側壁を作製することができる。
改善された計測プロセスはインラインで実行されて良い。そのため解析を実行するのにウエハを破壊する必要がない。ODP技術は、インラインでのプロファイル及びCD測定に用いられる既存の薄膜計測装置と共に用いられて良い。またODP技術は、東京エレクトロン株式会社(TEL)のプロセス装置及び/又はリソグラフィシステムと一体化することで、リアルタイムでのプロセス監視及び制御を供して良い。ODP(商標)解決法は、3の重要な構成要素を有する。ODP(商標)プロファイラ(商標)ライブラリは、アプリケーション特定の光学スペクトルのデータベース、並びにそれに対応する半導体プロファイル、CDs及び膜厚を有する。プロファイラ(商標)アプリケーションサーバ(PAS)は、光学ハードウエア及びコンピュータネットワークと接続するコンピュータサーバを有する。PASは、データ通信、ODPライブラリ動作、測定プロセス、結果の生成、結果の解析及び結果の出力を行う。ODP(商標)プロファイラ(商標)ソフトウエアは、PASにインストールされたソフトウエアを有する。そのソフトウエアは、測定レシピ、ODP(商標)プロファイラ(商標)ライブラリ、ODP(商標)プロファイラ(商標)データ、ODP(商標)プロファイラ(商標)結果の検索/一致、ODP(商標)プロファイラ(商標)結果の計算/解析、データ通信、及び様々な計測装置及びコンピュータネットワークに対するPASンターフェースを管理する。
典型的な光計測システムは、同時係属中の特許文献2で説明されている。
ODP技術は、ウエハ上のコーティングの存在及び/若しくは厚さ、並びに/又は、パターニングされたウエハの特徴部位及び/若しくは構造体内部の材料の測定に用いられて良い。これらの技術は、同時係属中である特許文献3で説明されている。さらに加えられた材料の測定を網羅するODP技術は、特許文献4及び特許文献5で説明されている。
計測モデルを生成するODP技術は、同時係属中の特許文献6で説明されている。統合された計測アプリケーションを網羅するODP技術は、特許文献7で説明されている。
レシピはツリー構造で構成されて良い。そのツリー構造は、レシピの組、クラス、及びオブジェクトとして表示可能なレシピを有して良い。レシピは、プロセスレシピデータ、システムレシピデータ、及び統合された計測モジュール(IMM)レシピデータを有して良い。IMMレシピは、パターン認識情報を含み、各ウエハ上のサンプルに対するチップを識別するのに用いられ、かつどのPASレシピが用いられるべきなのかを決定するのに用いられて良い。PASレシピは、どのODPライブラリが用いられるべきなのかを決定し、かつ報告する測定値の指標を定義するのに用いられて良い。そのような指標とはたとえば、上部CD、底部CD、側壁角(SWA)、層の厚さ、溝の幅、及びデータの適合度(GOF)である。
プロセスシステム100は、先端プロセス制御(APC)アプリケーションを有して良い。そのAPCアプリケーションは、制御戦略、制御計画、制御モデル、及び/又はラン・ツー・ラン(R2R)プロセスを供するレシピマネージャーとして動作して良い。たとえばランタイムでのウエハレベル条件が一致することで、ウエハ(スロット、ウエハID、ロットID等)による独自の設定が可能となる。それに加えて、フィードフォワード及び/又はフィードバック制御は、制御戦略、制御計画及び制御モデルを設定することによって実装されて良い。制御戦略は、フィードフォワード及び/又はフィードバック制御が実装されている各システムプロセスについて実行されて良い。戦略が保護されるとき、その子オブジェクトのすべて(計画及びモデル)は編集不可能である。システムレシピが実行されるとき、制御戦略の範囲内で1以上の制御計画が実行されて良い。各制御計画は、フィードフォワード及び/又はフィードバック情報に基づいてレシピを修正するのに用いられて良い。
制御及び/又は解析戦略/計画は、OTSM関連方法の範囲内で複数の工程を網羅して良い。また制御及び/又は解析戦略/計画は、収集されたデータの解析及びエラー条件の設定に用いられて良い。アプリケーションは、状況が一致したときに実行されて良い。解析アプリケーションの実行中、1以上の解析計画が実行されて良い。データ障害が起こるとき、実行の問題が起こるとき、又は制御の問題が起こるとき、計画がエラーを発生させて良い。エラーが発生するとき、計画は警告メッセージを生成して良く、親である戦略状態は失敗状態へ変更されて良く、計画状態は失敗状態へ変更されて良く、かつ1以上のメッセージは警告ログ及びFDCシステムへ送信されて良い。フィードフォワード計画又はフィードバック計画が失敗するとき、親である戦略中の1以上の計画が中止されて良く、これらの状態は失敗状態へ変更されて良い。一例では、品質の悪いウエハが検出されるとき、制御計画は、これを欠陥のあるウエハとして検出及び/又は識別して良い。それに加えて、フィードバック計画が可能となるとき、フィードバック計画は、別な計画によって欠陥を有するもの及び/又は品質の悪いものと識別されたウエハを省略して良い。データ収集計画は、このウエハの全測定位置でのデータを拒否して良い、すなわちOTSM関連方法が要求された制度限界を満たせなかったことを理由にデータを拒否して良い。
一の実施例では、フィードバック計画の失敗は、戦略又は他の計画を中止できない。また測定方法の失敗も戦略又は他の計画を中止できない。成功した計画、戦略及び/又は測定方法は、如何なるエラー/警告メッセージも生成しない。戦略及び/又は計画のエラーについての事前に特定された失敗動作は、データベースに保存されて良く、エラーが発生するときにはデータベースから取得されて良い。失敗動作には、このウエハに通常のプロセスレシピを用いること、又はこのウエハにヌルレシピを用いることが含まれて良い。ヌルレシピは制御レシピであって良い。その制御レシピは、プロセス装置及び/又はプロセスチャンバで用いられることで、ウエハは、プロセスを行うことなく、プロセスチャンバを通過する、及び/又は、プロセスチャンバ内でそのまま留まることが可能となる。たとえばヌルレシピは、プロセスが中断されるとき、又はウエハがプロセスを必要としないときに用いられて良い。
プロセスの確認方法及び/又はプロセスモデルの更新は、校正/監視用ウエハのプロセスを行い、プロセスの設定を確認し、かつ結果を観察することによって実行されて良い。よってプロセス及び/又はモデルは更新される。たとえば、校正/監視用ウエハの特性をプロセス前後で測定することにより、Nプロセス時間毎に更新が行われて良い。設定を変更するで、時間をかけて様々な動作領域をチェックすることによって、時間をかけて完全な動作空間を有効にすることが可能となるか、又は様々なレシピ設定で行われる複数の校正/監視用ウエハのプロセスを一度で実行することが可能となる。更新方法は、装置レベル、システムレベル又は工場レベルで行われて良い。
更新された改善レシピ及び/又は更新された改善モデルは、ウエハの状態に基づいて複数回計算されて良い。また更新された改善レシピ及び/又は更新された改善モデルは、製品の要求に基づいても良い。たとえば、フィードフォワード情報、モデル化情報、及び/又はフィードバック情報は、現在のウエハのプロセスを行う前、次のウエハのプロセスを行う前、又は次のロットのプロセスを行う前のうちのどの時期に現在のレシピを変更するのかを決定するのに用いられて良い。
本明細書では、光計測処理の改善方法の例についても説明する。当該方法は、上に材料層を有する基板を供する工程を有して良い。材料層は、低誘電率材料、超低誘電率材料、平坦化材料、誘電材料、ガラス材料、セラミックス材料若しくは金属材料又はこれらの混合材料を有して良い。レジスト層は材料層上に堆積される。レジスト層は第1組の光学特性を有して良い。その第1組の光学特性は、露光プロセスのために、最適化され、調節され、及び/又は改善される。あるいはその代わりに、材料層は必要とされなくても良い。よってレジスト層は、レクチル及び放射線源を用いて生成されたパターンを有する放射線によって露光されて良い。その放射線源は約300nm未満の波長を有する。次に露光されたレジスト層を現像することによって、複数の改善されていない構造体がレジスト層内に形成されて良い。複数の改善されていない構造体は、少なくとも1の改善されていない測定用構造体を有する。それに加えて、複数の改善されていない構造体を改善することによって、複数の改善された構造体がレジスト層内に形成されて良い。少なくとも1の改善された測定用構造体は、少なくとも1の改善されていない測定用構造体を改善することによって生成されて良い。複数の改善された構造体は、第2組の光学特性によってその特徴が表されて良い。
レジスト層が用いられるとき、レジスト層は、感光性材料若しくは反射防止材料又はこれらの混合材料を有して良い。
それに加えて、複数の改善された構造体は、反応性ガス、液体、プラズマ、放射線若しくは熱エネルギー又はこれらを結合させたものに、レジスト層中に存在する複数の改善されていない構造体を曝露することによって生成されて良い。その少なくとも1の改善された測定用構造体は、反応性ガス、液体、プラズマ、放射線若しくは熱エネルギー又はこれらを結合させたものに、その少なくとも1の改善されていない測定用構造体を曝露することによって生成されて良い。
さらに複数の改善された構造体は、反応性ガス、液体、プラズマ、放射線若しくは熱エネルギー又はこれらを結合させたものを用いることによってレジスト層に係る少なくとも1の光学特性を修正することにより生成されて良い。少なくとも1の改善された測定用構造体は、反応性ガス、液体、プラズマ、放射線若しくは熱エネルギー又はこれらを結合させたものを用いることによってレジスト層に係る少なくとも1の光学特性を修正することにより生成されて良い。
あるいはその代わりに、複数の改善された構造体は、レジスト層の少なくとも一部を除去することによって生成されて良い。少なくとも1の改善された測定用構造体は、レジスト層の少なくとも一部を除去することによって生成されて良い。
他の実施例では、光計測処理の改善方法は基板を受ける工程を有して良い。その基板は複数のダイ及び多数の測定位置を有する。各ダイは、他の少なくとも1層上に第1パターニングレジスト層を有して良い。少なくとも1の測定位置は、その内部に周期的測定用構造体を有して良い。
基板についての精度値が決定されて良い。精度値が改善された基板について設定された限界の範囲内でないときには、その基板に係る少なくとも1の光学特性は修正されて良い。また精度値が改善された基板について設定された限界の範囲内であるときには、その基板のプロセスが実行されて良い。基板上に存在する少なくとも1の第1周期的測定位置内の測定用構造体に係る少なくとも1の光学特性は、反応性ガス、液体、プラズマ、放射線若しくは熱エネルギー又はこれらを結合させたものを用いることによって修正されて良い。たとえば、レジスト材料若しくは反射防止材料に係る少なくとも1の光学特性又はこれらの混合材料に係る少なくとも1の光学特性は修正されて良い。他の場合でも、光学特性は、レジスト材料若しくは反射防止材料の少なくとも一部、又はこれらの両方を除去することによって、修正されて良い。
その方法は、修正された基板を測定する工程をさらに有して良い。測定された基板についての新しい精度値が決定されて良い。たとえば測定回折スペクトルが修正された基板から得られて良い。あるいはその代わりに、他の信号及び/又はスペクトルが用いられても良い。
次に、最善の推定構造体が周期構造及び関連する回折スペクトルのライブラリから選択されて良い。またその最善の推定構造体に関連する最善の推定回折スペクトルが得られて良い。その測定回折スペクトルは、最善の推定回折スペクトルと比較されて良い。よって、測定回折スペクトルデータと最善の推定回折スペクトルとが一致基準の範囲内で一致するとき、基板についての精度値と測定回折スペクトルデータとが生成されて良い。又は、測定回折スペクトルデータと最善の推定回折スペクトルとが一致基準の範囲内で一致しないとき、新たな最善の推定構造体が選ばれて良い。
たとえば新たな最善の推定構造体は、高さ、幅、厚さ、深さ、体積、領域、誘電特性、プロセスレシピパラメータ、プロセス時間、限界寸法、間隔、周期、位置若しくはライン幅又はこれらの結合を変更することによって生成されて良い。
それに加えて、その方法は、測定回折スペクトルと、新たな最善の推定構造体に関連する新たな最善の推定回折スペクトルとを比較する工程;及び、測定回折スペクトルと新たな最善の回折スペクトルとが一致基準の範囲内で一致するときに基板についての新たな精度値を生成する工程を、測定回折スペクトルと新たな最善の回折スペクトルとが一致基準の範囲内で一致しないときには、測定回折スペクトルと新たな最善の回折スペクトルとが一致基準の範囲内で一致するまで、又は測定回折スペクトルと新たに計算された仮説回折スペクトルとの差異が制限値よりも小さくなるまで、新たな最善の推定回折スペクトルの決定を続ける工程;をさらに有して良い。
新しい精度値、新たな最善の推定構造体及びその新たな最善の推定構造体に関連する回折スペクトルは、測定回折スペクトルと新たな最善の回折スペクトルとが一致基準の範囲内で一致するときに、保存されて良い。たとえばプロセスシステム100は、光計測処理を改善するのに用いられて良い。
図2は、本発明の実施例に従ったプロセスシステムの動作方法の典型的なフローダイアグラムを図示している。図示された実施例では、方法200には、改善された計測方法を用いたウエハの処理が示されている。
ウエハのプロセスシーケンスでは、ウエハはリソグラフィサブシステム110へ何度もアクセスして良く、かつウエハがリソグラフィサブシステム110から出るときに現像/検査(DI)工程が実行されて良い。DI工程の間、改善された計測方法が実行されて良い。
210では、ウエハはプロセスシステム(100)によって受け取られて良い。ウエハがプロセスシステム100によって受け取られるとき(図1)、ウエハ及び/又はロットに関連するデータが受信されて良い。一の実施例では、MES180システムは、レシピ及び/又はプロセスパラメータをサブシステム(110、120、130及び140)へダウンロードして良く、かつレシピ及び/又はプロセスパラメータは、ウエハプロセス方法を制御するのに用いられて良い。それに加えて、MESはウエハシーケンスを決定して良い。たとえばMESは、OTSM関連方法及び/又は改善された計測方法中、ロット中のどのウエハが用いられて良いのかを決定して良い。ダウンロードされたデータは、システムレシピ、プロセスレシピ、計測レシピ、OTSM関連データ及びウエハシーケンス計画を有して良い。
データはウエハ関連マップを有して良い。ウエハ関連マップはたとえば、入ってくるウエハ及び/又はロットについての、履歴マップ、OTSM関連マップ、ライブラリ関連マップ、精緻化された(改善された測定)マップ、(複数の)参照マップ、(複数の)測定マップ、及び/又は(複数の)信頼マップである。データは、プロセスシステム、ホストシステム及び/又は別なプロセスシステムに関連する測定モジュールからの測定データを有して良い。
図3は、本発明の実施例に従ったウエハマップを単純化した図を示している。図示された実施例では、125チップ/ダイを有するウエハマップが図示されている。しかしこのことは本発明の必須事項ではない。代わりに別な数のチップ/ダイを有するウエハマップが図示されても良い。それに加えて、図示されている円形状は例示目的であって、本発明の必須事項ではない。たとえば円形ウエハは非円形ウエハによって置き換えられて良い。チップ/ダイじゃ非円形状を有して良い。
図3は、複数のチップ/ダイ310を有するウエハ300の単純化されたウエハマップを図示している。例示のため、0から12まで番号が付された行及び列が図示されている。それに加えて、典型的な測定計画についての考えられる測定位置320が図示されている。あるいはその代わりに、各異なるウエハマップについて各異なる形状が設けられて良く、ウエハ上の各異なる位置で、各異なる数の測定位置及び/又は改善された測定位置が設けられて良い。ウエハについての測定計画が作成されるとき、1以上の測定位置が、1以上のウエハ領域内に設けられて良い。たとえば計画が作成されるときには、測定は、図3に図示されたすべての測定位置320で行われる必要がない。
再度図2を参照すると、工程220では、いつ改善された計測方法を実行するのかを決定するクエリーが実行されて良い。構造体の物理的な大きさが減少することで、ウエハの大部分の領域でより正確な測定データを得るのに、改善された測定方法が必要になると考えられる。それに加えて、ウエハの中には、OTSM関連方法の確認及び/又はOTSM関連ウエハの評価に用いられて良いものもある。1以上の改善された測定方法は、製造用又は非製造用ウエハを用いて実行されて良い。新しいOTSM関連方法が開発及び/又は確認されるとき、プロセスの結果はばらついて良く、改善された測定方法は、ウエハの大部分で実行されて良い。改善された測定方法が要求されるときには、方法200は工程230へ進んで良く、改善された測定方法が要求されないときには、方法200は工程240へ進んで良い。
工程230では、改善された測定方法が実行されて良い。ある実施例では、光学的に調節可能なレジスト材料若しくは光学的に調節可能な反射防止コーティング材料又はこれらを組み合わせた材料は、改善された計測特性を有する改善された構造体を製造するのに用いられて良い。他の実施例では、フォトレジスト層は後処理されることによって、フォトレジスト層の計測特性が改善されて良い。
ある例では、改善された構造体は、OTSMを用いることによって、OTSM層内に作製されて良く、又はウエハ内及び/若しくはウエハ上の材料層内に作製されても良い。他の例では、改善された構造体は、光学的に調節可能な反射防止コーティング(OTARC)を用いることによって、OTARC層内に作製されて良く、又はウエハ内及び/若しくはウエハ上の材料層内に作製されても良い。さらに他の例では、改善された構造体は、OTSM/OTARCを用いることによって、OTSM/OTARC層内に作製されて良く、又はウエハ内及び/若しくはウエハ上の材料層内に作製されても良い。
制御戦略が実行され、かつ用いられることで、改善された測定計画/レシピが作られる。ウエハが計測サブシステム140内に設けられるとき、その測定はリアルタイムで行われて良い。ウエハが現時点において計測サブシステム140内に設けられていないとき、ウエハは計測サブシステム140に搬送されるので、その測定はリアルタイムで行われて良い。
改善された測定方法は、履歴データベース内に保存されているデータに基づき、半導体製造者によって特定されて良い。たとえば半導体製造者は、SEM測定を行ったときに、その測定の時系列的順序に従ってウエハ上の多数の点を選択して良く、改善された測定方法と、SEM装置を用いて測定されたデータとを関連づける。TEM及び/又はFIBデータを利用する製造者がいても良い。
それに加えて、OTSM関連方法が高品質の素子を製造し、今後もそれが続くという自信を製造者が持てば、改善された測定方法に用いられる測定位置の数は減らしても良い。あるいはその代わりに、他の測定方法及び/又は他の測定位置が用いられても良い。
新しい及び/若しくはさらに別な改善された方法のデータ、並びに/又はOTSM関連測定データが必要とされるとき、改善された光計測は、ウエハ上の1以上の位置で行われて良い。たとえば周期回折格子、周期アレイ、及び/又は他の周期構造体のような、ウエハ上の改善された測定の特徴部位は、図3に図示された1以上の測定位置で測定されて良い。たとえば、ウエハ上の改善された測定の特徴部位は、OTSM中、レジスト層中若しくはOTARC層中、又はこれらを組み合わせた層中にあって良い。それに加えて、ウエハ上の改善された測定の特徴部位は、OTSM、レジスト層若しくはOTARC層、又はこれらを組み合わせた層を用いて作製されて良い。
たとえばOTSM関連測定方法のような、改善された方法は時間がかかり、かつプロセスシステムの出力に影響を及ぼす恐れがある。プロセス実行中、製造者はウエハ測定にかかる時間を最小にしようとする。改善された測定方法は状況によって駆動して良い。また様々な戦略及び/又は計画が、ウエハの状況に基づいて選択されて良い。たとえば1以上のウエハは測定されなくても良く、並びに/又は、プロセスは、改善された測定方法及び/若しくは計画に含まれる測定位置のサブセットを用いて実行されて良い。
半導体プロセスの開発中、1以上の履歴マップが、今後の利用のために生成及び保存されて良い。履歴マップは、図3に図示された測定位置とは異なる測定位置での測定データを有して良い。あるいはその代わりに、履歴マップは測定位置と同一の組を用いて良いし、又は履歴マップを必要としなくても良い。
改善された測定方法の実行中、1以上の予測マップが生成及び/又は修正されて良い。予測マップは、予測された測定データ、予測された改善データ、及び/又は予測されたプロセスデータを有して良い。たとえば改善された測定モデルは、データの計算に用いられて良い。
それに加えて、1以上の予測マップは、OTSM関連方法の実行中に生成及び/又は修正されて良い。また予測マップは、予測された測定データ、予測されたOTSM関連データ、及び/又は予測されたOTSMプロセスデータを有して良い。たとえば予測されたOTSM関連データは、使用されている光学的に調節可能な材料の種類に依存するOTSM関連予測モデルを用いることによって得られて良い。
さらに1以上の信頼マップが生成及び/又は修正されて良い。信頼マップは、測定データについての信頼値、予測データ、モデル化データ、OTSM関連測定データ、及び/又はOTSM関連プロセスデータを有して良い。
ウエハマップは、とりわけ、1以上のGOFマップ、1以上の厚さマップ、1以上のビア関連マップ、1以上の限界寸法(CD)マップ、1以上のCDプロファイルマップ、1以上の材料関連マップ、1以上の溝関連マップ、1以上の側壁角関連マップ、若しくは1以上の幅の差異のマップ、又はこれらの結合を有して良い。測定データはまた、位置の結果データ、位置の番号データ、CD測定フラグデータ、測定位置数のデータ、座標Xデータ及び座標Yデータを有して良い。
OTSM関連ウエハマップが生成及び/又は修正されるとき、値を計算する必要はなく、及び/又は、その値はウエハ全体について必要ではない。またウエハマップは、1以上のチップ/ダイ、1以上の異なる領域、及び/又は1以上の異なる形状の領域についてのデータを有して良い。たとえばプロセスチャンバは固有の特徴を有して良く、その特徴はウエハのある領域での特徴部位及び/又は測定精度に影響を及ぼして良い。それに加えて製造者は、歩留まりを最大にするため、ウエハの1以上の領域でのチップ/ダイについての計測データに正確さを欠くことを認めても良い。マッピングアプリケーション及び/又はFDCシステムは、均一性及び/又は精度限界を決定するのに業務上の規則を用いて良い。業務上の規則は、65nmノードに関連する特徴部位の大きさ、及び小さなノード(45nm及び32nm)に関連する特徴部位の大きさについて設定されて良い。
OTSM関連マップでの値が限界に近いとき、信頼値及び/又は精度値は、各異なるOTSMs、各異なるチップ/ダイ、及び/又は各異なるウエハ領域について重み付けされて良い。たとえば開発の初期段階では、低い信頼値の重み付けは、OTSMに関連する精度の計算及び/又は精度のデータに割り当てられて良い。それに加えて、1以上のOTSM関連プロセスに関係するプロセスの結果、測定、履歴及び/又は予測マップは、ウエハの信頼マップを計算するのに用いられて良い。たとえば別なマップからの値が、重み付因子及び/又は限界として用いられて良い。
OTSM関連方法からのデータは、測定及び/又は製造計画の変更、並びに新たな測定位置及び/又は新たな製造レシピをいつ作るのかを決定するのに用いられて良い。それに加えて、信頼値がウエハの1以上の領域で低いとき、又はエラーが発生したとき、1以上の新たな測定位置及び/又は新たな製造レシピが作られて良い。特定のOTSM関連プロセスについての信頼値マップの値が一貫して高いとき、及び/又は特定のOTSM関連プロセスについての測定値が一貫して許容限界範囲内であるとき、用いる測定位置の数を少なくした、及び各ウエハの処理時間を短縮した、新たなOTSM関連プロセス計画が作られて良い。
場合によっては、OTSM関連プロセス中に、ウエハ全体のデータが計算されて良い。あるいはその代わりに、ウエハの一部についてのデータが、計算及び/又は予測されて良い。たとえば一部は1以上の半径領域及び/又は4分円を有して良い。エラー条件は、改善された測定データが決定できないときに表示されて良い。それに加えてエラー条件は、1以上の測定値及び/又は計算/予測値がウエハについて作られた精度限界と範囲外のときに表示されて良い。改善された計測方法の実行中に発生するエラーの中にはFDCシステムに送信されて良いものもある。FDCシステムはプロセスシステムが、どのようにエラーに応答すべきかを決定して良い。エラーの中には、1以上のサブシステム(110、120、130及び140)によって解決されて良いエラーもある。
工程240では、いつウエハに精度問題が起こるのかを決定するクエリーが実行されて良い。たとえば精度問題は、ウエハについての改善された測定データが、ウエハの1以上の領域で精度の仕様を満たしていないときに生じると考えられる。改善された測定データがウエハの1以上の領域で精度の仕様を満たしていないとき、方法200は工程250へ進んで良い。改善された測定データがウエハの1以上の領域で精度の仕様を満たしているとき、方法200は工程260へ進んで良い。
工程250では、測定データがウエハの1以上の領域で精度の仕様を満たしていないウエハについて、再度プロセスが行われて良い。たとえば精度問題が特定されるとき、通常のプロセス中に、ウエハは、保持位置である第1位置へ搬送されて良い。精度問題が特定されないとき、通常のプロセスシーケンスを介してウエハのプロセスが続けられて良い。
精度問題が特定されるとき、1以上のウエハマップが検査されて良い。改善された測定マップが検討されて、ウエハ上に存在する精度問題の程度が決定されて良い。
一の実施例では、精度問題がある測定位置で特定され、かつその位置でのデータが、ウエハに精度問題は存在することを示唆しているとき、改善された測定プロセスは、引き続きさらに別な測定位置で繰り返されて良い。1以上のさらに別な位置での改善された測定データが精度問題を示唆しているとき、ウエハはプロセスシーケンスから外されて、さらに別な解析及び/又は測定が行われて良い。
1以上のさらに別な評価位置での改善された測定データが、精度問題は存在しないことを示唆しているとき、そのウエハは、第1評価位置を用いることによって再測定されて良い。再測定されたデータが再度、ウエハに精度問題が存在することを示唆するとき、ウエハはプロセスシーケンスから外されて、さらに別な解析及び/又は測定が行われて良い。たとえば精度エラー状況は、精度問題が検出されたときに、作成及び/又は報告されて良い。
新たなOTSMが現像されるとき、新たなOTSM製造レシピは、精度問題が生じたときに改良させて良い。たとえば改善された測定材料の量、応答時間及び/又は種類が変更されて良い。
改善された測定方法は、デュアルダマシン法に用いられて良い。ビア・ファースト・トレンチ・ラスト(Via First Trench Last)(VFTL)法が実行されて良い実施例もあるし、トレンチ・ファースト・ビア・ラスト(Trench First Via Last)(TFVL)法が実行されて良い実施例もある。改善された測定プロセスは、第1ダマシンプロセス前、第2ダマシンプロセス前、又は両ダマシンプロセス前に実行されて良い。あるいはその代わりに、改善された測定プロセスは、デュアルダマシン法では必要とされない。たとえばOTSM及び/又はOTARCが、VFTL及び/又はTFVL法で用いられて良い。
改善された測定方法は、溝構造、ビア構造、デュアルダマシン構造、分離構造若しくは入れ子構造又はこれらの結合構造を形成するのに用いられて良い。
工程260では、いつ別なウエハがプロセスを必要とするのかを決定するクエリーが実行されて良い。別なウエハがプロセスを必要とするとき、方法200は工程260に進んで良い。別なウエハがプロセスを必要としないとき、方法200は工程270に進んで良い。方法200は270で終了して良い。
様々な実施例では、ウエハ状態の情報は、改善された測定方法が実行される前、実行中又は実行後に判断されて良い。ウエハはプロセス中に多くのリソグラフィ工程を経るので、ウエハの現在の(入ってくるときの)状態はばらつき、改善された測定方法も変化すると考えられる。ウエハは複数の層を有して良く、ウエハのサイズは200mmから450mmの範囲で変化して良い。あるいはその代わりに、フラットパネル素子用基板は大きくて良い。
1以上の制御装置(105、115、125、135及び145)は、ウエハ状態の情報を判断して良く、この情報は共有されて良い。ウエハ状態の情報は、さらに別な測定データを有して良い。たとえばウエハのプロセス中、ウエハの中には、外部計測ユニットへ送られて良いものもある。外部計測ユニットとはたとえば、外部計測装置、CD SEMシステム、TEMシステム及び/又はFIBシステムであって良い(いずれも図示されていない)。
プロセスシステム100は、分離した特徴部位及び入れ子構造の特徴部位を有するウエハのプロセスを行うのに用いられて良い。制御戦略は、プロセスシーケンスを定義するのに用いられて良い。分離した/入れ子構造の特徴部位の測定シーケンス中、プロセスサブシステム130及び/又はリソグラフィサブシステム110は、使用される1のIMMレシピを選択して良い。個別のIMMレシピは、分離された構造体及び入れ子構造の構造体に用いられて良い。各ピッチ及び構造体について、各ウエハは個別に測定されて良い。OTSMが用いられるとき、改善さえた測定が行われ、かつ改善された測定データが得られて良い。続いて改善されたライブラリは、改善された測定データ(改善された測定スペクトル)を用いることによって検索され、1以上の分離した又は入れ子構造の構造が特定されて良い。1以上の各異なる位置について改善された測定シーケンスが実行されて良い。たとえば、特定製品及び技術についての分離した構造/特徴部位と一致する第1ピッチを有する測定用回折格子/構造体が供されて良い。またこの製品及び技術についての入れ子構造である構造/特徴部位と一致する第2ピッチを有する測定用回折格子/構造体が供されて良い。
プロセスシステム100はウエハサンプリングを供して良い。ウエハスロットの選択は、(PJ生成)関数を用いることによって決定されて良い。R2R制御構成は、とりわけ、フィードフォワード制御計画変数、フィードバック制御計画変数、計測校正パラメータ、
制御限界、及びSEMI標準変数パラメータを有して良い。計測データレポートは、とりわけウエハ、位置、構造、及び組成データを有して良い。装置は、ウエハについての実際の設定を報告して良い。
計測サブシステム140は、偏光反射率測定法、分光偏光解析法、反射率測定法、又は他の光学測定法を用いることによって、真の素子プロファイル、正確な限界寸法(CD)、及びウエハの複数層の膜厚を測定して良い。計測サブシステム140は、ODP技術を有して良い。ODP(商標)技術は、ODP(商標)プロファイラ(商標)ライブラリ、プロファイラ(商標)アプリケーションサーバ(PAS)又はODP(商標)プロファイラ(商標)ソフトウエアを有して良い。ODP(商標)プロファイラ(商標)ライブラリは、アプリケーション特定の光学スペクトル、並びにそれに対応する半導体プロファイル、CDs及び膜厚のデータベースを有する。プロファイラ(商標)アプリケーションサーバ(PAS)は、光学ハードウエア及びコンピュータネットワークと接続するコンピュータサーバを有する。またPASは、データ通信、ODPライブラリ動作、測定プロセス、結果の生成、結果の解析及び結果の出力を行う。ODP(商標)プロファイラ(商標)ソフトウエアは、PASにインストールされたソフトウエアを有する。そのソフトウエアは、測定レシピ、ODP(商標)プロファイラ(商標)ライブラリ、ODP(商標)プロファイラ(商標)データ、ODP(商標)プロファイラ(商標)結果の検索/一致、ODP(商標)プロファイラ(商標)結果の計算/解析、データ通信、及び様々な計測装置及びコンピュータネットワークに対するPASンターフェースを管理する。
APCシステムは、たとえばレシピ管理アプリケーションのような管理アプリケーションを有して良い。レシピ管理アプリケーションは、データベース中に保存されたOTSM関連レシピの閲覧及び/又は制御に用いられて良い。クライアントネットワークは、工場から離れた場所で別個に設置されて良い。またクライアントネットワークは、複数の装置ユニットへ総合的に管理する機能を供して良い。
再度図1を参照すると、計測サブシステム140は、改善された及び/又は改善されない尾周期構造のデータを得るため、改善された及び/又は改善されていない周期構造を検査するように備えられて良い。周期構造とはたとえば、回折格子、パターニングされたライン、パターニングされたビア、及び/又はパターニングされたアレイである。たとえばゼロ次交差分極測定データが得られて良い。ウエハ測定データが、ゼロ次交差分極測定データに基づいて得られて良い。あるいはその代わりに、他の次数が用いられても良い。
改善された特徴部位及び/又は構造体は、ウエハ上に形成された、改善された及び/又は改善されていない測定用周期構造体を用いることによって決定されて良い。たとえば素子/回路の特徴部位及び/又は構造体が、1以上の製造プロセスを経てウエハ上に作製されることで、測定用周期構造体の特徴部位もまたウエハ上に形成される。それに加えて、1以上の製造プロセス中にウエハ上に形成された素子/回路の特徴部位及び/又は構造体は、改善された及び/又は改善されていない測定用周期構造体として用いられて良い。
それに加えて、1以上の測定用周期構造体は、ウエハ上に形成された素子/回路の近傍であるウエハ上の検査領域内、又はその素子/回路の内部に形成されて良い。たとえば測定用周期回折格子は、ウエハ上に形成された素子/回路に隣接して形成されて良い。あるいはその代わりに、測定用周期回折格子は、素子/回路の動作と干渉しないその素子/回路の領域内、又はウエハ上のスクライブラインに沿って形成されて良い。よって測定用周期回折格子について得られた光学測定データは、素子/回路に隣接する測定用周期回折格子が仕様通りに作製されているか否かを判断するのに用いられて良い。
実施例によっては、計測サブシステム140は、回帰分析を用いることによる、信号及び/又はリアルタイムでの構造解析を行って良く、また解析データは改善された及び/又は改善されていないプロファイルライブラリの生成に用いられて良い。たとえば、回帰分析による最適化法が1組の測定データについて実行されることで、改善された構造体及び/又は特徴部位のプロファイルに関連する、最適化された1組のパラメータ値が得られる。それに加えて、計測サブシステム140は、改善された及び/又は改善されていないデータを保存する記憶装置を有して良い。
計測サブシステム140は、1以上の光計測装置(図示されていない)を有して良い。光計測装置の例には、分光偏光解析器、分光反射率測定器、可変角の単一波長反射率計及び偏光解析器、又は偏光反射率計若しくは偏光解析器が含まれる。計測サブシステム140が楕円偏光解析器を有するとき、回折信号の振幅比tanψ及び位相Δが受信され、かつ検出される。計測サブシステム140が反射率計を有するとき、回折信号の相対強度が受信され、かつ検出される。それに加えて、計測サブシステム140が偏光反射率計を有するとき、回折信号の位相情報が受信され、かつ検出されて良い。
計測サブシステム140は、測定回折信号を受信し、かつその測定回折信号を解析して良い。また測定用周期回折格子は、様々な線形又は非線形のプロファイル抽出方法を用いることによって決定されて良い。様々な線形又は非線形のプロファイル抽出方法とはたとえば、ライブラリに基づく方法、回帰分析に基づく方法等である。ライブラリに基づく方法についてのさらなる詳細な説明については、特許文献8を参照のこと。回帰分析に基づく方法についてのさらなる詳細な説明については、特許文献9を参照のこと。機械学習システムについてのさらなる詳細な説明については、特許文献10を参照のこと。
それに加えて、光計測システム及び方法については、特許文献11、特許文献12、及び特許文献13で説明されている。特許文献8〜特許文献13はすべて、TELの子会社であるティンバーテクノロジー社に譲渡されている。
計測サブシステム140は、測定用周期回折格子を用いることによるOTSM関連方法の実行に用いられて良い。計測サブシステム140は、OTSM関連方法の実行前、実行中、又は実行後、ウエハ上に形成された、改善された及び/又は改善されていない測定用構造のプロファイルを決定するのに用いられて良い。測定用構造とはたとえば、周期回折格子及び/又はアレイである。測定用構造体は、OTSMとして作製され、及び/又はOTSMを用いることによって作製されて良い。また測定用構造体は、たとえばウエハ上に形成される素子の隣のような、ウエハ上の検査領域内に形成されて良い。あるいはその代わりに、測定用周期回折格子は、素子/回路の動作と干渉しないその素子/回路の領域内、又はウエハ上のスクライブラインに沿って形成されて良い。
計測サブシステム140は、1以上の放射線源(図示されていない)及び1以上の放射線検出器(図示されていない)を有して良い。改善されていない及び/又は改善された測定用周期構造は、入射ビームによって照射されて良い。1以上の回折ビームは受光され、かつ測定回折信号(測定回折スペクトル)に変換されて良い。あるいはその代わりに、他の測定方法が用いられても良い。
計測サブシステム140は、測定回折信号を解析し、かつライブラリに基づく方法又は回帰分析に基づく方法を用いることによって、改善されていない及び/又は改善された測定用周期構造のプロファイルを決定して良い。あるいはその代わりに、他の信号が用いられて良い。それに加えて、他の線形又は非線形のプロファイル抽出方法も考えられる。
図4Aは、本発明の実施例に従った、典型的なプロセス前のOTSM構造を図示している。図示された実施例では、典型的なプロセス前のOTSM構造410が図示されている。これらの構造は、改善された測定方法が実行される前には、未処理層419内に存在していると考えられるためである。図4Aでは、処理前のOTSM構造410(たとえば改善された測定方法を用いた処理がなされていない構造)が、典型的な光線415、416及び417と共に図示されている。この例では、未処理層419内に図示されている典型的な処理前のOTSM構造によって全反射される第1光線415が図示されている。たとえば複数の(未処理)OTSM材料は、改善された測定方法が実行される前では、1種類以上の波長で実質的に不透明であると考えられる。それに加えて、典型的な処理前のOTSM構造によって部分反射される第2光線416が図示されている。たとえば複数の(未処理)OTSM材料は、改善された測定方法が実行される前では、1種類以上の波長で部分的に透明であると考えられる。さらに典型的な処理前のOTSM構造を通り抜ける第3光線417が図示されている。たとえば複数の(未処理)OTSM材料は、改善された測定方法が実行される前では、1種類以上の波長で実質的に透明であると考えられる。
処理前のOTSM構造体410の分離間隔411が図示されている。構造体の高さ412が図示されている。また処理前のOTSM構造体410間の距離413が図示されている。たとえば間隔413内の光学的調節可能な材料は、改善された測定方法中に除去されて良い。分離間隔411は周期的であって良い。
処理前のOTSM構造体410は、複数の層上の現像されていない層419であって良い。その複数の層は、底面(背面)反射防止コーティング(BARC)層431、材料層441、及びウエハ層451を有して良い。あるいはその代わりに、異なる積層構成及び/又は異なる材料が用いられても良い。それに加えてウエハ層451は、シリコン、歪みシリコン、シリコンゲルマニウム、若しくはゲルマニウム、誘電材料、セラミックス材料、ガラス材料及び/又は金属材料のような他の半導体材料を有して良い。
場合によっては、露光前の測定スペクトルと露光後の測定スペクトルとの差異が非常に小さいことがあることを、発明者らは指摘している。発明者らは、露光後の測定スペクトルを改善する多くの実施例を検討している。
図4Bは、本発明の実施例に従った、典型的な処理後のOTSM構造体を図示している。図示された実施例では、改善された測定方法が実行された後における、典型的なプロセス後のOTSM構造420が図示されている。図4Bでは、改善された測定方法を用いた処理がなされたOTSM構造420が、典型的な光線425、426及び427と共に図示されている。図示された実施例では、処理後のOTSM構造420によって全反射される典型的な光線425、426及び427が図示されている。たとえば光学的に調節可能なレジスト材料の中には、改善された測定方法の実行後、ほぼ全ての測定波長で実質的に不透明な材料があって良い。代替実施例では、1以上の典型的な光線425、426及び427は、OTSM構造体452によって部分反射されて良い。たとえばOTSM材料の中には、改善された測定方法の実行後、1種類以上の波長で部分的に透明な材料があって良い。さらに別の実施例では、1以上の典型的な光線425、426及び427は、OTSM構造体420を通り抜けて良い。たとえばOTSM材料の中には、改善された測定方法の実行後、1種類以上の波長でほぼ透明な材料があって良い。
OTSM構造体420の分離間隔421が図示されている。構造体の高さ422が図示されている。OTSM構造体420間に開口部423が図示されている。分離間隔421は周期的であって良い。
処理後のOTSM構造体420は、複数の層上であって良い。その複数の層は、底面(背面)反射防止コーティング(BARC)層432、材料層442、及びウエハ層452を有して良い。あるいはその代わりに、異なる積層構成及び/又は異なる材料が用いられても良い。それに加えてウエハ層451は、シリコン、歪みシリコン、シリコンゲルマニウム、若しくはゲルマニウム、誘電材料、セラミックス材料、ガラス材料及び/又は金属材料のような他の半導体材料を有して良い。
実施例によっては、たとえばレジスト材料、ARC材料及び/又はBARC材料のような添加物が、OTSM材料に加えられて良い。添加物は、レジスト層材料へ加えられることで、1以上の波長範囲でのOTSMの光学特性を改善する化学基であって良い。それに加えて、添加物の中には、現像中に活性化するものもあれば、現像後に活性化するものもあって良い。たとえば添加物の中には酸生成段階中で活性化するものもあれば、酸生成段階後で活性化するものがあって良い。
実施例によっては、プロセスシステムに関連する1以上のプロセスチャンバが、レジスト層の光学特性を改善するのに用いられて良い。たとえばウエハは、プロセスチャンバ内部に設けられ、かつ反応性ガス、液体、プラズマ、放射線若しくは熱エネルギー又はこれらを結合させたものを用いて処理されて良い。それによってフォトレジストは、露光波長で又はその近傍の放射線に対して透明ではなくなる。
図5は、本発明の実施例に従った材料特性の典型的なグラフを図示している。図5は、波長に対するフォトレジスト(PR)、BARC、ポリシリコン(Poly)の屈折率(n)及び消散係数(k)を図示している。図5に図示されているように、PRとBARCとは非常に似た光学特性を有する一方で、ポリシリコンは、特に紫外領域(<210nm)で非常に異なった光学特性を有する。あるいはその代わりに、データは、図示された材料について、それぞれ異なっていて良い。
シリコンウエハの反射特性を検討するとき、1以上の最小が、約200nmから約1000nmの間に生じると考えられる。
OTSM材料が均一層でウエハ表面に成膜され、露光及び現像されることで、さらに続けられるプロセスから下地の領域を保護するパターニング領域が残されて良い。同様にして、パターニング領域は、ウエハ上に設けられることで、光計測用ターゲットとして用いられて良い。BARC層は、薄膜干渉に起因する定在波効果及び反射ノッチングを抑制することによって、限界寸法(CD)の制御を改善するのに用いられて良い。一例ではBARC層は、反射光に起因する外乱を減少させるため、リソグラフィ露光の間に用いられる紫外(UV)光を吸収するのに用いられて良い。UV領域では、BARC層からの反射スペクトルはほとんどない。
半導体プロセス設備の主目的の1つは、多数の異なるプロセス装置及び/又は測定装置を用いながら、高品質の素子を一貫して製造することである。限界寸法が減少することで、装置及び/又はチャンバの相性問題がますます重要となっている。さらに別な計測装置がプロセスシーケンスに導入されることで、高品質の測定を行う能力がより重要となっている。計測装置はその特徴が明らかにされなければならない。複数の計測装置が半導体プロセス設備に導入されるとき、その一貫した性能が確認されなければならない。
リソグラフィサブシステム110は、ウエハ上にOTSM材料を堆積するのに用いられて良い。スキャナ150は、リソグラフィサブシステム110と結合して、OTSMを露光するのに用いられて良い。スキャナ150は侵浸リソグラフィ法を用いて良い。リソグラフィサブシステム110はまた、ベーキングプロセス及び/又は現像プロセスを実行しても良い。たとえば改善された計測方法中、堆積後ベーキング(PAB)及び/又は露光後ベーキング(PEB)プロセスがOTSM上で実行されて良い。実施例によっては、PAB時間は約10秒から約15分まで変化して良く、かつOTSM材料のガラス転移温度に依存して良い。
PEBプロセスは、酸触媒反応を駆動し、かつ改善された測定方法OTSM材料の触媒作用を活性化、及び/又は駆動するのに用いられて良い。PEB温度は、約60℃と約375℃の間であって良い。PEB時間は、約30秒から約5分の間で変化して良い。それに加えて、如何なる現像溶媒をも除去するために、乾燥工程が実行されて良い。
OTSM中の改善された構造体が測定されるとき、改善されたプロファイルライブラリが、利用及び/又は生成されて良い。それに加えて、OTSMを用いて生成された、改善された特徴部位及び/又は改善されたプロファイルライブラリが測定されるとき、改善されたプロファイルライブラリが、利用及び/又は生成されて良い。改善されたプロファイルライブラリは改善された信号を有して良く、及び/又は、改善されたプロファイル/形状は、それに関連する、より正確な(改善された)パラメータを有して良い。たとえば改善されたプロファイルライブラリは広い帯域信号を有して良い。プロファイル/形状は、それに関連する、より正確な長さ、幅及び/又は高さを有して良い。
改善された(精度が向上した)ライブラリでは、シミュレーションによる回折信号は、他の波長において他のデータ点を有して良い。たとえば他のデータ点は、露光波長及び/又はその近傍よりも短い波長でも利用可能である。改善された特徴部位が測定及び/又はシミュレーションされるとき、広い帯域信号は、より正確なプロファイル/形状を供するのに用いられて良い。それに加えて、改善された(精度が向上した)ライブラリは、32nmテクノロジーノードに関連する小さな特徴部位を有して良い。たとえばOTSM関連の特徴部位のような、改善された及び/又は極小の特徴部位を測定するときでも、測定誤差は、5%未満にすることが可能である。
実施例によっては、ライブラリに基づくプロセスが、OTSM関連方法での測定用周期構造のプロファイルを決定するのに用いられて良い。ライブラリに基づくプロセスでは、測定回折信号は、改善されていない周期構造及び/又は改善された周期構造についてのシミュレーションされた回折信号のライブラリと比較されて良い。ライブラリ内のシミュレーションされた回折信号は、改善されていない測定用周期構造及び/又は改善された測定用周期構造に関連づけられて良い。OTSMからの測定回折信号と改善されたライブラリ内のシミュレーションによる回折信号の1とが一致するとき、又は、測定回折信号とシミュレーションによる回折信号の1との差異が事前設定された基準又は一致基準の範囲内であるとき、一致したシミュレーションされた回折信号に関連する仮説プロファイルは、OTSM内の測定された構造体の実際のプロファイルを表すものと推定される。よってその一致したシミュレーションされた回折信号及び/又は仮説プロファイルは、OTSMが仕様通りに作製されているか否かをより正確に判断するのに利用されて良い。OTSMからの測定回折信号と改善されたライブラリ内のシミュレーションによる回折信号の1とが一致しないとき、又は、測定回折信号とシミュレーションによる回折信号の1との差異が事前設定された基準又は一致基準の範囲内でないとき、一致させるために、新たな改善された仮説プロファイル及び関連するシミュレーションされた回折信号が生成され、かつ用いられて良い。
それに加えて、一致しないときには、失敗の状況であることが報告されて良い。このことは、OTSM及び/又はOTSMを用いて作製された構造が仕様通りに作製されていないことを示唆する。評価(測定)工程が、現像検査(DI)工程最中又はその前に実行されるとき、製造に係るエラーはプロセスシーケンスの早い段階で検出可能であるため、失敗したウエハは少ししか製造されずに済む。それに加えて、OTSMは容易に除去及び再度堆積することが可能であるため、失敗したウエハは作り直すことが可能である。
OTSM関連材料及びプロセスで用いられる単一層及び多層の仮説プロファイルが生成されて良い。それに加えて、損傷を受けた、及び/又は損傷を受けていない構造及び/又は特徴部位の仮説プロファイルが生成されても良い。
他の実施例では、改善された測定用構造及び/又は改善されていない測定用構造のプロファイルを決定するのに、回帰分析に基づくプロセスが用いられて良い。回帰分析に基づくプロセスでは、測定回折信号は、シミュレーションされた回折信号(つまり試行回折信号)と比較されて良い。シミュレーションされた回折信号は、仮説プロファイルについての1組のパラメータ(つまり試行パラメータ)を用いた比較を行う前に生成されて良い。測定回折信号とシミュレーションされた回折信号とが一致しないとき、又は、測定回折信号とシミュレーションされた回折信号の1との差異が事前設定された基準又は一致基準の範囲内でないとき、別な仮説プロファイルについての別な組のパラメータを用いて、別のシミュレーションされた回折信号が生成されて、その新たに生成されるシミュレーションされた回折信号と測定回折信号とが比較される。よって一致するシミュレーションされた回折信号及び/又は仮説プロファイルは、構造体が仕様通りに作製されているか否かを判断するのに利用されて良い。
新たな及び/又はさらに加えられた仮説プロファイルは、1組のパラメータを用いて改善された仮説プロファイルの特徴を表し、それに続いてそのパラメータの組を変化させることによって生成されて良い。それにより関連する信号に従って様々な形状及び大きさの仮説プロファイルが生成されて良い。パラメータの組を用いてプロファイルの特徴を表す方法は、パラメータ化と呼ぶことができる。それに加えて、さらに別の改善された仮説プロファイルは、1組のパラメータを用いて仮説信号の特徴を表し、それに続いてより広い範囲の波長にわたってそのパラメータの組を変化させることによって、生成されて良い。
実施例によっては、光計測装置から得られた測定データが偏光データを有して良い。偏光データは、P領域データに変換されて良い。P領域データは、複数のOTSM関連プロセスで用いられて良い。たとえばP領域信号は、OTSM関連構造/プロファイル及び/又は改善されたプロファイルを特定するのに用いられて良い。
他の実施例では、改善された測定信号は、改善された光計測装置から得られて良く、かつ改善された偏光データを有して良い。改善された偏光データは、改善されたP領域データに変換されて良い。改善されたP領域データは、複数のOTSM関連プロセスで用いられて良い。たとえば改善されたP領域信号は、OTSM関連構造/プロファイル及び/又は改善されたプロファイルを特定するのに用いられて良い。たとえば改善されたP領域信号は、より広範な(改善された)帯域を有するデータを有して良い。
OTSMは、露光プロセスのために、最適化、調節、及び/又は改善が可能な第1組の光学特性、並びに、測定プロセスのために、最適化、調節、及び/又は改善が可能な第2組の光学特性を有して良い。それに加えて、OTSMは、露光装置用に、最適化、調節、及び/又は改善が可能な第1組の光学特性、並びに、測定装置用に、最適化、調節、及び/又は改善が可能な第2組の光学特性を有して良い。
図6は、OTSM層を用いて生成された改善されたプロファイルライブラリを用いた方法の典型的なフローダイヤグラムを図示している。図示された実施例では、測定信号を利用して構造体の改善されたプロファイルを決定する方法600が示されている。610では、信号は、計測装置によって、OTSM層内の構造体からの信号が測定されて良く、測定信号は、測定によって生成されて良い。それに加えて、信号は、OTSM層を又は別の光学的に調節可能な層を用いることによって作製可能な構造体を離れたときに測定されて良い。
620では、測定信号は、1以上の改善されたプロファイルライブラリ内の複数の改善された信号と比較されて良い。改善されたプロファイルライブラリ内の改善された信号は、改善された1組の波長によってその特徴が表されて良い。それに加えて、改善されたプロファイルライブラリは、より正確なデータ、及び/又は65nm以下のノードに関連する小さな特徴部位についてのデータを有して良い。
630では、一致条件が見つかったときには、構造体は、一致条件に関連する改善されたプロファイル形状を用いて特定されて良い。640では、一致条件が見つけられないときには、第1補正作用が適用されて良い。方法600に関連する又は該方法における1以上のタスクは、リアルタイムで実行されることで、処理能力を最大化させて良い。改善されたプロファイルライブラリが、用いられ、精緻化され、及び/又は動的に生成されて良い。OTSM関連方法は、リアルタイムで実行されて良い。
第1補正作用を適用する方法は第1の改善されたプロファイルデータ空間を決定する工程を有して良い。その第1の改善されたプロファイルデータ空間は、測定信号、改善されたプロファイルライブラリデータ、プロセスデータ、履歴データ又はこれらの結合を用いることによって決定されて良い。続いて最善の推定信号は、第1の改善されたプロファイルデータ空間内部で決定されて良い。改善されたプロファイル形状及び/又は改善されたプロファイルパラメータは、最善の推定信号に関連して良い。よって、測定信号と最善の推定信号との第1差異が計算されて良い。その第1差異は、第1の改善されたプロファイルライブラリ生成基準と比較されて良い。それに続いて、第1の改善されたプロファイルライブラリ生成基準が満たされるときには、構造体は、最善の推定信号に関連する改善されたプロファイル形状を用いて特定されて良い。又、第1の改善されたプロファイルライブラリ生成基準が満たされないときには、第2補正作用が適用されて良い。
それに加えて、第1の改善されたプロファイルライブラリ生成基準が満たされるときには、最善の推定信号及び該最善の推定信号に関連する改善されたプロファイル形状は、改善されたプロファイルライブラリに保存されて良い。
第2補正作用を適用する方法は、第1の改善されたプロファイルデータ空間内部から新たな最善推定信号を選択する工程、及びその新たな最善推定信号に基づいて新たな改善されたプロファイル形状及び/又は新たに改善されたプロファイルパラメータを決定する工程を有して良い。プロセスによっては、最適化手法が実行されることで、新たな最善推定信号が選択されて良い。続いて、測定信号と新たな最善推定信号との新たな差異が計算され、かつその新たな差異が新たなプロファイルライブラリ生成基準と比較されて良い。それに続いて、新たな改善されたプロファイルライブラリ生成基準が満たされるときには、構造体は、新たな最善推定信号に関連する新たな改善されたプロファイル形状を用いて特定されて良い。又構造体は、新たな改善されたプロファイルライブラリ生成基準が満たされないときには、前記選択、前記計算及び前記比較が中止されて良い。最適化手法が用いられるとき、大域的最適化手法及び/又は局所的最適化手法が用いられて良い。
それに加えて、新たな改善されたプロファイルライブラリ生成基準が満たされる場合には、新たな最善推定信号及びその新たな最善推定信号に関連する新たな改善されたプロファイル形状が、改善されたプロファイルライブラリに保存されて良い。
一例では、改善されたプロファイルライブラリは、OTSM層中の計測を改善する材料を活性化することによって、OTSM層中に形成された複数の改善された構造体を有して良い。
それに加えて、改善されたプロファイルライブラリは、OTSM層を用いることによってウエハ上の材料層内に形成された複数の改善された構造体を有して良い。OTSM層は、OTSM層中の計測を改善する材料を活性化することによって形成される改善された特徴部位を有する。
一致条件は、GOFデータ、材料データ、波長データ、閾値データ、プロセスデータ若しくは履歴データ又はこれらの結合を有して良い。
その方法は、測定信号についての精度値を決定する工程、その精度値と精度限界とを比較する工程、及び、精度値が精度限界を満たさない場合には、改善された測定方法を実行する工程をさらに有して良い。たとえば改善された測定方法は、露光波長及び/又はその付近の波長で測定可能な、改善された測定装置を用いて実行されて良い。
その方法はまた、改善されたプロファイルデータ空間、改善されたプロファイル形状、若しくは改善されたプロファイルパラメータ又はこれらの結合に係る最善推定信号についての精度値を決定する工程、精度値と精度限界とを比較する工程、及び、精度値が精度限界を満たさない場合には精緻化方法を実行する工程をも有して良い。あるいはその代わりに、新たなOTSM及び/又は新たなOTSM関連方法が実行されても良い。
他の実施例では、第1補正作用を適用する方法は、改善された測定方法を実行する工程、改善された測定信号と改善されたプロファイルライブラリ内の複数の信号とを比較する工程、及び、一致条件が見つかったときには改善された測定信号に関連する改善されたプロファイル形状を用いて構造体を特定し、一致条件を見つけることができなかったときには第2補正作用を適用する工程を有して良い。改善された信号は改善された計測装置を用いることによって構造体から離れたときに得られ、改善された測定方法は400nm未満である1以上の波長で増大する振幅を有する改善された測定信号を発生させる。
他の実施例では、第2補正作用を適用する方法は、第1の改善されたプロファイルデータ空間を決定する工程、その第1の改善されたプロファイルデータ空間内部で第1の最善推定信号を決定する工程、第1の最善推定信号と改善された測定信号との第1差異を計算する工程、その第1差異と第1の改善されたプロファイルライブラリ生成基準とを比較する工程、及び、第1の改善されたプロファイルライブラリ生成基準が満たされるときには第1の最善推定信号に関連する第1の改善されたプロファイル形状を用いて構造体を特定し、第1の改善されたプロファイルライブラリ生成基準が満たされないときには第3補正作用を適用する工程を有して良い。第1の改善されたプロファイルデータ空間は、改善された測定信号、改善されたプロファイルライブラリデータ、プロセスデータ、履歴データ又はこれらの結合を用いることによって決定される。第1の改善されたプロファイル形状及び/又は第1の改善されたプロファイルパラメータは、第1の最善推定信号に基づいて決定される。それに加えて、第1の改善されたプロファイルライブラリ生成基準が満たされる場合、第1の最善推定信号及びそれに関連する第1の改善されたプロファイル形状は、改善されたプロファイルライブラリ内に保存されて良い。
さらに第3補正作用を適用する方法は、第1の改善されたプロファイルデータ空間内部から新たな最善推定信号を選択する工程、その新たな最善推定信号と改善された測定信号との新たな差異を計算する工程、その新たな差異と新たな改善されたプロファイルライブラリ生成基準とを比較する工程、及び、新たな改善されたプロファイルライブラリ生成基準が満たされるときには、新たな最善推定信号に関連する新たな改善されたプロファイル形状を用いて構造体を特定し、新たな改善されたプロファイルライブラリ生成基準が満たされないときには、前記選択、前記計算及び前記比較を中止する工程を有して良い。新たな改善されたプロファイル形状及び/又は新たに改善されたプロファイルパラメータは、その新たな最善推定信号に基づいて決定されて良い。新たな最善推定信号を選ぶのに最適化手法が実行されて良い。それに加えて新たな改善されたプロファイルライブラリ生成基準が満たされるときには、新たな最善推定信号及び該新たな最善推定信号に関連する新たな改善されたプロファイル形状は、改善されたプロファイルライブラリに保存されて良い。
他の実施例では、第1補正作用を適用する方法は、測定プロファイル形状を決定することで測定信号を関連づける工程、改善された1組の波長によって特徴づけられる改善されたプロファイルライブラリ内の複数のプロファイル形状と、測定プロファイル形状とを比較する工程、及び、一致条件が見つかったときには測定プロファイル形状を用いて構造体を特定し、一致条件を見つけることができなかったときには第2補正作用を適用する工程を有して良い。
さらに第2補正作用を適用する方法は、第1の改善されたプロファイルデータ空間を決定する工程、その第1の改善されたプロファイルデータ空間内部で第1の最善推定プロファイル形状を決定する工程、第1の最善推定プロファイル形状と測定プロファイル形状との第1差異を計算する工程、その第1差異と第1の改善されたプロファイルライブラリ生成基準とを比較する工程、及び、第1の改善されたプロファイルライブラリ生成基準が満たされるときには第1の最善推定信号に関連する第1の改善されたプロファイル形状を用いて構造体を特定し、第1の改善されたプロファイルライブラリ生成基準が満たされないときには第3補正作用を適用する工程を有して良い。第1の改善されたプロファイルデータ空間は、改善された測定信号、改善されたプロファイルライブラリデータ、プロセスデータ、履歴データ又はこれらの結合を用いることによって決定される。第1の改善されたプロファイル形状及び/又は第1の改善されたプロファイルパラメータは、第1の最善推定信号に関連づけられる。それに加えて、第1の改善されたプロファイルライブラリ生成基準が満たされる場合、第1プロファイル形状及びそれに関連するデータは、改善されたプロファイルライブラリ内に保存されて良い。
他の実施例では、第3補正作用を適用する方法は、第1の改善されたプロファイルデータ空間内部から新たな最善推定プロファイル形状を選択する工程、その新たな最善推定プロファイル形状と測定プロファイル形状との新たな差異を計算する工程、その新たな差異と新たな改善されたプロファイルライブラリ生成基準とを比較する工程、及び、新たな改善されたプロファイルライブラリ生成基準が満たされるときには、新たな最善推定プロファイル形状を用いてマスク構造を特定し、新たな改善されたプロファイルライブラリ生成基準が満たされないときには、前記選択、前記計算及び前記比較を中止する工程を有して良い。新たな改善されたプロファイル信号及び/又は新たに改善されたプロファイルパラメータは、その新たな最善推定プロファイル形状に基づいて決定されて良い。新たな最善推定プロファイル形状を選ぶのに最適化手法が実行されて良い。それに加えて新たな改善されたプロファイルライブラリ生成基準が満たされるときには、新たな最善推定プロファイル形状及び該新たな最善推定プロファイル形状に関連するデータは、改善されたプロファイルライブラリに保存されて良い。
様々な実施例では、改善されたプロファイルライブラリ生成基準は、GOFデータ、OTSM関連データ、波長データ、閾値データ、プロセスデータ、履歴データ又はこれらの結合を有して良い。それに加えて改善されたプロファイルライブラリ生成基準は、サイズデータ、精度データ、分解能データ、プロセスデータ、材料データ及び/又は構造データを有して良い。
その差異は、約100nmから約1000nmの波長範囲内である1以上の波長を用いることによって決定されて良い。実施例によっては、最善推定信号及び/又は最善推定プロファイルが、改善されたプロファイルライブラリに関連するクラスタの差異を用いることで、リアルタイムに決定されて良い。また実施例によっては、最善推定信号及び/又は最善推定プロファイルは、改善されたプロファイルデータ空間内の多角形を用いることによってリアルタイムに決定されて良い。
たとえば多角形は、改善されたプロファイルデータ空間内で生成又は選択されて良い。あるいはその代わりに多角形は、改善されていないプロファイルライブラリ内に生成されても良い。多角形は、最善の推定がされたデータ点又は最も良く一致するデータ点を用いることによって決定されて良い。また多角形は、改善されたプロファイルデータ空間中での選択されたプロファイルパラメータのデータ点に対応する角を有して良い。そのデータ点は、最善の推定がされたデータ点又は最も良く一致するデータ点に近い。それに加えて、多角形に関連する全費用関数は最小とすることが可能である。また全費用関数は、参照用信号に対する選択されたプロファイルパラメータのデータ点に対応する信号の費用関数、及び参照用信号に対する最善推定信号の費用関数を有して良い。最小化が成功したときには、生成される改善されたプロファイルデータは保存されて良い。多角形は、各改善されたプロファイルパラメータに関連する少なくとも1の角を有して良い。全費用関数は、1組のウエイトベクトルを選択することで最小化されて良い。各ウエイトベクトルは、ベクトル要素を有して良い。各ベクトル要素は、選択されたデータ点に対応する改善されたプロファイル信号に関連づけられて良い。次にウエイトベクトルの組の中の各ウエイトベクトルについて全費用関数が計算されて良い。全費用関数を最小にするウエイトベクトルが選択されて良い。よって改善されたプロファイルデータは、最小の全費用関数に関連するウエイトベクトルを用いることによって、生成又は精緻化されて良い。
改善されたプロファイルライブラリを生成及び/又は精緻化するとき、調節行列(adjustment matrix)が計算されて良い。調節行列は、少なくとも1の改善されたプロファイル信号についての調節値を有して良い。各調節値は、改善されていないプロファイルライブラリのプロファイルに関連する回折信号若しくは改善されたプロファイルライブラリのプロファイルに関連する回折信号又はこれらの結合を用いることによって決定されて良い。新たな改善されたプロファイル信号は、調節行列、及び、改善されていないプロファイルライブラリに関連する回折信号、改善されたプロファイルライブラリに関連する回折信号、又はライブラリ外部のデータ点に関連する回折信号を用いることによって生成されて良い。
精緻化方法が用いられるとき、その精緻化方法は、双1次精緻化(bilinear refinement)、ラグランジュ精緻化、キュービックスプライン(Cubic Spline)精緻化、エイトケン(Aitken)精緻化、重み付けされた平均(weighted average)精緻化、多重2次(multi−quadratic)精緻化、双3次(bicubic)、タラン(Turran)精緻化、ウエーブレット精緻化、ベッセルの精緻化、エバレット精緻化、有限差分精緻化、ガウス精緻化、エルミート精緻化、ニュートン差分(Newton’s divided difference)精緻化、接触(osculating)精緻化若しくはシールの(Thiele’s)精緻化アルゴリズム、又はこれらの結合を利用して良い。
場合によっては、最善推定信号は、全費用関数を最小にすることによって決定されて良い。全費用関数は、改善された参照用/測定信号に対する選択されたプロファイルパラメータのデータ点に対応する信号の費用関数、及び、改善された/測定された参照用信号に対する最善推定信号の費用関数を有して良い。
他の実施例では、第2補正作用を適用する方法は、新たな改善されたプロファイルデータ空間を決定する工程、その新たな改善されたプロファイルデータ空間内部で第2の最善推定信号を決定する工程、第2の最善推定信号と測定信号との第2差異を計算する工程、その第2差異と第2の改善されたプロファイルライブラリ生成基準とを比較する工程、及び、第2の改善されたプロファイルライブラリ生成基準が満たされるときには第2の最善推定信号に関連する改善されたプロファイル形状を用いて構造体を特定し、第2の改善されたプロファイルライブラリ生成基準が満たされないときには第3補正作用を適用する工程を有して良い。新たな改善されたプロファイルデータ空間は、改善された測定信号、改善されたプロファイルライブラリデータ、プロセスデータ、履歴データ又はこれらの結合を用いることによって決定される。第2の改善されたプロファイル形状及び/又は第2の改善されたプロファイルパラメータは、第2の最善推定信号に関連づけられる。それに加えて、第1の改善されたプロファイルライブラリ生成基準が満たされる場合、第2プロファイル形状及びそれに関連するデータは、改善されたプロファイルライブラリ内に保存されて良い。
さらに第3補正作用を適用する方法は、新たな改善されたプロファイルデータ空間内部から新たな最善推定信号を選択する工程、その新たな最善推定信号と測定信号との新たな差異を計算する工程、その新たな差異と新たな改善されたプロファイルライブラリ生成基準とを比較する工程、及び、新たな改善されたプロファイルライブラリ生成基準が満たされるときには、新たな最善推定信号に関連する新たな改善されたプロファイル形状を用いて構造体を特定し、新たな改善されたプロファイルライブラリ生成基準が満たされないときには、前記選択、前記計算及び前記比較を中止する工程を有して良い。新たな改善されたプロファイル形状及び/又は新たに改善されたプロファイルパラメータは、その新たな最善推定信号に基づいて決定されて良い。新たな最善推定信号を選ぶのに最適化手法が実行されて良い。それに加えて新たな改善されたプロファイルライブラリ生成基準が満たされるときには、新たな最善推定信号及び該新たな最善推定信号に関連する新たな改善されたプロファイル形状は、改善されたプロファイルライブラリに保存されて良い。
構造体の改善されたプロファイルを決定する別の方法では、測定信号は、OTSMプロファイルライブラリ内の複数の信号と比較されて良く、OTSMプロファイルライブラリは、OTSM内で生成された複数の改善された構造体若しくはOTSMを用いることによって生成された複数の改善された構造体又はこれらの結合を有して良い。OTSMプロファイルライブラリ内の改善された信号は、そのOTSMプロファイルライブラリに関連する1以上のOTSMに係る光学特性を用いて決定される改善された1組の波長によって特徴づけられて良い。1以上のOTSM中の計測を改善する材料を活性化させることによって、様々な光学特性が生成されて良い。
構造体の改善されたプロファイルを決定するさらに別の方法では、OTSM層中の構造体は、計測装置を用いることによって測定されて良く、その測定によって、最善の推定プロファイル形状が生成されて良い。シミュレーションが実行されて良く、シミュレーションによる改善された信号が生成されて良い。シミュレーションによる改善された信号は、最善推定プロファイル形状に対応する改善されたプロファイル形状によって特徴づけられる改善された構造体を離れたときに生成されて良い。次にシミュレーションによる改善された信号は、光学的に調節可能な軟マスク(OTSM)内の複数の信号と比較されて良い。OTSMプロファイルライブラリは、OTSM内で生成された複数の改善された構造体若しくはOTSMを用いることによって生成された複数の改善された構造体又はこれらの結合を有して良い。OTSMプロファイルライブラリ内の改善された信号は、そのOTSMプロファイルライブラリに関連する1以上のOTSMに係る光学特性を用いて決定される改善された1組の波長によって特徴づけられて良い。1以上のOTSM中の計測を改善する材料を活性化させることによって、様々な光学特性が生成されて良い。よって、一致条件が見つかったときには、構造体はその一致条件に関連する測定プロファイル形状を用いることによって特定されて良く、一致条件を見つけることができなかったときには、補正作用が適用されて良い。
図7は、本発明の実施例に従って改善されたプロファイルライブラリを生成する方法の典型的なフローダイヤグラムを図示している。図示された実施例では、OTSM層を利用して改善されたプロファイルライブラリを生成する方法700が示されている。710では、改善された参照用構造は、ウエハ上のOTSM中又は別の光学的に調節可能な層中に生成されて良い。他の実施例では、改善された参照用構造は、OTSMをマスクとして用いることによって、1層以上の材料層中に生成されて良い。
ウエハは、半導体材料、誘電材料、ガラス材料、セラミック材料、若しくは金属材料又はこれらの混合材料を有して良い。材料層は、半導体材料、誘電材料、ガラス材料、セラミック材料、若しくは金属材料又はこれらの混合材料を有して良い。
720では、改善された参照用構造は、計測装置を用いることによって測定され、その測定によって、改善された参照用信号若しくは改善された参照用プロファイル形状若しくは改善された参照用プロファイルパラメータ又はこれらの結合を有することのできる改善された参照用データを生成することができる。730では、クエリーが実行されることで、一致条件を見つけることができたか否かが判断されて良い。改善された参照用信号若しくは改善された参照用プロファイル形状若しくは改善された参照用プロファイルパラメータ又はこれらの結合は、改善されたプロファイルライブラリ内のデータと比較されて良い。改善されたプロファイルライブラリ内のデータは、改善された1組の波長によって特徴づけられて良い。
730では、クエリーを実行することで、一致条件を見つけることが可能などうかが判断されて良い。改善された参照用信号、若しくは改善された参照用プロファイル形状、若しくは改善された参照用プロファイルパラメータ、又はこれらの結合が、改善されたプロファイルライブラリ内のデータと比較されて良い。改善されたプロファイルライブラリ内のデータは改善された波長の組によって特徴付けられる。
740では、一致条件が見つかったときには、改善された参照用構造は、その一致条件に関連する改善されたプロファイルライブラリデータを用いることによって特定されて良い。750では、一致条件を見つけることができなかったときには、第1補正作用が適用されて良い。
いくつかの例では、第2補正作用を適用する方法は、改善されたプロファイルライブラリに関連する第1の改善されたプロファイルデータ空間内部の第2の最善データ点を決定する工程、第2の最善データ点と改善された参照用データとの第2差異を計算する工程、その第2差異と第2の改善されたプロファイルライブラリ生成基準とを比較する工程、及び、第2の改善されたプロファイルライブラリ生成基準が満たされるときには第2の最善データ点に関連する改善されたプロファイルライブラリデータを用いて改善された参照用構造体を特定しかつ第2の最善データ点に関連する改善されたプロファイルライブラリデータを保存し、第2の改善されたプロファイルライブラリ生成基準が満たされないときには第3補正作用を適用する工程を有して良い。第2の改善されたプロファイル信号若しくは第2の改善されたプロファイル形状若しくは第2の改善されたプロファイルパラメータ又はこれらの結合は、第2の最善データ点に関連づけられる。
いくつかの例では、第3補正作用を適用する方法は、改善されたプロファイルライブラリに関連する新たな改善されたプロファイルデータ空間内部の新たな最善データ点を選択する工程、新たな最善データ点と改善された参照用データとの新たな差異を計算する工程、その新たな差異と新たな改善されたプロファイルライブラリ生成基準とを比較する工程、及び、新たな改善されたプロファイルライブラリ生成基準が満たされるときには新たな最善データ点に関連する改善されたプロファイルライブラリデータを用いて改善された参照用構造体を特定しかつ新たな最善データ点に関連する改善されたプロファイルライブラリデータを保存し、新たな改善されたプロファイルライブラリ生成基準が満たされないときには前記選択、前記計算及び前記比較を中断する工程を有して良い。新たな改善されたプロファイル信号若しくは新たな改善されたプロファイル形状若しくは新たな改善されたプロファイルパラメータ又はこれらの結合は、新たな最善データ点に関連づけられる。
いくつかの例では、第3補正作用を適用する方法は、改善されたプロファイルライブラリに関連する新たな改善されたプロファイルデータ空間付近の新たな最善データ点を選択する工程、新たな最善データ点と改善された参照用データとの新たな差異を計算する工程、その新たな差異と新たな改善されたプロファイルライブラリ生成基準とを比較する工程、及び、新たな改善されたプロファイルライブラリ生成基準が満たされるときには新たな最善データ点に関連する改善されたプロファイルライブラリデータを用いて改善された参照用構造体を特定しかつ新たな最善データ点に関連する改善されたプロファイルライブラリデータを保存し、新たな改善されたプロファイルライブラリ生成基準が満たされないときには前記選択、前記計算及び前記比較を中断する工程を有して良い。新たな改善されたプロファイル信号若しくは新たな改善されたプロファイル形状若しくは新たな改善されたプロファイルパラメータ又はこれらの結合は、新たな最善データ点に関連づけられる。
たとえば最善のデータ点は、大域最適化法若しくは局所最適化法又はこれらの結合を適用することによって選択されて良い。改善されたプロファイルデータ空間は、改善された参照用信号、改善された参照用プロファイル形状、改善されたプロファイルライブラリデータ、改善された参照用構造の作製に関連するプロセスデータ、履歴データ若しくはOTSM関連データ又はこれらの結合を用いることによって決定されて良い。
それに加えて改善されたプロファイルライブラリは、OTSM層中で作製される複数の改善された構造体、及びOTSM層をマスクとして用いることによってウエハ上の材料層中に作製される複数の改善された構造体を有して良い。OTSM層は、改善された光学特性を有する改善された特徴部位を有して良い。その改善された特徴部位は、OTSM層中の計測方法が改善された材料を活性化することによって作製されて良い。一致条件は、精度データ、GOFデータ、OTSMデータ、波長データ、閾値データ、プロセスデータ、履歴データ、又はこれらの結合を有して良い。
いくつかの例では、改善された参照用構造体は、ウエハ上に光学的に調節可能な材料層を堆積することによって作製されて良い。その光学的に調節可能な材料層は、光源の波長付近である第1波長範囲で調節可能であり、かつ光源の波長よりも長波長である第2波長範囲で調節可能である光学特性を有して良い。光学的に調節可能な材料層は、光源の波長でパターンを有する電磁波に露光されることによってパターニングされて良い。たとえば光学的に調節可能な材料層は、露光プロセスの少なくとも一部を実行している間、第1組の光学特性を有して良い。よって、光学的に調節可能な材料層は現像可能となる。露光された光学的に調節可能な材料層が現像中に除去されることによって、少なくとも1の改善された参照用構造体が生成されて良い。光学的に調節可能な材料層の光学特性が現像中に第2組の光学特性に変化することによって、前記少なくとも1の改善された参照用構造体の計測特性が改善されて良い。あるいはその代わりに、一の組の光学特性が露光プロセス用に設定されて良く、他の組の光学特性が露光プロセス後に設定されて良く、及び1以上の別な組の光学特性が現像プロセス中及び/又はその後に設定されて良い。
たとえば光源の波長は、約248nm若しくは約193nm若しくは約157nm若しくは約126nm若しくは約126nm未満又はこれらの結合した範囲であって良い。
入射光と反射光とは以下の関係式を用いて関連づけられて良い。
Figure 2008098630
ここでnは第1媒質の屈折率、nは第2媒質の屈折率で、Eは反射光の電場、及びEは入射光の電場である。反射率Rは、反射波と入射との強度比で定義されて良い。
R=I/I=(E/E
それに加えて、材料によって吸収される光の量は、次式で示される消散係数kと指数関数的減衰との関係式(ビア(Beer)の法則)を用いて決定することができる。
I=I−αz、α=4πk/λ
ここでIは光強度、Iは初期の光強度、zは伝播深さ、αは吸収係数、λは波長で、かつkは消散係数である。
いくつかの例では、第1組の光学特性は調節可能な屈折率(n)を有するレジスト層を用いて設定されて良い。その調節可能な屈折率(n)は、248nm周辺である第1範囲において、約1.2から約2.8で、かつ248nmより長波長である第2範囲において、約1.0から約3.8であり、又は、193nm周辺である第1範囲において、約1.2から約2.8で、かつ193nmより長波長である第2範囲において、約1.0から約3.8であり、又は、157nm周辺である第1範囲において、約1.2から約2.8で、かつ157nmより長波長である第2範囲において、約1.0から約3.8であり、又は、126nm周辺である第1範囲において、約1.2から約2.8で、かつ126nmより長波長である第2範囲において、約1.0から約3.8であり、又は、126nm未満である第1範囲において、約1.2から約2.8で、かつ第1範囲よりも長波長である第2範囲において、約1.0から約3.8であって良い。
それに加えて、第2組の光学特性は調節可能な屈折率(n)を有するレジスト層を用いて設定されて良い。その調節可能な屈折率(n)は、248nm周辺である第1範囲において、約1.2から約2.8で、かつ248nmより長波長である第2範囲において、約1.0から約3.8であり、又は、193nm周辺である第1範囲において、約1.2から約2.8で、かつ193nmより長波長である第2範囲において、約1.0から約3.8であり、又は、157nm周辺である第1範囲において、約1.2から約2.8で、かつ157nmより長波長である第2範囲において、約1.0から約3.8であり、又は、126nm周辺である第1範囲において、約1.2から約2.8で、かつ126nmより長波長である第2範囲において、約1.0から約3.8であり、又は、126nm未満である第1範囲において、約1.2から約2.8で、かつ第1範囲よりも長波長である第2範囲において、約1.0から約3.8であって良い。
いくつかの例では、第1組の光学特性は調節可能な反射率(k)を有するレジスト層を用いて設定されて良い。その調節可能な反射率(k)は、248nm周辺である第1範囲において、約0.2から約0.8で、かつ248nmより長波長である第2範囲において、約0.5から約3.0であり、又は、193nm周辺である第1範囲において、約0.2から約0.8で、かつ193nmより長波長である第2範囲において、約0.5から約3.0であり、又は、157nm周辺である第1範囲において、約0.2から約0.8で、かつ157nmより長波長である第2範囲において、約0.5から約3.0であり、又は、126nm周辺である第1範囲において、約0.2から約0.8で、かつ126nmより長波長である第2範囲において、約0.5から約3.0であり、又は、126nm未満である第1範囲において、約0.2から約0.8で、かつ第1範囲よりも長波長である第2範囲において、約0.5から約3.0であって良い。
それに加えて、第2組の光学特性は調節可能な反射率(k)を有するレジスト層を用いて設定されて良い。その調節可能な反射率(k)は、248nm周辺である第1範囲において、約0.2から約0.8で、かつ248nmより長波長である第2範囲において、約0.5から約3.0であり、又は、193nm周辺である第1範囲において、約0.2から約0.8で、かつ193nmより長波長である第2範囲において、約0.5から約3.0であり、又は、157nm周辺である第1範囲において、約0.2から約0.8で、かつ157nmより長波長である第2範囲において、約0.5から約3.0であり、又は、126nm周辺である第1範囲において、約0.2から約0.8で、かつ126nmより長波長である第2範囲において、約0.5から約3.0であり、又は、126nm未満である第1範囲において、約0.2から約0.8で、かつ第1範囲よりも長波長である第2範囲において、約0.5から約3.0であって良い。
さらに他の例では、一の組の光学特性は、光学的に調節可能なレジスト材料若しくは光学的に調節可能な底部反射防止コーティング(BARC)又はこれらの結合材料によって決定されて良く、他の組の光学特性は、調節された光学的に調節可能なレジスト材料若しくは調節された光学的に調節可能な底部反射防止コーティング(BARC)又はこれらの結合材料によって決定されて良い。調節された光学的に調節可能なレジスト材料は、コーティングプロセス、エッチングプロセス、熱プロセス、洗浄プロセス、酸化プロセス、窒化プロセス、若しくは現像プロセス又はこれらの結合プロセスを用いて設定されて良い。調節された光学的に調節可能なBARC材料は、コーティングプロセス、エッチングプロセス、熱プロセス、洗浄プロセス、酸化プロセス、窒化プロセス、若しくは現像プロセス又はこれらの結合プロセスを用いて設定されて良い。
いくつかの例では、改善された参照用構造体は、ウエハ上に光学的に調節可能な材料層を堆積することによって作製されて良い。光学的に調節可能な材料層は、光源の波長付近である第1波長範囲内で調節可能である一組の光学特性、及び光源の波長よりも長波長である第2波長範囲内で調節可能である他の組の光学特性を有して良い。光学的に調節可能な材料層は、光源の波長でパターンを有する電磁波に露光されることによってパターニングされて良い。光学的に調節可能な材料層は、露光用である第1組の光学特性を有して良い。続いてパターニングされた光学的に調節可能な材料層が現像されて良い。そこでその露光された光学的に調節可能な材料は現像中に除去されることで、少なくとも1の改善された参照用構造体を作製して良い。よって光学的に調節可能な材料層は、現像後プロセス中に第2組の光学特性へ変化することで、少なくとも1の改善された参照用構造体の計測特性を改善させる。たとえば現像後プロセスは、コーティングプロセス、エッチングプロセス、堆積プロセス、熱プロセス、研磨プロセス、洗浄プロセス、酸化プロセス、窒化プロセス若しくはイオン化プロセス又はこれらの結合プロセスを有して良い。
光学特性データは、強度に関するデータ、透過に関するデータ、受光に関するデータ、屈折に関するデータ、吸収に関するデータ、反射に関するデータ若しくは回折に関するデータ又はこれらの結合データを有して良い。
改善された構造体のデータは、CD−走査型電子顕微鏡(CD−SEM)のデータ、透過型電子顕微鏡(TEM)のデータ、原子間力顕微鏡(AFM)のデータ、及び/又は集束イオンビーム(FIB)のデータを用いて測定及び/又は確認されて良い。
改善されたプロファイルライブラリ生成基準は、OTSMのデータ、GOFのデータ、生成規則のデータ、プロセスのデータ、履歴のデータ、閾値のデータ若しくは精度のデータ又はこれらの結合データを有して良い。
それに加えて改善されたプロファイルライブラリが生成されるときには、リアルタイムのプロセスが用いられて良い。たとえば生成プロセス、若しくは測定プロセス、比較プロセス、若しくは特定プロセス、若しくは記憶プロセス、又はこれらの結合プロセスは、リアルタイムで実行されて良い。あるいはその代わりに、1以上の改善されたプロファイルライブラリの生成プロセスは、1以上のコンピュータ/サーバーを用いてオフラインで実行されて良い。第1差異若しくは新たな差異又はこれらの結合は、約100nmから約1000nmの間である複数の波長で決定されて良い。
いくつかの作製プロセスでは、OTSMの堆積前に、反射防止層がウエハ上に堆積されて良い。反射防止層は、調節可能な光学特性又は調節不可能な光学特性を有して良い。調節可能な光学特性は、約100nmから約1000nmの範囲内である1以上の波長で調節可能であって良い。いくつかの実施例では、少なくとも1.5の消散係数、及び1.2よりも大きな屈折率、を露光波長で有して良い。たとえば反射防止層は、シリコン酸化窒化物、若しくはシリコン酸化物又はこれらの結合を有して良い。
他の例では、改善された構造体は、ウエハ上の材料層上に光学的に調節可能な材料層を堆積することによって作製されて良い。光学的に調節可能な材料層は、光源の波長付近である第1波長範囲内で調節可能な光学特性、及び光源の波長よりも長波長である第2波長範囲内で調節可能な1以上である他の光学特性の組を有して良い。あるいはその代わりに、1以上である他の光学特性の組の調節範囲は、光源の波長付近の波長範囲を含んで良い。
光学的に調節可能な材料層は、光源の波長でパターンを有する電磁波に露光されて良い。また光学的に調節可能な材料層は、露光プロセス中では、第1組の光学特性によって特徴づけられて良い。あるいはその代わりに、光学的に調節可能な材料層の光学特性は、露光プロセス中、及び/又は露光プロセスによって、変化して良い。露光された光学的に調節可能な材料層は現像されて良い。またその露光された光学的に調節可能な材料が、現像中に除去されることによって、光学的に調節可能な材料層中に複数の構造体を生成して良い。あるいはその代わりに、露光されていない光学的に調節可能な材料が、現像中に除去されることによって、光学的に調節可能な材料層中に複数の構造体を生成して良い。
それに加えて第1組の改善された構造体は、光学的に調節可能な材料層中の複数の構造体を改善することによって、光学的に調節可能な材料層中に作製されて良い。計測を改善する材料は、現像プロセス中に活性化されて良い。それにより、その光学特性が計測を改善する光学特性の組へ変化することによって、光学的に調節可能な材料層中の第1組の改善された構造体の光学特性が改善されて良い。
続いて、光学的に調節可能な材料層中の第1組の改善された構造体を、エッチングプロセス中にソフトマスクとして用いることによって、第2組の改善された構造体が材料層中に作製されて良い。あるいはその代わりに、残りの光学的に調節可能な材料が除去されなくても良い。
他の実施例では、改善されたプロファイルライブラリは、光学的に調節可能なソフトマスク(OTSM)層中の第1の改善された構造体への改善された入射ビームを導光することによって生成されて良い。また第1の改善された構造体は、現像後に、OTSM層の光学特性のうちの少なくとも1を調節することによって形成されて良い。改善された計測装置は、改善された入射ビームを導光するのに用いられて良い。また改善された計測装置は、改善された測定データを発生されて良い。その改善された測定データは、改善されたプロファイル信号、若しくは改善されたプロファイル形状、若しくは改善されたプロファイルパラメータ、又はこれらの結合を有して良い。改善された計測装置は、より広い帯域を有するデータを発生させて良い。またその改善された計測装置は、露光装置によって用いられる波長(<200nm)付近でのデータを発生させて良い。たとえば改善されていない装置の中には、400nm未満の波長での品質データを生成できない装置がある。
第1の改善されたシミュレーションによる信号が計算されて良い。その第1の改善されたシミュレーションによる信号は、第1の改善された構造体の仮説プロファイルに対応する。仮説プロファイルは、その内部に調節されたOTSMの一部を有して良い。シミュレーションは、仮説プロファイルを用いて実行されて良い。それに加えて、改善されたプロファイル信号と第1の改善されたシミュレーションによる信号との間の第1差異が計算されて良い。また改善されたプロファイル信号及び第1の改善されたシミュレーションによる信号は、改善された波長の組によってその特徴が表されて良い。
次に、第1差異は第1の改善されたプロファイルライブラリ生成基準と比較されて良い。第1の改善されたプロファイルライブラリ生成基準が満たされた場合には、第1の改善された構造体は、仮説プロファイル及び第1の改善されたシミュレーションによる信号によって特定され、調節されたOTSM部分のデータを含む第1の改善された構造体の仮説プロファイルは改善されたライブラリ内に保存されて良い。又は、第1の改善されたプロファイルライブラリ生成基準が満たされない場合には、第1補正作用が適用されて良い。
いくつかの例では、第1補正作用を適用する方法は、第1の改善された構造体の新たな仮説プロファイルを定義する工程、及び改善されたプロファイル信号と新たな改善されたシミュレーションによる信号との新たな差異を計算する工程を有して良い。新たな仮説プロファイルは、少なくとも1の新たな決定論的特性を有する。その新たな決定論的特性は、高さ、幅、厚さ、深さ、体積、面積、誘電特性、プロセスレシピパラメータ、プロセス時間、限界寸法、間隔、周期、位置又はライン幅を有する。その新たな改善されたシミュレーションによる信号は、第1の改善された構造体の新たな仮説プロファイルに対応する。その新たな仮説プロファイルは、内部の調節されたOTSM部分を含む。その改善されたプロファイル信号及び新たなシミュレーションによる信号は、改善された波長の組によってその特徴が表されて良い。
続いて新たな差異は、新たな改善されたプロファイルライブラリ生成基準と比較される。新たな改善されたプロファイルライブラリ生成基準が満たされた場合には、第1の改善された構造体は新たな仮説プロファイルを用いることによって特定される。新たな改善されたシミュレーションによる信号は改善されたライブラリ内に保存される。第1の改善された構造体の新たな仮説プロファイルは調節されたOTSM部分のデータを含む。又は、新たな改善されたプロファイルライブラリ生成基準が満たされない場合には、第2補正作用が適用されて良い。
いくつかの実施例では、仮説プロファイルはOTSM部分、若しくはARC部分、若しくは誘電部分、若しくは材料層部分、若しくはウエハ部分、又はこれらの結合部分を有して良い。
OTSM関連プロセス及び/又は製品についての改善されたライブラリが生成されるとき、1以上の改善されたライブラリ生成基準が、改善されたライブラリのサイズ、精度、及び/又は構造を決定するのに用いられて良い。
図8は、本発明の実施例に従った、光学的に調節可能なソフトマスク(OTSM)の使用方法の典型的なフローダイアグラムを図示している。図示された実施例では、OTSMを用いる方法800が示されている。810では、上に材料層を有するウエハが供されて良い。あるいはその代わりに、材料層は供されてなくても良い。
820では、OTSMは材料層上に堆積されて良い。OTSMは調節可能な光学特性を有して良い。一の組の光学特性が、露光プロセス用に、最適化され、調節され、及び/又は改善されて良い。他の組の光学特性が、測定プロセス用に、最適化され、調節され、及び/又は改善されることで、測定プロセスが改善されて良い。それに加えてOTSMがマスク層として用いられるとき、第2組の光学特性は、最適化され、調節され、及び/又は改善されることで、材料層中に改善された構造体が作製されて良い。OTSMは、ポリマー、酸を発生させる化合物、及び、保護基を用いることでポリマーと結合する、計測を改善する材料を有して良い。計測を改善する材料は、脱保護後の光学特性を調節(変化)させるのに用いられて良い。保護基は、官能基が脱保護されるまで、官能基を不活性にする。
830では、OTSMは、レクチル及び放射線源を用いて生成された、パターンを有する放射線に露光されて良い。酸を発生させる化合物中の1以上の酸が活性化されて良い。たとえば放射線源は、約300nm未満の波長を有して良い。侵浸リソグラフィ装置が用いられて良い。
840では、露光されたOTSMが現像されることで、OTSM中には複数の改善されていない構造体が作製されて良い。
850では、OTSM中の複数の改善されていない構造体を改善することによって、OTSM中に複数の改善された構造体が作製されて良い。計測を改善する材料が現像中に脱保護されることによって、複数の改善された構造体が作製されて良い。少なくとも1の改善された構造体は、第2組の光学特性によってその特徴が表されて良い。たとえば少なくとも1の改善された構造体は、周期構造、回折格子、若しくはアレイ、又はこれらの結合を有して良い。
いくつかの例では、計測を改善する材料は、放射線への露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、温度への曝露、又はこれらの結合によって、脱保護及び/又は活性化されて良い。それに加えて、計測を改善する材料の光学特性は、放射線への露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、温度への曝露、又はこれらの結合によって、設定及び/又は活性化されて良い。
いくつかのOTSMでは、調節可能な光学特性は、露光前には、露光波長で約0.5未満の消散係数を有し、かつ露光後には、露光波長で約0.5よりも大きな消散係数を有して良く、及び/又は、調節可能な光学特性は、露光前には、露光波長で約0.3未満の屈折率を有し、かつ露光後には、露光波長で約0.5よりも大きな屈折率を有して良い。
調節可能な光学特性は、約100nmから約1000nmの範囲内の1以上の波長で設定されて良い。あるいはその代わりに、いくつかのOTSMは、調節不可能な光学特性を有して良い。その調節不可能な光学特性は、約100nmから約1000nmの範囲内の1以上の波長で設定されて良い。
他の実施例では、調節可能な光学特性は露光前の第1反射率データを有し、かつ露光後の第2反射率データを有して良い。それに加えて、調節可能な光学特性は露光前の第1回折信号データを有し、かつ露光後の第2回折信号データを有して良い。
いくつかの例では、ポリマーは、計測を改善する材料を供する酸に対して不安定な基、塩基に対する溶解度を供する酸に対して不安定な基、若しくはエッチング耐性を供する酸に対して不安定な基、又はこれらの結合を有して良い。それに加えて、少なくとも1の酸に対して不安定な基はアセタール基でなくて良く、少なくとも1の酸に対して不安定な基はエステルであって良く、及び少なくとも1の酸に対して不安定な基はアルキルアクリラート基の重合によって供されて良い。
それに加えて、少なくとも1の結合した基は、色素、発色団、増感剤、エンハンサー若しくは着色添加物又はこれらの結合であって良い。
さらにOTSMは、塩基性添加物、溶解抑制剤、ストライエーション抑制剤、可塑剤、充填剤、若しくは潤滑剤、又はこれらの結合を有して良い。
いくつかの実施例では、OTSMを用いる方法は、(1)計測を改善する光学特性の組によってよって特徴が表されている少なくとも1の改善された構造体についての第1組の測定データを取得する工程、(2)第1組の測定データと要求されたデータとの差異を計算する工程、(3)その差異と製品の要件とを比較する工程、及び、(4)製品の要件が満たされる場合にはウエハのプロセスを継続する工程、又は、(5)製品の要件が満たされない場合には補正作用を適用する工程、を有して良い。
補正作用プロセスを適用する工程は、残されたOTSMを除去することによる、ウエハの再測定工程、及び/又はウエハの再処理工程を有して良い。補正作用はまた、エラーメッセージを送る工程、ウエハを除去する工程、プロセスを中断する工程等をも有して良い。
ウエハのプロセスを継続する工程は、(1)OTSM中の第1組の改善された構造体をソフトマスクとして用いて、材料層中に第2組の改善された構造体を作製する工程、(2)残されたOTSMを除去する工程、及び(3)材料層中の第2組の改善された構造体へ第2材料を堆積させる工程、を有して良い。その材料層は、半導体材料、誘電材料、ガラス材料、セラミック材料、若しくは金属材料、又はこれらの結合を有して良い。それに加えて第2材料は、半導体材料、誘電材料、ガラス材料、セラミック材料、金属材料、若しくは平坦化材料、又はこれらの結合を有して良い。
様々な方法は、(A)材料層中の第2組の改善された構造体についての第2組の測定データを取得する工程、(2)第2組の測定データと第2組の要求されたデータとの第2差異を計算する工程、(3)その第2差異と第2の製品の要件とを比較する工程、及び、(4)第2の製品の要件が満たされる場合にはウエハのプロセスを継続する工程、又は、(5)第2の製品の要件が満たされない場合には第2補正作用を適用する工程、を有して良い。
いくつかのOTSMでは、1以上の異なる組の光学特性が、1以上の酸に対して不安定な基によってポリマーに付着する1以上の計測を改善する材料を用いることによって設定されて良い。
代替実施例では、OTSMを用いる方法は、上に材料層を有するウエハを供する工程、及び材料層上にOTSMを堆積させる工程、を有して良い。OTSMは、調節可能な光学特性を有して良い。第1組の光学特性が、露光装置用に、最適化され、調節され、及び/又は改善されて良い。第2組の光学特性が、改善された構造体の測定特性を改善させるために、最適化され、調節され、及び/又は改善されて良い。OTSMは、ポリマー、酸を発生させる化合物、及び、脱保護後に第2組の光学特性を設定する、計測を改善する材料を有して良い。
図9は、本発明の実施例に従った、光学的に調節可能なソフトマスク(OTSM)の別な使用方法の典型的なフローダイアグラムを図示している。図示された実施例では、OTSMを用いる方法900が示されている。910では、上に材料層を有するウエハが供されて良い。あるいはその代わりに、材料層は供されてなくても良い。
920では、OTSMは材料層上に堆積されて良い。OTSMは調節可能な光学特性を有して良い。第1組の光学特性が、露光プロセス用に設定されて良い。第2組の光学特性は、露光プロセス後に設定される。OTSMは、ポリマー及び酸を発生させる化合物を有して良い。計測を改善する材料は、ポリマーと結合するか、又はポリマーの一部であって良い。計測を改善する材料は、露光プロセス後に活性化後の第2組の光学特性を設定して良い。
930では、OTSMは、レクチル及び放射線源を用いて生成されたパターンを有する放射線に露光されて良い。たとえば放射線源は、約300nm未満の波長を有して良く、侵浸リソグラフィ装置が用いられて良い。露光中、露光された領域及び露光されていない領域がOTSM中に生成されて良い。OTSMの露光された領域内で溶解度変化が生じて良い。
940では、露光されたOTSMは現像されて良い。現像中、露光された領域が除去され、かつ露光されていない領域は、OTSM中の複数の改善されていない構造体を作製するのに用いられて良い。あるいはその代わりに、露光されていない領域が除去され、かつ露光された領域は、OTSM中の複数の改善されていない構造体を作製するのに用いられても良い。
950では、OTSM中の複数の改善されていない構造体を改善することによって、OTSM中に複数の改善された構造体が作製されて良い。計測を改善する材料が現像中に脱保護されることによって、複数の改善された構造体が作製されて良い。少なくとも1の改善された構造体は、第2組の光学特性によってその特徴が表されて良い。保護基とは、官能基を意図しない反応から保護するために用いることのできる基である。塗布後、保護基が除去されることで、本来の官能基が曝露されて良い。たとえば少なくとも1の改善された構造体は、周期構造、回折格子、若しくはアレイ、又はこれらの結合を有して良い。
いくつかの例では、計測を改善する材料は、放射線への露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、温度への曝露、又はこれらの結合によって、脱保護及び/又は活性化されて良い。それに加えて、計測を改善する材料の光学特性は、放射線への露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、温度への曝露、又はこれらの結合によって、設定及び/又は活性化されて良い。
図10は、本発明の実施例に従った、光学的に調節可能なソフトマスク(OTSM)の別な使用方法の典型的なフローダイアグラムを図示している。図示された実施例では、OTSMを用いる方法1000が示されている。1010では、上に材料層を有するウエハが供されて良い。あるいはその代わりに、材料層は供されてなくても良い。
1020では、OTSMは材料層上に堆積されて良い。OTSMは調節可能な光学特性を有して良い。第1組の光学特性が設定されることで、露光プロセスが改善されて良い。第2組の光学特性が設定されることで、測定プロセス及び/又は製造プロセスが改善されて良い。OTSMは、ポリマー及び酸を発生させる化合物を有して良い。計測を改善する材料は、離脱基を用いることによってポリマーと結合して良い。計測を改善する材料は、離脱基が修正及び/又は除去された後に第2組の光学特性を設定して良い。
1030では、OTSMは、レクチル及び放射線源を用いて生成されたパターンを有する放射線に露光されて良い。酸を発生させる化合物中の酸が活性化されて良い。たとえば放射線源は、約300nm未満の波長を有して良く、侵浸リソグラフィ装置が用いられて良い。露光中、除去可能な領域及び除去不可能な領域がOTSM中に生成されて良い。OTSMの除去可能な領域内で溶解度変化が生じて良い。
1040では、露光されたOTSMは現像されて良い。現像中、除去可能な領域が除去され、かつ除去不可能な領域は、OTSM中の複数の改善されていない構造体を作製するのに用いられて良い。あるいはその代わりに、露光されていない領域が除去され、かつ露光された領域は、OTSM中の複数の改善されていない構造体を作製するのに用いられても良い。
1050では、OTSM中の複数の改善されていない構造体を改善することによって、OTSM中に複数の改善された構造体が作製されて良い。離脱基が結合する計測を改善する材料が現像プロセス中に修正及び/又は除去されることによって、複数の改善された構造体が作製されて良い。少なくとも1の改善された構造体は、第2組の光学特性によってその特徴が表されて良い。たとえば少なくとも1の改善された構造体は、周期構造、回折格子、若しくはアレイ、又はこれらの結合を有して良い。
いくつかの例では、離脱基が結合する計測を改善する材料は、放射線への露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、温度への曝露、又はこれらの結合によって、修正及び/又は除去されて良い。それに加えて、計測を改善する材料の光学特性は、放射線への露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、温度への曝露、又はこれらの結合によって、設定及び/又は活性化されて良い。
いくつかの実施例では、OTSMは調節可能な光学特性を有して良い。第1組の光学特性が、露光プロセス用に、最適化され、調節され、及び/又は改善されて良い。第2組の光学特性が、測定プロセス用に、最適化され、調節され、及び/又は改善されることで、測定プロセスが改善されて良い。OTSMは、ポリマー、酸を発生させる化合物、及び、離脱基としてポリマーと結合する、計測を改善する材料を有して良い。第2組の光学特性は、離脱基の除去後に設定されて良い。離脱基は、置換又は除去反応中に変位することのできる基である。
図11は、本発明の実施例に従った、光学的に調節可能な反射防止コーティング(OTARC)の使用方法の典型的なフローダイアグラムを図示している。図示された実施例では、OTARCを用いる方法1100が示されている。1110では、上に材料層を有するウエハが供されて良い。あるいはその代わりに、材料層は供されてなくても良い。
1120では、OTARCは材料層上に堆積されて良い。OTSMは、第1組の光学特性、及び、第2組の光学特性を有して良い。第1組の光学特性は露光プロセス用に、最適化され、調節され、及び/又は改善されることが可能で、第2組の光学特性は測定プロセス用に、最適化され、調節され、及び/又は改善されることが可能である。OTSMは、ポリマー、酸を発生させる化合物、及び、離脱基としてポリマーと結合する、計測を改善する材料を有して良い。第2組の光学特性は、離脱基が除去された後に設定されて良い。あるいはその代わりに、計測を改善する材料はそれぞれ別個にポリマーと結合して良い。第2組の光学特性は、計測を改善する材料が除去され、活性化され、脱保護され、及び/又は脱ブロック後に設定されて良い。
1130では、OTSMはOTARC層上に堆積されて良い。実施例によっては、レジスト層がOTARC層上に堆積されて良い。また他の実施例では、異なるマスク材料がOTARC層上に堆積されて良い。あるいはその代わりに、OTSMは、レジスト層と一緒に用いられて良い。他の実施例では、OTSMは反射防止層を有して良い。
1140では、OTSM層は、レクチル及び放射線源を用いて生成されたパターンを有する放射線に露光されて良い。除去可能な領域及び除去不可能な領域がレジスト層中に生成されて良い。レジスト層の除去可能な領域内で溶解度変化が生じて良い。
1150では、露光されたOTSMは現像されて良い。たとえば除去可能な領域が除去され、かつ除去不可能な領域は、OTSM中の複数の改善されていない構造体を作製するのに用いられて良い。計測を改善する材料が、現像プロセス中に脱ブロックすることで、OTSM層中の複数の改善された構造体が作製され、かつ現像されたOTSM層の光学特性が変化して良い。その変化した光学特性は、光計測測定の精度を改善させることが可能である。
1160では、光学特性はOTARC層内で変化して良い。たとえば、離脱基は現像プロセス中に除去されて良く、OTARC層の反射率データは変化して良い。他の例では、ブロック基及び/又は保護基は、現像プロセス中に、脱ブロックされ、及び/又は脱保護されて良い。あるいはその代わりに、OTARC層中の光学特性は、露光工程中に、変化及び/又は活性化して良い。
あるいはその代わりに、レジスト層が用いられるとき、改善されていない構造体がレジスト層中に作製されて良い。OTARC層中の計測を改善する材料が現像プロセス中に活性化されることによって、計測を改善する特性を有するOTARC層が作製されて良い。たとえばOTARC層の光学特性は変化して良い。その変化したOTARC層の光学特性は、レジスト層中に作製された改善されていない構造体の光計測の精度を改善させるのに利用されて良い。
他の実施例では、第1組の光学特性は露光前の第1反射率データを有して良く、かつ第2組の光学特性は露光後の第2反射率データを有して良い。それに加えて、第1組の光学特性は露光前の第1回折信号データを有して良く、かつ第2組の光学特性は露光後の第2回折信号データを有して良い。
様々な例では、ポリマーは、モノマー、コポリマー、テトラポリマー若しくはペンタポリマー又はこれらの混合物を有して良い。
たとえばブロック基、離脱基、保護基、又は開裂基は、色素、発色団、増感剤、エンハンサー、カラーマスク、若しくは色素添加物、又はこれらの結合であって良い。開裂基とは、適切な状況下でポリマーからの開裂が可能な基のことである。それに加えて、脱ブロック基、残余基、脱保護基、又は活性化基は、色素、発色団、増感剤、エンハンサー、カラーマスク、若しくは色素添加物、又はこれらの結合であって良い。
いくつかの実施例では、改善された像及び/又はパターンは、改善された構造体を用いることによって作製されて良い。改善された像は、第2組の光学特性によってその特徴が表されて良い。現像プロセス中に結合素子が除去されるとき、計測を改善する材料に関連した、計測を改善する特性が実現されて良い。OTSMは、ポリマー、酸を発生させる化合物及び計測を改善する材料を有して良い。酸を発生させる化合物は、ポリマーと結合するか、又はポリマーの一部であって良い。それに加えて、計測を改善する材料は、ポリマーと結合するか、又はポリマーの一部であって良い。結合素子は、離脱基、ブロック基、保護基、及び当業者にとって既知である他の基を有して良い。
ある実施例では、OTSMが正確に作製されていること、及び/又は、半導体プロセスシステムが高品質の素子を作製していること、を確認するために、1以上のウエハが測定されて良い。他の実施例では、材料層が正確に処理されていること、及び/又は、OTSM関連プロセスが高品質の素子を作製していること、を確認するために、1以上のウエハが測定されて良い。測定プロセスが実行されるとき、改善された波長の組を用いることによってOTSM中の1以上の改善された構造体が測定されて良く、OTSM中の1以上の改善された構造体についての測定データは品質要件と比較されて良く、かつ、品質要件が満たされた場合にはウエハのプロセスが継続され、又は、品質要件が満たされない場合には補正作用が適用されて良い。
補正作用が必要なときには、ウエハは再測定されて良い。再測定は、同一測定位置、別な位置、若しくは別なウエハ、又はこれらの結合を有して良い。他の場合では、補正作用は、OTSMを除去する工程、及び新たなOTSMを堆積する工程を有して良い。再測定プロセスは、OTSM又はOTSM関連プロセスに関する光学特性を再測定する工程を有して良い。
実施例によっては、測定が実行されるときに、1以上の計測ライブラリが用いられて良い。測定中、光計測装置が用いられて良く、測定信号は、OTSM中の改善された構造体の1つである第1構造体から離れるときに得られて良く、第1構造体は第2組の光学特性によってその特徴が表されて良い。
改善されたプロファイルライブラリは、改善されたプロファイル形状及び改善されたプロファイルパラメータを有して良い。これらは、改善されていないプロファイルライブラリ内の相当するデータアイテムよりも正確である。それに加えて改善されたプロファイルライブラリは改善されたプロファイル信号を有して良く、その改善されたプロファイル信号は、改善されていないプロファイルライブラリ内の信号よりも正確である。たとえば改善されたプロファイル信号は、改善されていない信号について用いられていない波長でのデータ点を有して良い。
OTSM及び/又はOTARCを作製するとき、有機材料と無機材料との間の使用についてのトレードオフが検討されて良い。OTSMが作製されるとき、走査型電子顕微鏡(SEM)による検査及び解析により、光吸収、特徴部位のCDプロファイル、CDの均一性、ライン端及び側壁の粗さ、並びにライン特徴部位のスリミングについて解析されて良い。
OTSM及び/又はOTARCは、半導体素子中の、メタルゲート、ポリゲート、ドーピングプロファイル、コンタクト、ビア及び溝の作製に用いられて良い。
OTSMの中には1以上のArFレジスト材料を有して良いものがある。しかしこれは必須ではない。あるいはその代わりに、他の材料が用いられても良い。ArFレジスト材料が用いられるときには、この材料は、シクロオレフィン−無水マレイン酸(COMA)、アクリラート、及びシクロオレフィン(CO)を含むそれぞれ異なる主要なポリマー成分を有して良い。たとえばアクリラートベースのポリマーは、付随する脂肪族及び脂環式ユニットを有して良い。その付随する脂肪族及び脂環式ユニットは、アクリラートを主成分とする酸に対して不安定な基を有する。
実施例によっては、OTSMは、ArF露光装置用に設計された1層以上のレジスト層、及び反射率に係る問題を最小限にするためのBARC/ARC層を有して良い。周期的で、かつレジスト材料の厚さ、並びに、水、レジスト及びARC材料の光学特性に依存するスイングカーブが供されて良い。たとえばOTSMは、ライン幅のばらつきを最小にし、かつ計測を改善するプロセスの均一性を最大にする光化学変換が均一となるように、設計されて良い。それに加えて、OTSMの改善された構造体及び/又は特徴部位のライン端部粗さ及び側壁粗さは、より小さくすることができる。またOTSMの改善された構造体及び/又は特徴部位は、SEM装置検査プロセス中に減少しない。
BARC/ARC材料が、OTSM中で、及び/又はOTSMと共に、用いられるとき、これらの材料は、他のOTSM材料よりも良好なエッチング選択性を有するように設計されて良い。たとえば再処理プロセス中、OTSM材料は、下地の構造に損傷を与えることなく、選択的に剥離されて良い。OTSM層の再処理プロセスは、酸素ベース又はフッ素ベースのプラズマを用いて良い。
193nmの放射線用に設計されたOTSMを作製するときには、侵浸リソグラフィによって、より小さな特徴部位のプリントが可能となる。従って、より薄いOTSMで、所望の波長で要求される被写体深度(DOF)を実現可能であり、用いられるOTSM材料は、193nmよりも長い波長用に設計されたレジスト材料よりも、柔らかく、かつエッチング耐性が弱くなると考えられる。たとえばOTSMは、スピンオン塗布された有機材料を用いて作製されて良い。そのスピンオン塗布された有機材料は、その光学パラメータ(n及びk)、湿式化学環境でのエッチングレート、等方性に係る特性、反射率特性、厚さに係る要件、及び相性に係る特性によってその特徴が表されて良い。
OTSMは、ゲートレベルのプロセス中、相互接続レベルのプロセス中、及び注入層プロセス中に用いられて良い。たとえばOTSMは、露光領域では完全に可溶性で、かつ非露光領域では不溶性である感光性材料を有して良い。よってARC/BARC材料を溶かす相性の良い現像液が用いられて良い。また相性の良い材料は、より明確な特徴部位を供することができる。
さらに現像液に溶ける材料を有するOTSMによって、これらの材料は現像プロセス中に除去可能となる。このOTSMにより、エッチング工程は不要となる。
それに加えて、OTSM材料及び/又はARC材料は、たとえばスピン・オン・グラス(SOG)材料のようなスピン・オン材料に組み込まれて良い。典型的なスピン・オン・グラス材料は、メチルシロキサン、メチルシルセスキオキサン、フェニルシロキサン、フェニルシルセスキオキサン、メチルフェニルシロキサン、メチルフェニルシルセスキオキサン、及びシリケートポリマーを有して良い。スピン・オン・グラス組成物は、適切な溶媒中で溶解することで、コーティング溶液を生成して良い。またスピン・オン・グラス組成物は、半導体素子の作製中、様々な材料層上に塗布されて良い。スピン・オン技術は、時間に関するスピン、供給量に関するスピン、厚さに関係するスピン、又は熱ベーキング工程を有して良い。それにより、必要な光学特性を有するSOG膜が作製される。たとえばこれらのプロセスは、1000rpmから4000rpmのスピン速度を有して良く、スピン時間は10秒から200秒まで変化して良く、熱処理工程は50℃から450℃までの温度で実行されて良く、かつ熱処理工程は10秒間から300秒間実行されて良い。吸収性である反射防止SOG膜が作製されるとき、屈折率は約1.3から約2.0まで変化して良く、消散係数は190nmでは0.2よりも大きく、かつ190nmより長波長では0.2よりも小さくて良い。
吸収性材料がOTSM中に用いられるとき、これらの材料は波長に依存する吸収特性を有して良く、かつこの吸収特性は、利用される波長範囲にわたって、実用性を有し、かつ比較的一定でなければならない。たとえば波長範囲は、露光波長の5%よりも大きく、かつ露光波長を中心として良い。
計測を改善する材料がOTSM中に用いられるとき、これらの材料は、様々な波長での層の光学特性に影響を及ぼして良く、かつこの光学特性への影響は、利用される波長範囲にわたって、実用性を有し、かつ比較的一定でなければならない。一例としては、波長範囲は、露光波長の5%よりも大きく、かつ露光波長を中心として良い。別例としては、波長範囲は、露光波長の5%よりも大きく、かつ露光波長よりも長波長側に位置して良い。
2nm幅未満の狭い改善ウインドウ(enhancement window)しか有していない計測を改善する材料は、広い改善ウインドウを有する材料ほどは望ましくない。
実施例によっては、計測を改善する材料は、成膜後ベーキング(PAB)工程中及び/又はその後に活性化されて良く、この計測を改善する振る舞いは、PAB工程中及び/又は該工程後のOTSMの構成を近似する格子型モデルを発展させることによってシミュレーションされて良い。それに加えて、PAB工程中での溶媒の蒸発及び膜の収縮の効果もまたモデル化されて良い。
市販されているソフトウエハパッケージの中には、光学的に調節可能なレジスト及び/又は計測を改善する材料の光学特性のモデル化及び/又はシミュレーションするのに用いることのできるものがある。モデル化及び/又はシミュレーションは、様々な像生成装置、様々な計測を改善する材料、様々なマスク及び様々な層の構成を用いることによって実行されて良い。それに加えて、モデル化及び/又はシミュレーションは、広い範囲及び/又は狭い範囲にわたって実行されて良い。またモデル化及び/又はシミュレーションは、精度の改善及び/又は計算時間の短縮に用いられても良い。モデル化及び/又はシミュレーションはリアルタイムで実行されて良い。様々な光学的に調節可能なレジスト及び/又は計測を改善する材料についての予想モデル及びマップが作成されて良い。
別の実施例では、計測を改善する1以上の材料は、熱処理中及び/又は熱処理後に、熱処理によって活性化されて良い。温度は、計測を改善する方法の実行中に、計測を改善する1以上の材料又は1以上の光学的に調節可能な材料の拡散を促進するのに用いられて良い。たとえば露光後ベーキング(PEB)温度が、設定及び/又は変化することで、化学反応の活性化の制御、様々な化学増幅されたレジスト中でのポリマーの溶解度の制御、及び計測を改善する特性の均一性の制御が行われて良い。それに加えて、モデル化及び/又はシミュレーションはリアルタイムで実行されて良い。様々な光学的に調節可能なレジスト及び/又は計測を改善する材料についての予想モデル及びマップが作成されて良い。
計測を改善する特性は、一定若しくは可変の現像時間、及び/又は、一定若しくは可変のプロセス時間を用いることによって、制御及び/又は最適化されて良い。これらの時間は、計測を改善する材料の脱保護及び/又は活性化が完了するのに必要な時間に依存すると考えられる。計測を改善する材料の脱保護及び/又は活性化が起こるとき、OTSMの光学特性は変化し、それにより、パターニングされたOTSM層内部の特徴部位の計測特性が改善されて良い。
化学増幅が、計測を改善する材料と共に用いられるとき、単一の生成体によって多数の計測を改善する反応の発生が可能となり、このことにより、計測を改善する反応の速度及び/又は均一性の増大が可能となる。化学増幅プロセス中、酸性分子は移動し、多くの反応性ポリマーのサイトと反応して良く、この移動は、露光領域及び非露光領域の形状の最適化、非露光領域及び/又は露光領域の光学特性の制御、計測を改善する材料の特性の最適化、並びに改善された特徴部位の均一性の最適化、を行うように制御されて良い。それに加えて、化学増幅されたレジスト材料がOTSMで用いられるとき、露光プロセスは、酸性の触媒分子を生成するのに用いられて良い。酸性の触媒分子はレジストポリマーと反応することで、露光領域内のOTSMの溶解度を変化させる。酸の移動度は複雑な機構なので、格子に基づくモデルが、計測を改善するプロセスの特性を予測するのに作成及び利用されて良い。格子に基づくモデルへの入力は、計測を改善する成分の溶解度パラメータを有して良い。これらのパラメータは、格子成分間の相互作用エネルギーの計算に用いられて良い。様々な反応の活性化エネルギーはまた、プロセス温度と共に用いられても良い。
OTSMは0.26N水酸化テトラメチルアンモニウム(TMAH)水溶液を用いて現像されて良い。レジスト材料の溶解は、塩基性現像液と高分子鎖中の酸との間の化学的相互作用に依存すると考えられる。これは反応律速プロセスとしてモデル化されて良い。モデル化の入力には、ポリマーの構造、計測を改善する材料の構造及びイオン化の量が含まれて良い。
OTSM中に存在する1以上のフッ素含有化合物を用いることによって、193nm及び157nmでの真空紫外リソグラフィの性能の改善が可能となる。改善された性能は、部分的にフッ素化された材料の高い透明度、及びフルオロカルビノールの高い酸性度によって特徴づけることが可能である。
OTSMが侵浸リソグラフィプロセス用に設計されるとき、OTSM材料及び/又はARC材料からのガス放出が問題となる恐れがある。なぜならそのガスが露光用レンズを汚染する可能性があるからである。実施例によっては、係る汚染を排除するのに、薄いキャップ層が必要となるかもしれない。OTSMに上部コーティングが用いられるとき、これらのコーティングはTMAH現像液に可溶で、かつ侵浸流体には不溶であって、193nmで高い透明度を有し、並びに、OTSM及び侵浸流体中の他の材料との相性が良くなければならない。
化学増幅された材料が光学的に調節可能なレジストに用いられるとき、露光プロセス中に酸が発生して良い。露光プロセスは、計測を改善する材料を活性化させるのに用いることが可能な触媒反応及び/又は続くベーキング工程中にさらに制御可能なプロセスを開始することができる。ベーキング工程中、酸は、触媒作用を及ぼす領域及び触媒作用を及ぼさない領域を生成する、光学的に調節可能な材料を介して拡散して良い。酸の拡散はまた、改善された計測特性を有する光学的に調節可能なレジスト内に改善された特徴部位をも生成して良い。たとえば拡散長は、193nmの露光波長で用いられる化学増幅された材料では、少なくとも20nmであって良い。
化学増幅は、OTSM中の計測を改善する材料を活性化及び/又は制御するのに用いられて良い。化学増幅は、単一光子によって生じる化学反応数を増大させることによって、OTSM中の計測を改善する材料を、より効率的でかつより均一に活性化及び供給して良い。単一光子によって生じる化学反応数を増大させることで、レジストの溶解度は変化する。露光されていない状態では、酸に対して不安定な保護基は、レジスト材料の溶解速度の抑制、及び/又はOTSM中の計測を改善する材料の計測を改善する特性の抑制に用いられて良い。たとえばこれは、塩基に可溶であるヒドロキシルを不溶基に置換することによって実現されて良い。紫外光への露光後、OTSM内部に酸が発生し、酸は、エステル又は無水物のような酸に対して不安定な保護基と反応し、かつ反応性であるヒドロキシル基が、計測を改善する基と共に、又はその基のない状態で生成されて良い。
いくつかのOTSMが生成されるとき、化学増幅は、ポリマー樹脂中で、1以上のヒドロキシル基を酸に対して不安定な保護基と置換することによって実現されて良い。化学増幅されたOTSMは、ポリマー樹脂、紫外光に対する感光性を供する光照射によって酸を生成する物質(PAG)、露光前後で溶解度を切り換える溶解抑制剤、及び露光後にOTSMの光学特性を調節する計測を改善する成分、を有して良い。溶解抑制剤は、計測を改善する成分と共に用いられて良い。また溶解抑制剤は、酸に対して不安定な保護されたモノマーのオリゴマーであって良い。
ライン端部粗さ(LER)及び/又はライン幅粗さ(LWR)は、OTSMを用いることによって、及び/又はOTSMを作製することによって、改善されて良い。OTSMが作製されるとき、ポリマー、保護基、PAGs、計測を改善する材料、及び/又は溶媒が、改善された構造体及び/又は特徴部位を実質的にLERのない状態で供するのに用いられて良い。
露光波長でのOTSMの透明度は、OTSMを用いて設定することが可能なリソグラフィ像の品質を決定する上で重要なパラメータとなりうる。たとえばOTSMは、波長及び用途によって変化する吸収係数を有して良い。
現像されたOTSMが光計測法を用いて測定されるときには、OTSMの透明度及び/又は回折特性は他の波長でも重要となりうる。
いくつかの例では、OTSMは、特に193nm以下の波長を有する像生成用放射線を用いる単層又は多層のリソグラフィ用途において高分解能のリソグラフィ性能を示す能力を有する、調節可能なシリコン含有レジスト組成物を有して良い。OTSMは、酸に敏感な像生成用ポリマー、非ポリマーであるシリコン添加物、放射線に敏感な酸の生成体、及び計測を改善する添加物、を有して良い。たとえば計測を改善する添加物は、放射線に敏感で、酸に敏感で、塩基に敏感で、溶媒に敏感で、若しくは温度に敏感で、又はこれらの結合に敏感であって良い。
計測を改善する添加物は、OTSMの1以上の光学特性を変化させるのに用いられて良い。それにより光計測データの精度は改善される。OTSMは、単層又は多層のリソグラフィプロセスにおいて、改善された特徴部位を有する高分解能のリソグラフィパターンを供して良い。それに加えて、OTSM関連方法及び/又はレシピが、作成及び/又は使用されることで、パターニングされたOTSMを用いることによる改善された(より正確な)構造体を形成して良い。
OTSMの像生成用成分は、特定の像生成用ポリマーの利用に限定されない。いくつかの実施例では、像生成用ポリマーは、酸に対して不安定な付随する基を有する酸に敏感なポリマーであって良い。その酸に対して不安定な付随する基は、露光中に生成される酸の存在下で開裂可能である。あるいはその代わりに、開裂は熱プロセス工程中に生じても良い。
他の実施例では、OTSMで用いられるポリマーは(ほとんど)シリコンを含まなくて良く、かつ、1以上の非ポリマーシリコン添加物は、計測を改善する特性を改善された構造体に供するのに用いられて良い。たとえばポリマーは、環状オレフィン、アクリラート又はメタクリラートを含んで良い。
いくつかの実施例では、OTSMは小さな分子及び/又は製品を有して良い。これらは、現像プロセス中に形成され、かつ計測を改善する添加物として用いられて良い。それに加えて、小さな分子及び/又は製品は、膜の他成分と2次反応を起こしても良い。その他成分には、計測を改善する特性を示す前のポリマー及び酸が含まれる。
光学的に調節可能なレジスト材料は、酸に対して不安定な付随の成分を有して良い。その酸に対して不安定な付随の成分は、アルカリ水溶液中の溶解度を改善する、及び/又は、レジスト材料の特性が計測を改善するのに用いられて良い。様々な保護基を有する1以上のモノマーが用いられても良い。
典型的な酸に対して不安定な保護成分は、t−アルキル(又はシクロアルキル)エステル(たとえばt−ブチル、メチルシクロペンチル、メチルシクロヘキシル及びメチルアダマンチル)、ケタール、及びアセタールを有して良い。
像生成用放射線に露光することで、OTSMの露光部分中の保護基の一部分が開裂することで溶解度シフトが生じて良く、保護基の他の部分が開裂することでOTSMの光学特性が変化して良い。
OTSMが157nmリソグラフィプロセスで用いられるとき、像生成用ポリマーは、フッ素含有組成物及び/又はシリコン含有組成物を有して良い。
実施例によっては、OTSMは、10以上の炭素原子を有することのできる非ポリマーのシリコン添加物を有して良い。たとえば非ポリマーのシリコン添加物は、酸に対して不安定な基を有して良い。その酸に対して不安定な基は、OTSM中に存在する1以上の材料の計測を改善する特性を抑制するのに用いられて良い。典型的な非ポリマーシリコン添加物は、トリス(トリメチルシリルメチル)1,3,5−シクロヘキサントリカルボキシラート(TMSCT)、ビス(トリメチルシリルメチル)1,4−シクロヘキサンジカルボキシラート(TMSCD)、ビス(ビス(トリメチルシリル)メチル)1,4−シクロヘキサンジカルボキシラート(BTSCD)、ビス(トリス(トリメチルシロキシシリル)メチル)1,4−シクロヘキサンジカルボキシラート(BSOSCD)、トリス(トリメチルシロキシシリル)メチル1−アダマンタンカルボキシラート(SOSAC)、ビス(トリメチルシリルメチル−カルボキシオキシ)−2,5−ジメチルヘキサン(BTSDMH)、又はラクトン含有の非ポリマーシリコン添加物、を有して良い。
OTSMはまた、1以上の放射線に敏感な酸の生成体をも有して良い。典型的な放射線に敏感な酸の生成体は、たとえばトリアリールスルホニウム、又はジアリールヨードニウムヘキサフルオロアンチモナート、ヘキサフルオロアセナート、トリフラート、ペルフルオロアルカンスルホナート(たとえばペルフルオロメタンスルホナート、ペルフルオロブタン、ペルフルオロヘキサンスルホナート、ペルフルオロオクタンスルホナート等)、ペルフルオロアルキルスルホニルイミド、ペルフルオロアルキルスルホニルメチド、ペルフルオロアリールスルホニルメチドのような調節されたオニウム塩、たとえばピロガロール(たとえばピロガロールトリメシラート又はピロガロールトリス(スルホナート))、ヒドロキシイミドのスルホナートエステル、N−スルホニルオキシナフタイミド(N−ショウノウスルホニルオキシナフタルイミド、N−ペンタフルオロベンゼンスルホニルオキシナフタルイミド)、α−α‘ビス−スルホニルジアゾメタン、ナフトキノン−4−ジアジド、アルキルジスルホンのような置換されたアリールスルホナート等を有して良い。
193nmの露光波長での典型的な酸の生成体は、オニウム塩及びヒドロキシイミドのスルホナートエステルを有して良い。それはたとえば、ジフェニルヨードニウム塩、トリフェニルスルホニウム塩、ジアルキルヨードニウム塩又はトリアルキルスルホニウム塩である。248nmの露光波長での典型的な酸の生成体は、たとえばジフェニルヨードニウム塩、トリフェニルスルホニウム塩のようなオニウム塩、又はヒドロキシイミドのスルホナートエステルを有して良い。
たとえ照射によって酸を生成する感光性化合物が使用可能であるとしても、別の典型的なイオン性PAGsは、ジアゾニウム塩、ヨードニウム塩、スルホニウム塩を有し、又は、非イオン性PAGsは、ジアゾスルホニル化合物、スルホニルオキシイミド若しくはニトロベンジルスルホナートエステルを有して良い。たとえばオニウム塩は、有機溶媒中で可溶な状態で用いられて良い。オニウム塩は大抵の場合、ヨードニウム塩又はスルホニウム塩として用いられる。その例には、ジフェニルヨードニウムトリフルオロメタンスルホナート、ジフェニルヨードニウムノナフルオロブタンスルホナート、トリフェニルスルホニウムトリフルオロメタンスルホナート、トリフェニルスルホニウムノナフルオロブタンスルホナート等がある。照射によって酸を生成する他の化合物であって利用可能なものは、トリアジン、オキサゾール、オキサジアゾール、チアゾール又は置換された2−ピロンである。フェノール酸スルホン酸エステル、ビス−スルホニルメタン、又はビス−スルホニルジアゾメタン、トリフェニルスルホニウムトリス(トリフルオロメチルスルホニル)メチド、トリフェニルスルホニウムビス(トリフルオロメチルスルホニル)イミド、ジメチルヨードニウムトリス(トリフルオロメチルスルホニル)メチド、ジフェニールヨードニウムビス(トリフルオロメチルスルホニル)イミド、又はこれらと同等物も用いられて良い。PAGsの混合物が用いられても良い。イオン性PAGsと非イオン性PAGsとを混合したものもよく用いられる。
多くの例では、OTSM材料は塩基の添加物を有して良い。塩基の添加物は、拡散プロセスの制御及び像の改善に用いられて良い。あるいはその代わりに、塩基の添加物は、計測を改善する材料として用いられて良く、かつOTSMの光学特性を変化させるのに用いられても良い。典型的な塩基は、アミン、水酸化アンモニウム、又は感光性の塩基を有して良い。それに加えて塩基の添加物は、脂肪族若しくは脂環式のt−アルキルアミン、又はたとえば水酸化アンモニウムt−ブチル(TBAH)のような水酸化アンモニウムt−アルキルを有して良い。他の典型的な塩基は、テトラブチルアンモニウムラクタート又はヒンダードアミンを有して良い。塩基の添加物は、たとえば固体全体に対して質量にして約0.03から5%程度のような比較的少量を用いれば良い。
さらに1以上の色素及び/又は増感剤が、OTSMの特性を計測を改善するようにするのに用いられて良い。
実施例によっては、OTSMはすでにウエハ上に堆積された平坦化材料上に直接塗布されて良い。又は実施例によっては、OTSMは平坦化材料を含んでも良い。たとえば平坦化材料は、スチレン、アダマンチルアクリラート、及び/又はグリシジルアクリラートを有して良い。
実施例によっては、193nmのUV放射線が用いられて良く、かつ全露光エネルギーは約100mJ/cm以下であって良い。
OTSMは、光計測法を用いて測定可能である改善された特徴部位のパターンを有して良い。改善された特徴部位は、より正確な測定結果を得ることを可能にする光学特性を有して良い。
続いて改善された特徴部位のパターンは、反応性イオンエッチング又は当業者に既知である他のエッチング法によって、OTSMの構造体からウエハの下地層へ転写されて良い。エッチング後、残されたOTSM材料は、従来の剥離法を用いて除去されて良い。
転写された特徴部位は、改善された特徴部位が正確に転写されたことを確認するために、光計測を用いて測定されて良い。たとえば測定範囲が増大した、改善及び/又は調節された計測装置が用いられて良い。
反射率の値がOTSMの特徴を表すのに用いられるとき、OTSMは調節可能な反射率値を有して良い。第1組の反射率値が露光前に設定されて良い。第2組の反射率値が、測定プロセスの実行前に設定されて良い。あるいはその代わりに、OTSMは、一の組である露光前の反射率値、及び他の組である露光後の反射率値を有して良い。反射率値は波長依存であって良い。たとえば反射率値は、I/Iを用いて決定されて良い。ここでIは膜に入射する光の強度で、Iは膜から射出する光の強度である。反射防止膜は、露光波長以外の波長で10%未満である反射率値を有して良い。
(n及びkの)値がOTSMの特徴を表すのに用いられるとき、OTSMは調節可能な(n及びkの)値の組を有して良い。一の組の(n及びkの)値は露光前に設定されて良く、かつ他の組の(n及びkの)値は測定プロセスの実行前に設定されて良い。あるいはその代わりに、OTSMは一の組である露光前の反射率値、及び他の組である露光後の(n及びkの)値を有して良い。
1以上のBARC/ARC膜が必要なとき、これらの膜はOTSMの一部として含まれて良い。あるいはその代わりに、これらの膜はウエハとOTSMとの間に設けられても良い。続いて1以上のBARC/ARC膜は、エッチング用ハードマスクとしてパターニングされ、かつ機能して良い。反射防止膜が用いられるとき、これらの膜は、比較的大きな消散係数(k)及び/又は比較的大きな屈折率(n)を有して良く、かつこれらの値は、材料、波長(周波数)及び/又は厚さで変化して良い。
n及びkの値が、たとえばSiON又はSiO膜のようなシリコン含有膜のシリコン含有量を制御することによって決定することが可能であるため、OTSMを作製するときにはシリコン含有材料が用いられて良い。たとえばOTSMが多数の層を有するとき、2層のシリコン含有膜が用いられて良い。これらの膜の光学特性、たとえば(n)及び(k)、は相性が良い(一致している)。またこれらの膜は、露光波長周辺の波長範囲内で反射率が最小(つまり1%未満)となるように選択されて良い。それに加えて、1層以上のシリコン含有膜は、エッチング用ハードマスクとしてパターニングされ、かつ用いられて良い。OTSMが多数の層を有するとき、厚さ、消散係数、及び/又は屈折率は露光前後での反射率を最小にするように制御及び/又は一致して良く、かつ、1以上の消散係数及び/又は1以上の屈折率を露光後に変化させることによって、反射率が増大しても良い。
非芳香族ポリマーは、約193nmではほぼ不透明なので、場合によっては用いられて良い。さらに、反射成分は低波長でより重要になるので、反射防止コーティングが用いられて良い。
実施例によっては、OTSMは、単一のプロセス工程での露光が可能な反射防止材料及びレジスト材料を有して良い。両材料は、同時にかつ同一の現像液を用いて加熱及び現像されて良い。これによりリソグラフィプロセスを単純化することが可能となる。それに加えて、反射防止材料及び/又はレジスト材料は、より正確な測定が可能となるように、露光プロセス、熱プロセス及び/又は現像プロセス中に変化する反射率特性を有するように構築されて良い。たとえば反射防止材料及びレジスト材料はウエハ上に堆積されて良く、かつレジスト材料は反射防止材料上に堆積されて良い。OTSMが放射線に露光されるとき、反射防止材料及びレジスト材料の両方に酸が生成されて良い。OTSMが現像されるとき、反射防止材料及びレジスト材料の露光領域が除去され、計測特性が改善された特徴部位及び/又は構造体を有するパターンが残り、かつその改善された計測特性によってより正確な測定結果及びより正確なエッチング結果を得ることができる。
ある実施例では、1以上の発色団が活性化及び/又は修正されることで、OTSMの計測特性が改善されて良い。別な実施例では、1以上の色素が活性化及び/又は修正されることで、OTSMの計測特性が改善されて良い。
典型的な色素は、モノマー、ポリマー又はこれらの混合物であって良い。添加物を吸収する化合物に含まれることが可能な吸収基の例は、置換された及び置換されていないフェニル、置換された及び置換されていないアントラシル、置換された及び置換されていないフェナントリル、置換された及び置換されていないナフチル、たとえば酸素、窒素、硫黄若しくはこれらの結合のようなヘテロ原子を含む複素環である。そのような複素環はたとえば、ピロリジニル、ピラニル、ピペリジニル、アクリジニル及びキノリニルである。それに加えて典型的な色素は、トリフェニルフェノール、2−ヒドロキシフルオレン、9−アントラセンメタノール、2−メチルフェナントレン、2−ナフタレンエタノール、2−ナフチル−β−d−ガラクトピラノシドヒドリド、ヒドロキシスチレン、スチレン、アセトキシスチレン、ベンジルメタクリラート、N−メチルマレイミド、ビニルベンゾアート、ビニル4−t−ブチルベンゾアート、エチレングリコールフェニルエーテルアクリラート、フェノキシプロピルアクリラート、マレイン酸のベンジルメバロン酸ラクトンエステル、2−ヒドロキシ−3−フェノキシプロピルアクリラート、フェニルメタクリラート、ベンジルメタクリラート、9−アントラセニルメチルメタクリラート、9−ビニルアントラセン、2−ビニルナフタレン、N−ビニルフタルイミド、N−(3−ヒドロキシ)フェニルメタクリルアミド、N−(3−ヒドロキシ)フェニルメタクリルアミド、N−(3−ヒドロキシ−4−エトキシカルボニルフェニルアゾ)フェニルメタクリルアミド、N−(2,4−ジニトロフェニルアミノフェニル)マレイミド、3−(4−アセトアミノフェニル)アゾ−4−ヒドロキシスチレン、3−(4−エトキシカルボニルフェニル)アゾ−アセトアセトキシエチルメタクリラート、3−(4−ヒドロキシフェニル)アゾ−アセトアセトキシエチルメタクリラート、若しくは3−(4−スルホフェニル)アゾアセトアセトキシエチルメタクリラートのテトラヒドロアンモニウム硫酸塩のモノマー又はポリマーを有して良い。
実施例によっては、OTSMはアルカリに可溶なフッ素化ポリマー、計測を改善する材料、PAG、及び架橋剤を有して良く、かつ、OTSMは、193nm及び/又は157nmで透明な1以上のフッ素化されたポリマーを用いて作製されて良い。1以上の架橋剤は、OTSMを作製するときに計測を改善する材料に加えるのに用いられて良い。典型的な架橋剤は、メラミン、メチロール、グリコールウリル、ヒドロキシアルキルアミド、エポキシ及びエポキシアミン樹脂、ブロックされたイソシアネート又はジビニルモノマーを有して良い。典型的な計測を改善する材料は、着色剤、化学線作用を持たない色素、架橋促進剤、コーティング剤、スピードエンハンサー若しくはサーファクタント又はこれらの混合物を有して良い。
OTSM中の1以上の材料は、溶媒中で溶解可能である。また溶媒及び/又は残余物は、乾燥工程で除去可能である。典型的な溶媒には、プロピレングリコールモノアルキルエーテル、プロピレングリコールアルキル(たとえばメチル)エーテルアセタート、2−ヘプタノン、3−メトキシ−3−メチルブタノール、ブチルアセタート、アニソール、キシレン、ジグリム、エチレングリコールモノエチルエーテルアセタート、エチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、エチレングリコールモノメチルアセタート、メチルエチルケトン又はモノオキシモノカルボン酸エステル、が含まれて良い。モノオキシモノカルボン酸エステルとはたとえば、メチルオキシアセタート、エチルオキシアセタート、ブチルオキシアセタート、メチルメトキシアセタート、エチルメトキシアセタート、ブチルメトキシアセタート、メチルエトキシアセタート、エチルエトキシアセタート、エトキシエチルプロピオナート、メチル3−オキシプロピオナート、エチル3−オキシプロピオナート、メチル3−メトキシプロピオナート、エチル3−メトキシプロピオナート、メチル2−オキシプロピオナート、エチル2−オキシプロピオナート、エチル2−ヒドロキシプロピオナート(エチルラトタート)、エチル3−ヒドロキシプロピオナート、プロピル2−オキシプロピオナート、メチル2−エトキシプロピオナート、若しくはプロピル2−メトキシプロピオナート、又はこれらの混合物、である。それに加えてOTSMは、溶媒及び塩基の添加物を含んで良い。それに加えて溶媒は、プロピレングリコールモノメチルエーテルアセタート、及び/又はシクロヘキサノンを有して良い。
いくつの例では、OTSM材料は、エステル化されたノルボルネンカルボキシラートモノマーを有して良い。ノルボルネンカルボキシラートモノマーでは、カルボキシラート官能基は、酸に対して不安定な3級脂環基によって保護(エステル化)されて良い。脂環基は、単一環(たとえばシクロペンチル、シクロヘキシル又はシクロヘプチル)を有して良いし、又は多環であっても良い。多環とはたとえば、4以上に架橋し、縮合し、又は他の態様で結合した環を含んで良い。
光学的に調節されたレジスト材料及び/又はOTSMは、本発明の教示を用いて作製されて良い。あるいはその代わりに、これらは、当業者にとって既知である教示を用いて作製されても良い。たとえばOTSMの1以上の成分は、適切な溶媒中にその1以上の成分を溶解することによって作られて良い。ポリマー及び光活性の成分は、高品質である、隠れたレリーフ像を供して良い。また計測を改善する成分は、特徴部位及び/又は構造体に、計測を改善する特性を供して良い。光学的に調節可能なレジスト材料及び/又はOTSMsの成分は、既知の方法を用いることによって堆積されて良い。たとえばスプレイ、スピン、ディッピング、ローラーコーティング又は他の従来からある堆積方法が用いられて良い。
実施例によっては、OTSMはポリマー結合剤及び光活性成分を有して良い。ポリマー結合剤は、重合化ユニットとして、電気的に陰性な置換基及びエステル基を有するモノマーを有して良い。モノマー基は、エステル基と直接結合する離脱基を有して良い。エステル基及び/又は離脱基は、OTSMの計測特性を改善させるのに用いられて良い。また実施例によっては、スペーサ成分がエステル基と離脱基との間に挟まれて良く、エステル基、離脱基、及び/又はスペーサ成分は、OTSMの計測特性を改善させるのに用いられて良い。
光学的に調節可能なレジスト組成物の中には、樹脂結合剤、PAG成分、計測を改善する材料、及び添加された非芳香族アミン成分を有して良いものがある。たとえば添加されたアミンは、非芳香族で、かつ約9から約16の炭素原子を有して良い。それに加えて添加されたアミンは、脂環の一部である3級の窒素又は環の一部ではない3級の窒素のいずれかを有して良い。また添加されたアミンは、少なくとも2の3級又は4級の炭素ラジカルによって置換されて良い。
OTSMがARC層上にレジスト又はOTSM層を有するとき、ARC層は、反射されて(複数の)被覆層へ入り込むのを防ぐのに用いることのできる発色団基を有して良い。たとえば、発色団基は、ポリエステル樹脂又は酸を生成する化合物のような他の組成を有する化合物と共に存在して良く、又は、組成物はこれら若しくは他の発色団基を有する、計測を改善する材料を有して良い。典型的な発色団は、単一環及び/又は多環芳香族基を有して良い。発色団は付随する基として樹脂と結合して良い。ポリエステル樹脂はナフタレン基を有して良い。ポリアクリラート樹脂は、ナフタレン基又はフェニルのような他の発色団を有して良い。
OTSM又はその一部に係る屈折率の実部及び虚部は、エリプソメトリー法によって測定されて良い。それに加えて、測定値及び/又は計算値は、シミュレーション装置への入力パラメータとして用いられて良い。シミュレーション装置は、改善プロセスが生じる前及び/又は該プロセスが生じた後でのOTSMの光学特性を予測及び/又は確認するのに用いられて良い。
実施例によっては、1以上のフェニル基は193nmでの発色団として用いられて良く、かつ、調節可能な光学特性は正しいフェニル基をポリマーに付着することによって供されて良い。
光学的に調節可能なレジスト材料を作製するとき、モノマーが合成され、かつ酸に対して不安定な基が導入されて良い。たとえば、酸に対して不安定な基は、塩基の溶解度、エッチング耐性、及び/又は計測を改善する特性を供するのに用いられて良い。重合化プロセスが実行されることで、分子質量の制御、良好な架橋特性の生成、良好な後続特性の生成、良好な均一性の実現、及び計測特性の改善がなされて良い。
本明細書で用いられているように、樹脂とポリマーとは相互に交換して用いられて良い。“アルキル”という語は、線形のアルキル、分岐したアルキル、及び環状アルキルを意味する。“ハロゲン”及び“ハロ” という語は、フッ素、塩素、臭素及びヨウ素を含む。ポリマーは、ホモポリマーとコポリマーの両方を指すのに用いられて良い。またポリマーは、ダイマー、トリマー、オリゴマー等を有して良い。モノマーは、重合可能であるエチレン又はアセチレン不飽和化合物を指すのに用いられて良い。保護基とは、官能基を意図しない反応から保護するのに用いることのできる基である。塗布後、本来の官能基を露出させるために保護基が除去されて良い。離脱基とは、置換反応又は脱離反応で変位可能な基である。
発色団は、1個の原子又は原子の群で構成される分子の一部であって良い。1個の原子又は原子の群では、所与のスペクトルバンドに関与する電子遷移はほぼ局在している。それに加えて、発色団は分子又は原子群であっても良い。その分子又は原子群は、特定波長で選択的に吸収又は反射する光による光学特性の設定に用いることが可能である。
それに加えて脂環式炭素基は、非芳香族基の各環状部分に炭素を有する。脂環式炭素基は、その環が芳香族でない場合には、環内に1以上の炭素−炭素2重結合を有して良い。ヘテロ脂環基は、非芳香族基の環部分を少なくとも1つ有する。それは炭素ではなく、たとえばN、O又はSで、典型的には1又は2の酸素又は硫黄原子である。ヘテロ脂環基は、その環が芳香族でない場合には、環内に1以上の炭素−炭素2重結合を有して良い。
典型的なアルキル基は、1から約10の炭素原子を有して良い。アルキル基は脂環式基と非脂環式基の両方を有して良い。典型的には、アミン基はアミノアルキル基を有して良い。アミノアルキル基は、1以上の1級、2級及び/又は3級アミン基並びに1から約12の炭素原子を有する基を有する。
典型的なヘテロ芳香族基は、1以上の縮合又は結合した環を有して良い。少なくとも1の環は、1、2又は3のN、O又はS原子を有して良い。そのような環はたとえば、8−クマリニルを含むクマリニル、8−キノリニルを含むキノリニル、ピリジル、ピラジニル、ピリミジル、フリル、ピロリル、チエニル、チアゾリル、オキサゾリル、オキシジゾリル、トリアゾール、イミダゾール、インドリル、ベンゾフラニル及びベンゾチアゾールである。
OTSMを作製するとき、1以上の酸に対して不安定な基を有するポリマーの繰り返しユニットが用いられて良い。酸に対して不安定な基は、ヘテロ脂環部分又は炭素脂環部分の置換基であって良い。それに加えて、酸に対して不安定な基は酸に対して不安定なエステルであって良い。又は酸に対して不安定な基はまた、アセタール基であっても良い。
製造プロセスによっては、様々なポリマー基/部分は置換されて良く、かつ置換基は、計測特性を改善させるのに用いられて良い。置換基は、1以上の可能な場所で置換されて良い。
それに加えて、1以上のニトリル基を有して良いポリマーもあるし、ラクトンを有して良いポリマーもある。
OTSMsの中には、主要部であるポリマーと縮合した炭素脂環基を有するポリマーを有して良いものがある。炭素脂環基は、重合化されたノルボルネン基であって良い。ポリマーは無水物のユニットを有して良い。
実施例によっては、OTSMは、樹脂成分、1以上の酸を生成する化合物、1以上の増感剤化合物、及び1以上の計測を改善する材料を有して良い。(複数の)増感剤が用いられることで、酸の生成体の効率が改善され、計測を改善する材料の計測特性が設定され、変化し、及び/又は改善されて良い。
実施例によっては、ウエハ上に改善された特徴部位を有するパターンを形成する方法は、(a)光学的に調節可能なレジストをウエハ上に堆積する工程、(b)その光学的に調節可能なレジストを、約200nm未満の波長を有しかつパターンを有する励起放射線で露光する工程、及び(c)その露光された光学的に調節可能なレジストを現像することで、計測特性が改善された特徴部位を有するパターンを供する工程、を有する。光学的に調節可能なレジストは、樹脂成分、1以上の酸を生成する化合物、1以上の増感剤化合物、及び1以上の計測を改善する化合物を有して良い。
典型的な増感剤化合物は、芳香族系、つまりヘテロ芳香族とカロブシクル酸アリールの両方を含む。芳香族系は、分離及び/又は縮合した多環芳香族系を有する化合物を含む。それに加えて、増感剤化合物には電子が多く存在して良く、増感剤化合物は、1から約20の炭素原子を有する電子供給化合物を含んで良い。
典型的な酸を生成する化合物は、スルホニウム及びヨードニウム化合物を有して良い。これらの化合物は、ナフチル、チエニル若しくはペンタフルオロフェニルの1以上の置換基を有するカチオン成分、又は、チエニル、ベンゾチオフェニウム等のような
硫黄環基を有するカチオン成分を有する。たとえば置換基(発色団)の中には、酸を生成する化合物の効率を維持及び/又は増大させながら、酸を生成する化合物(の透明度)を調節するのに用いることが可能なものがある。それに加えて、露光中、若しくは露光後、若しくは現像中、若しくは現像後、又はこれらの結合した時期に、OTSMの光学特性を調節するのに用いることが可能な置換基(計測を改善する材料)もある。
それに加えて、酸を生成する化合物は、ヨードニウム又はスルホニウム化合物を有して良い。ヨードニウム又はスルホニウム化合物は、置換ナフチル、置換チエニル、及びペンタフルオロフェニルから選ばれる1以上のカチオン置換基を有する。1以上の増感剤化合物は芳香族化合物を有して良い。1以上の計測を改善する化合物は発色団及び/又はエステルを有して良い。ポリマーは、酸、ニトリル、無水物、若しくはラクトン又はこれらの混合物を有して良い。樹脂成分は、繰り返し構造を有するテトラポリマーを有して良い。繰り返しユニットは脂環基を有する基を含んで良い。繰り返しユニットはまた、重合化モノマーを含む基、及び、第1重合化ノルボルネンユニットを有する基をも含んで良い。ここで重合化モノマーはエチレン不飽和カルボニル又はジカルボニルを有して良い。それに加えて、繰り返しユニットは、第2重合化ノルボルネンユニットを有する基を有して良く、かつ第1ノルボルネンユニットと第2ノルボルネンユニットとは異なっていて良い。さらに繰り返しユニットは、計測を改善する材料を有する基を含んで良い。
実施例によっては、ポリマーは、たとえば5から約18の炭素を有する脂環基のような、付随する置換及び非置換脂環基、及び/又は付随するニトリル基、を有して良い。
実施例によっては、OTSMは樹脂成分及び光活性成分を有して良い。樹脂成分は、1以上の酸に対して不安定な基(たとえばエステル又はアセタール基)及び1以上のPAG化合物を有して良い。1以上の酸に対して不安定な基/部分は、脱ブロック反応を起こして良い。その脱ブロック反応が起こる結果、OTSMの露光領域内の溶解度特性と非露光領域内の溶解度特性とが異なり、かつ現像されたOTSMの光学特性は、現像されていないOTSMの光学特性と異なる。
他の実施例では、OTSM材料はポリマー/樹脂を有して良い。そのポリマー/樹脂は、フェノール酸及びアルキルアクリラート基、PAG化合物、絡酸又は酢酸のうちの少なくとも1、並びに、少なくとも1の計測を改善する材料を有する。OTSM材料は、化学増幅されたネガのレジスト及び/又は化学増幅されたポジのレジストを用いて作製されて良い。たとえばアミンのような塩基の添加物が含まれて良い。またエステルを有する溶媒が含まれても良い。
別な実施例では、OTSMは、ポリマーを有する光活性成分及び樹脂成分を有して良い。そのポリマーは酸に対して不安定なエステル基及び計測を改善する基を有する。その酸に対して不安定なエステル基は、脂環基、ニトリル基、ラクトン基を有する。脂環基は、たとえばフェンシル、アダマンチル、イソボルニル、トリシクロデカニル又はピニルのような、二環基、三環基、又は単環基を有して良い。ポリマーはさらに、酸、無水物、又は酸に対して不安定な基を有して良い。これら酸、無水物、又は酸に対して不安定な基は離脱基を含み、計測を改善する材料と共に用いられて良い。離脱基は、脂環基/部分以外の基を有する。
本願発明者らは、多数の異なるポリマー、これらのポリマーを含む新たな光学的に調節可能なレジスト組成物、及びこれらの新たな光学的に調節可能なレジスト組成物を用いてマイクロエレクトロニクス素子を作製する方法について検討している。これらの組成物は、発色団(たとえばトリメリト酸無水物、4−ヒドロキシ安息香酸)が付着した開始ポリマー(たとえばエポキシクレゾールノボラック樹脂)から生成されるポリマーを有する。
いくつかの例では、光学的に調節可能なポリマーは、開始ポリマーと、光吸収成分及び/又は光反射成分とを反応させることによって生成されて良い。たとえば、開始ポリマーは、エポキシド環を有することのできる再発性モノマーを有して良く、発色団は、トリメト酸無水物及び4−ヒドロキシ安息香酸からなる群から選択されて良い。
いくつの製造工程中、開環重合が用いられて良い。たとえば、エポキシド環が開けられて良く、計測を改善する材料(たとえば発色団)は、開環と結合して良い。OTSMの中には、離脱基として開始ポリマーとの結合が可能な芳香族又はヘテロ脂環式の光を吸収する化合物(発色団)を有して良いものがある。発色団は、フェノール酸−OH、−COOH及び−NH官能基を有して良い。また発色団は、チオフェン、ナフトエ酸、アントラセン、ナフタレン、ベンゼン、カルコン、フタルイミド、パモ酸、アクリジン、アゾ化合物、ジベンゾフラン及びこれらの誘導体を有して良い。
OTSMの中には、PAG、並びに、酸に対して不安定な基を有する少なくとも1のユニット、及び、吸収性発色団が付着する少なくとも1のブロックユニットを有するポリマー、を有して良い。たとえば、吸収性発色団は、1の環を有する炭化水素芳香族基/部分及び1の環を有するヘテロ脂環芳香族基/部分から選ばれて良く、かつ、ブロックユニットは、酸が露光されたときに、吸収性発色団をポリマーから脱ブロックすることのできる離脱基であって良い。
上述の脱ブロックプロセスには様々な量のエネルギーが必要となる。この必要となるエネルギーは、当技術分野では活性化エネルギーとして知られている。酸強度及び/又は温度が増大することで、より大きな活性化エネルギーが供されて良い。
典型的なブロック基は、約80から約120の平均分子量を有して良く、6から8の炭素原子を有して良い。各異なるブロック基は、ポリマー/樹脂から解離するのに、各異なる酸の濃度及び/又は各異なる熱量が必要となると考えられる。
ある方法は、OTARC材料を堆積する工程、及びそのOTARC材料上に光学的に調節可能なレジスト材料を堆積する工程を有して良い。あるいはその代わりに、光学的に調節可能なレジスト材料は必要とされない。OTARC材料は、露光前には、第1組の光学特性によってその特徴が表されて良い。第1組の光学特性は露光プロセス用に最適化され、調節され、及び/又は改善されて良い。またOTARC材料は、露光後には、第2組の光学特性によってその特徴が表されて良い。第2組の光学特性は測定プロセス用に最適化され、調節され、及び/又は改善されて良い。OTARC材料は、ポリマー、酸を生成する化合物、及びポリマーと結合する計測を改善する材料、を有して良い。計測を改善する材料の少なくとも一部が、その結合を失い、脱保護され、活性化され、除去され、又は非活性化された後に、第2組の光学特性が設定されて良い。たとえばポリマーは、酸に対して不安定な基を有する少なくとも1のユニット、及び、吸収性発色団を有する少なくとも1のユニット、を有して良く、かつ吸収性発色団は、1の環を有する炭化水素芳香族基/部分、及び1の環を有するヘテロ脂環芳香族基/部分から選択されて良い。典型的な吸収性発色団は、置換された及び置換されていないフェニル、並びに置換された及び置換されていないヘテロ脂環芳香族環を有して良い。そのヘテロ脂環芳香族環は、酸素、窒素、硫黄及びこれらの結合から選択されるヘテロ原子を含む。それに加えて典型的な吸収性発色団は、炭化水素芳香族環、置換された及び置換されていないフェニル、置換された及び置換されていないアントラシル、置換された及び置換されていないフェナントリル、置換された及び置換されていないナフチル、並びに置換された及び置換されていないヘテロ脂環芳香族環、を含む化合物を有して良い。そのヘテロ脂環芳香族環は、酸素、窒素、硫黄及びこれらの結合から選択されるヘテロ原子を含む。それに加えて、OTARC層は、色素、発色団、増感剤、エンハンサー若しくは着色添加物、又はこれらの結合を有して良く、これらの成分のうちの1以上は、OTARCの光学特性を設定及び/又は変化させるのに用いられて良い。
他の方法は、OTARC層を堆積する工程、及びそのOTARC層上にOTSM層を堆積する工程を有して良い。これらの方法では、1層以上の調節可能な層がアルカリ水溶液を用いることによって現像され、1層以上の調節可能な層はPAGを有し、かつ、ポリマーは酸に対して不安定な基を有する少なくとも1のユニット、及び、吸収性発色団を有する少なくとも1のユニット、を有して良い。たとえば、OTARC層は、除去及び/又は非活性化が可能な計測を改善する材料を有して良く、かつ、OTSM層は、除去、活性化、及び/又は脱保護が可能な計測を改善する材料を有して良い。
さらに他の例では、計測を改善する材料は、1以上の発色団を有する複数の架橋されたポリマー粒子を有して良い。たとえば、各異なる発色団が、各異なる波長又は波長帯での計測特性を改善させるのに用いられて良い。発色団は、芳香族又は置換された芳香族基/部分を有して良い。また発色団は、フェニル、置換されたフェニル、ナフチル、置換されたナフチル、アントラセニル、置換されたアントラセニル、フェナントレニル、置換されたフェナントレニルから選択されて良い。発色団は、1以上の(C−C20)アルキル基を含むモノマーであって良い。ポリマー粒子は、約1nmから約50nmの平均粒径を有し、重合ユニットとして、1以上のフッ素化されたモノマーを有して良い。
さらに別の実施例では、OTSMは光学的に調節可能なレジスト材料を上部層として有して良く、かつその上部層は露光波長では実質的に透明であって良い。反射防止材料はOTSMの底部層として用いられて良い。その底部層は露光波長では非反射性であって良い。たとえば、反射防止材料が、ウエハ上に堆積されることで、ARCを形成して良く、かつARC層は露光波長で実質的に不透明である。光学的に調節可能なレジスト層はARC層上に堆積されて良い。光学的に調節可能なレジスト材料は露光波長で実質的に透明であって良い。光学的に調節可能なレジスト層は調節可能な光学特性を有し、その光学特性は露光波長用に最適化され、調節され、及び/又は改善されて良い。その後その光学特性は、別な光学特性の組に調節(変化して)されて良い。その別な光学特性の組は、計測プロセスに関連する波長用に最適化され、調節され、及び/又は改善されて良い。続いて光学的に調節可能なレジスト層は、侵浸リソグラフィ装置を用いて露光されて良い。第1組の光学特性は露光前に設定されて良い。第2組の光学特性は露光後に設定されて良い。たとえば光学的に調節可能なレジスト層は、露光後により大きな消散係数を有して良い。
実施例によっては、第2組の光学特性は、検査装置又は計測装置に関連する波長を用いて決定されて良い。
改善されたプロファイルライブラリでは、仮説プロファイル及び対応するシミュレーションされた回折信号の数は、改善されたパラメータの組が変化する範囲及び分解能に部分的に依存して良い。改善されたプロファイルライブラリのデータを生成するのに用いられる範囲及び/又は分解能は、用いられるOTSM材料及び/又はOTSMプロセスに基づいて選択されて良い。範囲及び/又は分解能は、AFM、X−SEM及び/又は他の測定装置を用いて確認されても良い。
一の典型的実施例では、計測サブシステム140は、UV領域にさらに別な成分を有するより正確な回折信号を生成し、そのより正確な回折信号と、改善された仮説プロファイルについてのより正確なシミュレーションによる回折信号とを比較して良い。それに加えて、より正確なシミュレーションによる回折信号は、最適化アルゴリズムを用いて生成されて良い。最適化アルゴリズムはたとえば、焼き鈍し法(simulated annealing)を含む大域的最適化法、及び最急降下法を含む局所的最適化法である。より正確なシミュレーションによる回折信号及び改善された仮説プロファイルは、改善されたプロファイルライブラリ内に保存され、かつOTSM関連方法での改善された計測信号を一致させるのに用いられて良い。
改善された計測信号は、より広い帯域を有して良く、かつより正確な信号であって良い。より正確なシミュレーションによる回折信号は、より広い帯域データによって生成されて良い。たとえばより正確なシミュレーションによる回折信号は、マクスウエル方程式を適用し、たとえば厳密結合波解析(RCWA)のような数値解析手法を用いてマクスウエル方程式を解くことによって生成されて良い。しかしRCWAの変化型を含む様々な数値解析手法が用いられて良いことに留意して欲しい。RCWAのより詳細な説明については、特許文献14を参照のこと。
改善されたプロファイルライブラリはウエハを用いて生成されて良い。そのウエハは、OTSM層中に1以上の改善された構造体を有し、又はOTSMを用いることによって形成された1以上の改善された構造体を有する。新たな改善されたプロファイルライブラリが生成されることで、新たに測定された構造体及び/又はこれまでに測定された構造体がより正確に評価されて良い。改善されたプロファイルライブラリは、生成中又は生成後に緻密化されることで、さらにより正確な構造体の評価を可能にする。改善されたプロファイルライブラリは、改善された構造体を特定するのに用いられて良く、かつプロセス結果に係るデータ及びレシピ修正情報を、プロセス装置へ供して良い。他の場合では、改善されたプロファイルライブラリは、OTSMに関連させることの可能な未知の構造体を特定するのに用いられて良い。たとえば、構造体はその測定を行った時点で作成されたライブラリ内に存在していなくても良い。また改善されたプロファイルライブラリは、測定及び特定手法を、これまでに用いられたことのない波長及び/又はデータ空間に拡張するのに用いられて良い。
それに加えて、1以上の改善されたプロファイルライブラリは、改善された参照用構造体、改善された測定用構造体、及び/又は検査用構造体を形成するのに用いられるプロセスシーケンスに基づいて作成されて良い。たとえば、改善されたプロファイルライブラリは、OTSM関連方法がリソグラフィサブシステム内で実行されるときに生成されて良い。また他の改善されたプロファイルライブラリは、OTSM関連方法がプロセスサブシステム内で実行されるときに生成されて良い。また、さらに他の改善されたプロファイルライブラリは、OTSM関連方法が計測サブシステム内で実行されるときに生成されて良い。
改善されたプロファイルライブラリを、生成し、使用し、及び/又は確認する別な方法は、改善された波長の組を用いて未知の構造体から離れた信号を測定する工程であって、その改善された波長の組でデータ点を有する測定信号が生成される工程、一致条件を見つけることができなかった場合に、測定信号と改善されたプロファイルライブラリ内の複数の信号とを比較する工程、及び、改善されたライブラリ生成基準が満たされた場合に、測定データを未確認データとして改善されたプロファイルライブラリに入れる工程、を有して良い。
確認方法は、別な計測装置を用いて実行されて良い。構造体は別な計測装置を用いて測定されて良い。別な装置は、別な測定信号及び/又は別なプロファイル/形状を生成して良い。別なデータがこれまでに測定データと比較されることで、新たな改善されたプロファイルライブラリの例を確認できるか否かが判断されて良い。これまでの測定データが別な計測データを用いて確認できないとき、そのデータは、未確認データとして改善されたプロファイルライブラリへ入力されるか、又は、改善されたプロファイルライブラリから除去されて良い。
確認方法が成功するときには、改善されたプロファイル形状が生成されることで、測定データと関連づけられて良い。改善されたプロファイル形状が生成された後、シミュレーションが実行されて良く、かつそのシミュレーションによる信号がこれまでに測定信号と比較されることで、正確な改善されたプロファイルライブラリの例が生成されたことが確認されて良い。
改善されたプロファイルライブラリデータを生成する別な方法は、OTSMを用いて改善された構造体を形成する工程;計測装置によって改善された構造体から離れる信号を測定する工程であって、測定信号が生成される工程;一致条件を見つけることができなかった場合に、測定信号と第1の改善されたプロファイルライブラリ内の複数の信号とを比較する工程、一致条件を見つけることができなかった場合に、測定信号と第2の改善されたプロファイルライブラリ内の複数の信号とを比較する工程;新たな改善されたプロファイルデータ空間を生成する工程;を有して良い。新たな改善されたプロファイルデータ空間は、第1の改善されたプロファイルライブラリに関連するプロファイルデータ空間と第2の改善されたプロファイルライブラリに関連するプロファイルデータ空間との差異を利用して生成されて良い。新たな改善されたプロファイルデータ空間は新たな改善されたプロファイルライブラリに関連づけられて良い。
よって、測定信号の最善の推定が、新たな改善されたプロファイルデータ空間中に生成されて良く、かつ改善されたプロファイル形状及び/又は改善されたプロファイルパラメータが、その測定信号の最善の推定に基づいて決定されて良い。次に、測定信号とその測定信号の最善の推定との差異が決定され、かつその差異が改善されたプロファイルライブラリ生成基準と比較されて良い。よって、改善されたプロファイル生成基準が満たされる場合には、測定信号の最善の推定、及びその測定信号の最善の推定に関連する改善されたプロファイルデータが保存され、又は、改善されたプロファイル生成基準が満たされない場合には、補正作用が適用されて良い。
測定信号の最善の推定は、第1の改善されたプロファイルライブラリ内の信号と第2の改善されたプロファイルライブラリ内の信号との差異を用いることによって生成されて良い。あるいはその代わりに、測定信号の最善の推定は、ライブラリ内の信号及び修正行列を用いて生成されて良い。
一例では、補正作用を適用する方法は改善されたプロファイルデータ空間中の測定信号の最善の推定を生成する工程のような多数の工程を有して良く、新たな改善されたプロファイル形状及び/又は新たな改善されたプロファイルパラメータは新たな改善されたプロファイル信号に基づいて生成され、かつ、最適化方法が実行されることで測定信号の最善の推定が選択されて良い。続いて、測定信号とその測定信号の最善の推定との差異が計算され、かつその差異と改善されたプロファイルライブラリ生成基準とが比較される。よって、改善されたプロファイル生成基準が満たされる場合には、新たに生成された測定信号の最善の推定、及びその新たに生成された測定信号の最善の推定に関連する改善されたプロファイルデータが保存され、又は、改善されたプロファイル生成基準が満たされない場合には、生成工程、計算工程及び比較工程が中止されて良い。
他の実施例では、プロファイルに基づく計測が用いられて良い。第1の改善されたプロファイルデータ空間中の第1の改善された形状/プロファイルが選択されて良い。また第1の改善された形状/プロファイルは、第1の改善された信号、及びその信号に関連する第1組の改善されたプロファイルパラメータを有して良い。第1の改善されたプロファイルデータ空間は、これまでに測定形状/プロファイル及び関連する信号を有する第1の改善されたプロファイルライブラリに関連づけられて良い。第2の改善されたプロファイルデータ空間中の第2の改善された形状/プロファイルが選択されて良い。また第2の改善された形状/プロファイルは、第2の改善された信号、及びその信号に関連する第2組の改善されたプロファイルパラメータを有して良い。第2の改善されたプロファイルデータ空間は、第2の改善されたプロファイルライブラリに関連づけられて良い。あるいはその代わりに、改善されたプロファイルライブラリは、同一の改善されたプロファイルライブラリと関連づけられて良い。よって、第1の改善された形状/プロファイルと第2の改善された形状/プロファイルとの差異に基づいて改善された形状/プロファイルが決定されて良い。改善された形状/プロファイル及び関連する改善されたプロファイル信号は、改善されたプロファイルパラメータによって定義されて良い。場合によっては、回折信号、屈折信号、反射信号、透過信号、若しくは受光信号又はこれらの結合の差異が、改善されたプロファイルライブラリデータを生成するのに用いられて良い。また場合によっては、回折スペクトル、屈折スペクトル、反射スペクトル、透過スペクトル、若しくは受光スペクトル又はこれらの結合の差異が、改善されたプロファイルライブラリデータを生成するのに用いられて良い。
改善されていないデータが生成されるとき、その改善されていないプロファイルデータは改善されていないプロファイルライブラリ内に保存されて良い。改善されていないプロファイルライブラリは改善されていない分解能で生成されて良い。改善されていないプロファイルライブラリは、精度が改善されていないデータ点を有する改善されていないプロファイルデータ空間を含んで良い。データ点は、改善されていないプロファイルパラメータ及び関連する改善されていないプロファイル信号を表して良い。改善されていないプロファイルライブラリは複数の改善されていないプロファイルを有して良い。
緻密化及び/又は改善方法が実行されるとき、その結果生成されるデータは、改善されたデータとして改善されたプロファイルライブラリ内に保存されて良い。緻密化及び/又は改善方法は、改善されていない信号に関連する改善されていないデータ、改善されていないプロファイルに関連する改善されていないデータ、及び改善されていないプロファイルデータ空間から、及び/又は該空間から得られる他のデータを用いることによって、改善されたプロファイルライブラリデータを決定するように設計されている一連の工程を有して良い。
改善されたデータは特定の分解能で生成されて良い。その特定の分解能は、用いられる計測を改善する材料に依存して良い。改善されたプロファイルライブラリは、特定の精度を有するデータ点を有する改善されたプロファイルデータ空間を含んで良い。改善されたデータ点は、改善された(より正確な)プロファイルパラメータ、改善されたプロファイル信号、及び改善されたプロファイル形状を表して良い。改善されたデータ点は、特定のOTSMに関連づけられて良く、かつ改善されたプロファイルライブラリ内に保存されて良い。
改善されたプロファイルライブラリの改善されたプロファイルライブラリについての精度値が特定及び/又は確認されて良い。それに加えて、改善されていないプロファイルライブラリの改善されていないプロファイルライブラリについての精度値が特定及び/又は確認されて良い。改善されたプロファイルライブラリは、特定の分解能及び/又は精度で生成されて良い。改善されたプロファイルライブラリ内の、改善されたプロファイルライブラリ、改善されたプロファイル信号、及び改善されたプロファイルパラメータについての改善された許容度及び/又は限界が設定されて良い。
改善されたプロファイルデータ空間中の改善されたデータ点についての改善された分解能値が決定されて良い。改善された分解能値は、特定のOTSMに関連する改善されたデータ点について特定の精度値が存在することを確認するように設計されて良い。改善されたプロファイルデータ空間の改善されたデータ点は、改善された分解能値を用いて生成されて良い。
精緻化及び/又は改善方法が実行される前、該方法の実行中、及び/又は該方法の実行後、1以上の感度行列が計算されて良く、感度行列はプロファイルパラメータの変化によって誘起される信号変化の指標であり、かつ感度行列は、各改善されたプロファイルパラメータについての最適に精緻化された分解能を決定するのに用いられて良い。
改善されたプロファイルライブラリは、集積回路構造の測定及び/又は特定に用いられて良い。測定方法及び/又は特定方法は、改善されたプロファイル形状、改善されたプロファイル信号、及び改善されたプロファイルパラメータを決定することで、たとえば集積回路構造のような構造体を特定するように設計された一連の工程を有して良い。
場合によっては、参照用及び/又は検査用構造体は、改善された計測方法を用いて作製されて良い。また参照用及び/又は検査用構造体は、改善されたプロファイルライブラリを用い、生成し、精緻化し、及び/又は確認するときに、用いられて良い。たとえば、参照用構造体及び/又は検査用構造体はその測定を行った時点で作成されたライブラリに存在しなくても良い。また改善されたプロファイルライブラリは、測定及び特定方法を、これまで用いられてこなかった波長及び/又はデータ空間へ拡張するのに用いられて良い。たとえば、参照用及び/若しくは検査用構造体は、OTSM中、若しくはOTARC中又はこれらの結合物中に作製されて良く、並びに/又は、参照用及び/若しくは検査用構造体は、OTSM、若しくはOTARC又はこれらの結合物を用いて作製されて良い。
改善されたプロファイルライブラリを用いて集積回路構造のプロファイルを決定する一の典型的方法は、構造体から離れる信号を計測装置によって測定する工程を有して良い。その測定によって測定信号が生成される。第1比較工程では、測定信号は改善されたプロファイルライブラリ内の複数の信号と比較されて良く、かつ第1一致基準が満たされた場合には第1比較工程が中止されて良い。第2比較工程では、測定信号は改善されていないプロファイルライブラリ内の複数の信号と比較されて良く、かつ第2一致基準が満たされた場合には第1比較工程が中止されて良い。あるいはその代わりに、様々な数(1−N)のライブラリが用いられて良い。そのライブラリは、改善されていないデータ及び/
又は改善されたデータを有して良い。
差異は、測定データ及び改善されたプロファイルライブラリデータを用いて計算されて良い。その差異は、改善されたプロファイルライブラリ生成基準と比較されて良い。あるいはその代わりに、差異は、測定データ及び改善されていないプロファイルライブラリデータを用いて計算されても良い。本明細書で差異について論じるときには、その差異は、スカラー、ベクトル、行列及び/又はテンソルであって良いということに留意して欲しい。よって、改善されたプロファイルライブラリ生成基準が満たされる場合には、構造体は、一致に関連する改善されたプロファイルデータを用いることによって特定されて良い。又は、改善されたプロファイルライブラリ生成基準が満たされない場合には、補正作用が適用されて良い。
本明細書で論じている様々な例では、補正作用を適用する方法は、新たなOTSM材料を選択する工程、新たなOTSM作製プロセスを選択する工程、新たなウエハを選択する工程、新たな改善されたプロファイル信号を決定する工程、新たな改善されたプロファイル信号を生成する工程、新たな改善されたプロファイル形状を決定する工程、新たな改善されたプロファイル形状を生成する工程、異なるライブラリを選択する工程、新たな改善されたプロファイルライブラリを生成する工程、異なる改善されたプロファイルライブラリ生成基準を用いる工程、異なる波長を用いる工程、精緻化方法を実行する工程、改善方法を実行する工程、精度改善方法を実行する工程、感度解析を実行する工程、クラスタリング方法を実行する工程、回帰分析方法を実行する工程、最適化方法を実行する工程、シミュレーション方法を実行する工程、若しくは異なる測定データを用いる工程又はこれらの結合工程を有して良い。
本明細書で論じられている様々な実施例では、改善されたプロファイルライブラリデータが、生成され、選択され、決定され、精緻化され、確認され、比較され、シミュレーションされ、保存され、及び/又はリアルタイムで使用されることで、記憶要件を最小にし、かつプロセス時間を最小にして、処理能力を最大にする。あるいはその代わりに、ダイナミックプロセスは必要とされなくて良い。
改善されたプロファイルライブラリが、OTSM中作製された及び/又はOTSMを用いることによって作製された改善された構造体のデータを有するとき、改善された構造体についての精度値及び限界が、用いられているOTSM材料及び/又は方法に基づいて決定されて良い。OTSM関連の(改善された)構造体に関するOTSM関連プロファイル信号、OTSM関連プロファイル形状、及び/又はOTSM関連プロファイルパラメータの精度値及び限界が設定されて良い。それに加えて、OTSM関連データの精度値及び限界が設定されても良い。精度に関する検査が、用いられているOTSM材料及び/又は方法に基づいて、操作限界、警告限界、及び/又はエラー限界を用いることによって実行されて良い。たとえば、操作限界を超えたときに警告メッセージが送られて良く、警告限界を超えたときにエラーメッセージが送られて良い。
半導体の製造プロセス中、1以上のOTSM関連データベース及び/又はライブラリが、今後利用するために、生成され、調節され、及び/又は保存されて良い。OTSM関連データベースは測定データを有して良い。その測定データが測定された位置は、実行されるOTSM関連プロセスに依存する。データベースは、予測された測定データ、予測された精度データ、及び/又は予測されたプロセスデータを有して良い。データベースは、測定データ、精度データ、ライブラリデータ、履歴データ及び/又はプロセスデータについての信頼値を有して良い。データベースは、OTSM関連方法から得られたデータを有して良い。OTSM関連データベースにアクセスできないとき、エラー状況であることが示されて良い。
実施例によっては、OTSM関連の問題によって、ウエハの再処理が引き起こされて良い。1層以上の層が除去され、かつ新たな材料がウエハ上に堆積されて良い。たとえば、OTSM層、OTARC層、レジスト層、若しくはBARC/ARC層又はこれらの混合層が除去及び再度堆積されて良い。
OTSMを設計し、作製し、及び/又は用いるとき、分解能、コントラスト、感度、エッチング耐性及び調節可能な光学特性を含む多数のパラメータが考慮されて良い。OTSMの調節可能性及び/又は分解能は、OTSM材料の1以上の物理特性及び/又は化学特性によって制御されて良い。OTSMのコントラストは、OTSMが、空間像内部の露光領域と非露光領域とを区別する能力によって特徴付けられて良い。
たとえばコントラスト曲線が生成されることで、OTSMのコントラストが特徴付けられて良い。コントラスト曲線は、OTSMを様々な照射量の放射線で露光し、かつ所定の現像時間後に残ったOTSMを測定することによって生成されて良い。
それに加えて、1以上の光学特性曲線が生成されることで、OTSMの計測を改善する特性の特徴が表されて良い。反射率曲線、吸収率曲線、及び/又はコントラスト曲線は、OTSMを様々な照射量の放射線で露光し、かつ露光前と露光後でのOTSMを測定することによって生成されて良い。回折信号、反射信号、及び/又は透過信号が用いられても良い。それに加えて、消散係数及び/又は屈折率のような光学特性が用いられても良い。DOEが用いられることで、最適現像時間及び/又は用いられる最適波長が決定されて良い。
OTSMについてのさらに別な特性は、均一にスピンコーティングを行う能力、相性の良い熱的及び機械特性、良好な架橋特性、塩基性水溶液中での優れた溶解、酸に対して不安定な保護基を用いることによる計測を改善する材料の化学増幅、調節可能な透明度特性、及び/又は最適化されたエッチング耐性特性を有して良いが、以上に限定されるわけではない。
OTSMによっては、ポリマーが、OTSMのプラズマエッチング耐性を供するのに用いられて良い。よってそのようなOTSMは下地層をパターニングするマスクとして用いられて良い。たとえば、ポリマー及び/又は酸に対して不安定な保護基の炭素含有量が制御されることでエッチング耐性が改善され、かつ脂環式炭化水素が用いられることでエッチング耐性を増大させるのに用いられて良い。
OTSMによっては、マスクを介してUV放射線を露光することによってOTSM中にパターンが生成されるときに、計測を改善する材料が露光工程によって活性化され、かつOTSMの上部の光学特性が変化して良い。露光された領域では、PAGが分解することで、酸性の種が生成される。ベーキング中、酸が拡散し、かつ脱保護反応に触媒作用を及ぼすことで、OTSMの不溶性部分を現像液中で可溶性にする。OTSMの可溶部分は塩基性水溶液によって除去されて良い。残された特徴部位及び/又は構造体の上部は改善された計測特性を有して良い。これらのOTSMでは、計測を改善する材料が活性化される量は露光プロセスによって制御されて良い。
OTSMによっては、マスクを介してUV放射線を露光することによってOTSM中にパターンが生成されて良い。露光された領域では、PAGが分解することで、計測を改善する材料を活性化する酸性の種が生成される。OTSMの上部の光学特性が変化して良い。ベーキング中、酸が拡散し、かつ脱保護反応に触媒作用を及ぼすことで、OTSMの不溶性部分を現像液中で可溶性にする。OTSMの可溶部分は塩基性水溶液によって除去されて良い。残された特徴部位及び/又は構造体の上部は改善された計測特性を有して良い。これらのOTSMでは、計測を改善する材料が活性化される量は初期の酸生成プロセスによって制御されて良い。
別なOTSMでは、マスクを介してUV放射線を露光することによってOTSM中にパターンが生成され、計測を改善する材料を活性化する酸性の種を生成する露光された領域で、PAGが分解し、かつOTSMの上部の光学特性が変化して良い。ベーキング中、酸が拡散し、かつ脱保護反応に触媒作用を及ぼすことで、OTSMの不溶性部分を現像液中で可溶性にする。それに加えて、酸は別な脱保護反応に触媒作用を及ぼして良い。その別な脱保護反応は計測を改善する材料をさらに活性化させるのに用いられて良い。OTSMの可溶部分は塩基性水溶液によって除去されて良い。残された特徴部位及び/又は構造体の上部は改善された計測特性を有して良い。これらのOTSMでは、計測を改善する材料が活性化される量は、初期の酸生成プロセス及び酸の拡散プロセスによって制御されて良い。
ライブラリ作成プロセス中、1以上の確認用ウエハがプロセスされ、用いられることで、既知のプロセス結果が設定されて良く、かつ計測を改善する方法が実行されることで、周期構造の測定及び期待された光学応答の評価が行われて良い。続いて他の測定装置を用いることによってさらに他の測定が行われることで、計測を改善するプロセス中に得られた結果を確認することが可能となる。
改善されたライブラリが生成されるとき、(複数の)測定位置が、1組のこれまでに定義された位置から選択されて良い。たとえば、計測装置の履歴データは多数の位置で取られたデータを有して良く、かつ1以上の履歴位置が用いられても良い。あるいはその代わりに測定位置は、1組のこれまでに定義された位置から選択されなくても良い。
新たな改善された測定を行う位置が必要なとき、新たな制御戦略が生成されて良く、かつ新たなレシピを用いて測定装置を操作することで、1以上の新たな位置でさらに他の改善された測定が行われて良い。
計測を改善する方法は、フィードバックデータを用いることによって更新されて良い。そのフィードバックデータは、監視用ウエハ、検査用ウエハ及び/又は製造用ウエハを処理し、プロセス設定を確認し、かつその結果を観察することによって生成されて良い。それによって、1以上の異なる用途が更新される。たとえば、計測を改善する更新は、監視用ウエハの前後の特性を測定することによって、Nプロセス時間毎に生じて良い。長時間にわたって設定を変更して、様々な動作領域をチェックすることによって、完全な動作空間が長時間にわたって妥当であることが確認されて良い。それに加えて、複数のウエハが、各異なるレシピ設定で同時に処理されて良い。
計測を改善する方法が実行されるとき、データ源及び/又はライブラリが重要となり、かつこれらは事前に特定されて良い。たとえば、計測を改善するデータは、外部で生成されるか、又は内部で生成されて良い。それに加えて、業務上の規則が供されて良い。その業務上の規則は、外部で生成されたデータ又は内部で生成されたデータをいつ利用するのかを決定するのに用いられて良い。計測を改善する方法及び/又はライブラリは、これらが利用可能となる前に、評価及び事前に適したものにされなければならない。
たとえ本発明の特定実施例のみが上記で詳細に説明されたとしても、当業者は、本発明の新規な教示及び利点から実質的に逸脱することなく多くの修正型が可能であることをすぐに理解する。従って、係るすべての修正型は本発明の範囲内に含まれるものと解される。
よって、本明細書での説明は本発明を限定するものではなく、本発明の動作及び性質は、本明細書である程度の詳細な説明が与えられた上で、実施例の修正型及び変化型が可能であることを理解することで、明らかとなる。従って上記の詳細な説明は本発明を限定するものではない。むしろ本発明の範囲は、「特許請求の範囲」の請求項によって定義される。
本発明の実施例に従ったプロセスシステムの典型的ブロックダイアグラムを図示している。 本発明の実施例に従ったプロセスシステムの動作方法の典型的なフローダイヤグラムを図示している。 本発明の実施例に従ったウエハマップを単純化した図を示している。 本発明の実施例に従った、典型的な処理前のOTSM構造体を図示している。 本発明の実施例に従った、典型的な処理後のOTSM構造体を図示している。 本発明の実施例に従った材料特性の典型的なグラフを図示している。 本発明の実施例に従った、OTSMを用いて生成される改善されたプロファイルライブラリの使用方法の典型的なフローダイアグラムを図示している。 本発明の実施例に従った、改善されたプロファイルライブラリの生成方法の典型的なフローダイアグラムを図示している。 本発明の実施例に従った、OTSMの使用方法の典型的なフローダイアグラムを図示している。 本発明の実施例に従った、OTSMの別な使用方法の典型的なフローダイアグラムを図示している。 本発明の実施例に従った、OTSMの別な使用方法の典型的なフローダイアグラムを図示している。 本発明の実施例に従った、光学的調節可能な反射防止コーティング(OTARC)の使用方法の典型的なフローダイアグラムを図示している。
符号の説明
100 プロセスシステム
105 システム制御装置
107 記憶装置
110 リソグラフィサブシステム
115 制御装置
117 記憶装置
120 転写サブシステム
125 制御装置
127 記憶装置
130 プロセスサブシステム
135 制御装置
137 記憶装置
140 計測サブシステム
145 制御装置
147 記憶装置
150 スキャナ
180 製造実行システム
200 方法
210 工程
220 工程
230 工程
240 工程
250 工程
260 工程
270 工程
300 ウエハ
305 ウエハマップ
310 チップ/ダイ
320 考えられる測定位置
410 処理前のOTSM構造体
411 分離間隔
412 構造体の高さ
413 距離
415 光線
416 光線
417 光線
419 未処理層
420 処理後のOTSM構造体
421 分離間隔
422 構造体の高さ
423 開口部
425 光線
426 光線
427 光線
431 底面(背面)反射防止コーティング(BARC)層
432 底面(背面)反射防止コーティング(BARC)層
441 材料層
442 材料層
451 ウエハ層
452 ウエハ層

Claims (42)

  1. 光学的に調節可能なソフトマスク(OTSM)を使用する方法であって:
    上に材料層を有する基板を供する工程;
    露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する前記OTSMであって、ポリマー、酸を生成する化合物、及び、離脱基を用いることによって前記ポリマーと結合し又は離脱基として前記ポリマーと結合する計測を改善する材料であって、前記離脱基が除去された後に前記第2組の光学特性を供する計測を改善する材料を有する前記OTSMを前記材料層上に堆積する工程;
    レクチル及び放射線源を用いて放射線で前記OTSMを露光することで、前記OTSM中に除去可能領域及び除去不可能領域を生成し、前記除去可能領域の溶解度を変化させる工程;
    前記の露光されたOTSMを現像することで、前記除去可能領域が除去され、かつ前記OTSM中に複数の構造体を形成するのに前記除去不可能領域を用いることが可能となる、工程;及び
    前記のOTSM中の複数の構造体を改善する工程であって、前記離脱基が前記現像プロセス中に除去されることによって、前記のOTSM中に複数の改善された構造体を形成する工程であって、前記複数の改善された構造体のうちの少なくとも1は前記第2組の光学特性によって特徴付けられる、工程;
    を有する方法。
  2. 前記計測を改善する材料と付着するのに用いられる離脱基が、放射線による露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、若しくは温度への曝露、又はこれらの結合によって除去される、請求項1に記載の方法。
  3. 前記計測を改善する材料の計測を改善する特性が、放射線による露光、酸への曝露、塩基への曝露、溶媒若しくは現像溶液への曝露、若しくは温度への曝露、又はこれらの結合によって活性化される、請求項1に記載の方法。
  4. 前記第1組の光学特性が、露光前に、露光波長で約0.5未満の消散係数を有し、かつ
    前記第2組の光学特性が、露光後に、露光波長で約0.5よりも大きい消散係数を有する、
    請求項1に記載の方法。
  5. 前記第1組の光学特性が、露光前に、露光波長で約0.3未満の屈折率を有し、かつ
    前記第2組の光学特性が、露光後に、露光波長で約0.3よりも大きい屈折率を有する、
    請求項1に記載の方法。
  6. 前記第1組の光学特性が、露光前に、第1反射率データを有し、かつ
    前記第2組の光学特性が、露光後に、第2反射率データを有する、
    請求項1に記載の方法。
  7. 前記第1組の光学特性が、露光前に、第1回折信号データを有し、かつ
    前記第2組の光学特性が、露光後に、第2回折信号データを有する、
    請求項1に記載の方法。
  8. 前記放射線源が約300nm未満の波長を有する、請求項1に記載の方法。
  9. 前記ポリマーが、塩基に対する溶解度を供する酸性の不安定な基、若しくはエッチング耐性を供するための酸性の不安定な基、又はこれらの結合を有する、請求項1に記載の方法。
  10. 少なくとも1の酸性の不安定な基がアセタール基以外である、請求項9に記載の方法。
  11. 少なくとも1の酸性の不安定な基がエステルである、請求項9に記載の方法。
  12. 少なくとも1の酸性の不安定な基が、アルキルアクリラート基の重合によって供される、請求項9に記載の方法。
  13. 前記ポリマーが、モノマー、コポリマー、テトラポリマー、若しくはペンタポリマー、又はこれらの混合物を有する、請求項1に記載の方法。
  14. 少なくとも1の離脱基が、色素、発色団、若しくは増感剤、又はこれらの混合物を前記ポリマーへ付着させるのに用いられる、請求項1に記載の方法。
  15. 前記OTSMが、塩基性添加物、分解抑制剤、ストライエーション抑制剤、可塑剤、スピードエンハンサー、充填剤、若しくは潤滑剤、又はこれらの結合を有する、請求項1に記載の方法。
  16. 前記第1組の光学特性が、約100nmから約1000nmの範囲内の1以上の波長で確立され、
    前記第2組の光学特性が、約100nmから約1000nmの範囲内の1以上の波長で確立される、
    請求項1に記載の方法。
  17. 前記第2組の光学特性によって特徴付けられる前記少なくとも1の改善された構造体についての第1組の測定データを取得する工程;
    前記第1組の測定データと要求されるデータとの差異を計算する工程;
    前記差異と製品の要件とを比較する工程;及び
    前記製品の要件が満たされるときには、前記基板へのプロセスを継続し、前記製品の要件が満たされないときには、補正作用を適用する工程;
    をさらに有する、請求項1に記載の方法。
  18. 前記補正作用を適用する工程が、残された前記OTSMを除去することによって前記基板を再度プロセスする工程を有する、請求項17に記載の方法。
  19. 前記の補正作用を適用する工程が、前記基板を再測定する工程を有する、請求項17に記載の方法。
  20. 前記の基板へのプロセスを継続する工程が:
    前記OTSM中の第1組の改善された構造体をソフトマスクとして用いることによって前記材料層中に第2組の改善された構造体を形成する工程;
    残された前記OTSMを除去する工程;及び
    前記の材料層中の第2組の改善された構造体に第2材料を堆積する工程;
    を有する、
    請求項17に記載の方法。
  21. 前記材料層が、半導体材料、誘電材料、若しくは金属材料、又はこれらの混合材料を有する、請求項1に記載の方法。
  22. 前記第2材料が、半導体材料、誘電材料、若しくは金属材料、又はこれらの混合材料を有する、請求項20に記載の方法。
  23. 前記第2組の光学特性によって特徴付けられる前記少なくとも1の改善された構造体についての第2組の測定データを取得する工程;
    前記第2組の測定データと要求されるデータとの第2差異を計算する工程;
    前記第2差異と第2の製品の要件とを比較する工程;及び
    前記第2の製品の要件が満たされるときには、前記基板へのプロセスを継続し、前記製品の要件が満たされないときには、第2補正作用を適用する工程;
    をさらに有する、請求項20に記載の方法。
  24. 反射防止層が、前記OTSMを堆積する前に前記材料層上に堆積される、請求項1に記載の方法。
  25. 前記反射防止層が調節可能な光学特性を有する、請求項24に記載の方法。
  26. 前記調節可能な光学特性が、約100nmから約1000nmの範囲内の1以上の波長で調節可能である、請求項25に記載の方法。
  27. 前記反射防止層が、露光波長で少なくとも1.5の消散係数を有する、請求項24に記載の方法。
  28. 前記反射防止層が、露光波長で1.2よりも大きな屈折率を有する、請求項24に記載の方法。
  29. 前記反射防止層が、シリコン酸窒化物、若しくはシリコン酸化物、又はこれらの混合物を有する、請求項24に記載の方法。
  30. 前記第2組の光学特性が、重合中に前記ポリマーに付着する色素、発色団、若しくは増感剤、又はこれらの混合物を用いることによって確立される、請求項1に記載の方法。
  31. 前記少なくとも1の改善された構造体が、周期構造、ビア、溝、デュアルダマシン構造、回折格子、若しくはアレイ、又はこれらの結合を有する、請求項1に記載の方法。
  32. 前記OTSMが、化学増幅されたレジスト材料をさらに有する、請求項1に記載の方法。
  33. 前記第1組の光学特性が調節可能な屈折率(n)を有するレジスト層を用いて確立され、
    前記調節可能な屈折率(n)は、
    248nm周辺である第1範囲において、約1.2から約2.8で、かつ248nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    193nm周辺である第1範囲において、約1.2から約2.8で、かつ193nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    157nm周辺である第1範囲において、約1.2から約2.8で、かつ157nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    126nm周辺である第1範囲において、約1.2から約2.8で、かつ126nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    126nm未満である第1範囲において、約1.2から約2.8で、かつ第1範囲よりも長波長である第2範囲において、約1.0から約3.8である、
    請求項1に記載の方法。
  34. 前記第2組の光学特性が調節可能な屈折率(n)を有するレジスト層を用いて確立され、
    前記調節可能な屈折率(n)は、
    248nm周辺である第1範囲において、約1.2から約2.8で、かつ248nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    193nm周辺である第1範囲において、約1.2から約2.8で、かつ193nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    157nm周辺である第1範囲において、約1.2から約2.8で、かつ157nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    126nm周辺である第1範囲において、約1.2から約2.8で、かつ126nmより長波長である第2範囲において、約1.0から約3.8であり、又は、
    126nm未満である第1範囲において、約1.2から約2.8で、かつ第1範囲よりも長波長である第2範囲において、約1.0から約3.8である、
    請求項1に記載の方法。
  35. 前記第1組の光学特性は調節可能な反射率(k)を有するレジスト層を用いて確立され、
    前記調節可能な反射率(k)は、
    248nm周辺である第1範囲において、約0.2から約0.8で、かつ248nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    193nm周辺である第1範囲において、約0.2から約0.8で、かつ193nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    157nm周辺である第1範囲において、約0.2から約0.8で、かつ157nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    126nm周辺である第1範囲において、約0.2から約0.8で、かつ126nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    126nm未満である第1範囲において、約0.2から約0.8で、かつ第1範囲よりも長波長である第2範囲において、約0.5から約3.0である、
    請求項1に記載の方法。
  36. 前記第2組の光学特性は調節可能な反射率(k)を有するレジスト層を用いて確立され、
    前記調節可能な反射率(k)は、
    248nm周辺である第1範囲において、約0.2から約0.8で、かつ248nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    193nm周辺である第1範囲において、約0.2から約0.8で、かつ193nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    157nm周辺である第1範囲において、約0.2から約0.8で、かつ157nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    126nm周辺である第1範囲において、約0.2から約0.8で、かつ126nmより長波長である第2範囲において、約0.5から約3.0であり、又は、
    126nm未満である第1範囲において、約0.2から約0.8で、かつ第1範囲よりも長波長である第2範囲において、約0.5から約3.0である、
    請求項1に記載の方法。
  37. 光学的に調節可能なソフトマスク(OTSM)を使用するシステムであって:
    上に材料層を有する基板を供する搬送サブシステム;及び
    リソグラフィサブシステム;
    を有し、
    当該リソグラフィサブシステムは、
    露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する前記OTSMであって、ポリマー、酸を生成する化合物、及び、離脱基を用いることによってポリマーと結合する又は離脱基としてポリマーと結合する計測を改善する材料であって、前記離脱基が除去された後に前記第2組の光学特性を供する計測を改善する材料を有する前記OTSMを前記材料層上に堆積し、
    レクチル及び放射線源を用いた放射線で前記OTSMを露光することで、前記OTSM中に除去可能領域及び除去不可能領域を生成し、前記除去可能領域の溶解度を変化させ、
    前記露光されたOTSMを現像することで、前記除去可能な領域が除去され、かつ前記OTSM中に複数の構造体を形成するのに前記除去不可能領域を用いることを可能にし、並びに
    前記のOTSM中の複数の構造体を改善し、前記現像プロセス中に前記離脱基が除去されることで、少なくとも1が前記第2組の光学特性によって特徴付けられる複数の改善された構造体をOTSM中に形成する、
    システム。
  38. 光学的に調節可能なソフトマスク(OTSM)を使用する方法であって:
    上に材料層を有する基板を供する工程;
    前記材料層上に前記OTSMを堆積する工程;を有し、
    前記OTSMは調節可能な光学特性を有し、
    第1組の光学特性は露光装置用に最適化され、調節され、及び/又は改善され、
    第2組の光学特性は測定装置用に最適化され、調節され、及び/又は改善され、
    前記OTSMは、ポリマー、酸を生成する化合物、及び離脱基を用いることによって前記ポリマーと結合する又は前記離脱基として前記ポリマーと結合する計測を改善する材料を有し、
    前記計測を改善する材料は前記離脱基が除去された後に前記第2組の光学特性を確立する、
    方法。
  39. 光学的に調節可能なソフトマスク(OTSM)を使用する方法であって:
    基板を供する工程;
    前記基板上に前記OTSMを堆積する工程;
    を有し、
    前記OTSMは調節可能な光学特性を有し、
    第1組の光学特性は露光装置用に最適化され、調節され、及び/又は改善され、
    第2組の光学特性は測定装置用に最適化され、調節され、及び/又は改善され、
    前記OTSMは、ポリマー、酸を生成する化合物、及び離脱基を用いることによって前記ポリマーと結合する又は前記離脱基として前記ポリマーと結合する計測を改善する材料を有し、
    前記計測を改善する材料は前記離脱基が除去された後に前記第2組の光学特性を確立する、
    方法。
  40. 光学的に調節可能なソフトマスク(OTSM)を使用する方法であって:
    上に材料層を有する基板を供する工程;
    露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する前記OTSMであって、ポリマー、酸を生成する化合物、及び、離脱基を用いることによって前記ポリマーと結合し又は離脱基として前記ポリマーと結合する計測を改善する材料を有する前記OTSMを前記材料層上に堆積する工程であって、前記計測を改善する材料は前記離脱基が除去された後に前記第2組の光学特性を供する、工程;
    レクチル及び放射線源を用いて放射線で前記OTSMを露光することで、前記OTSM中に露光領域及び非露光領域を形成し、前記非露光領域の溶解度を変化させる工程;
    前記の露光されたOTSMを現像することで、前記非露光領域が除去され、かつ前記OTSM中に複数の構造体を形成するのに前記露光領域を用いることが可能となる、工程;及び
    前記のOTSM中の複数の構造体を改善する工程であって、前記計測を改善する材料が前記現像プロセス中に活性化されることによって、少なくとも1が前記第2組の光学特性によって特徴付けられる前記複数の改善された構造体を前記OTSM中に形成する工程;
    を有する方法。
  41. 光学的に調節可能なソフトマスク(OTSM)を使用する方法であって:
    上に材料層を有する基板を供する工程;
    露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する前記OTSMであって、ポリマー、酸を生成する化合物、及び、離脱基を用いることによって前記ポリマーと結合し又は離脱基として前記ポリマーと結合する計測を改善する材料を有する前記OTSMを前記材料層上に堆積する工程であって、前記計測を改善する材料は前記離脱基が除去された後に前記第2組の光学特性を供する、工程;
    レクチル及び放射線源を用いて放射線で前記OTSMを露光することで、前記OTSM中に露光領域及び非露光領域を形成し、前記露光領域の溶解度を変化させる工程;
    前記の露光されたOTSMを現像することで、前記露光領域が除去され、かつ前記OTSM中に複数の構造体を形成するのに前記非露光領域を用いることが可能となる、工程;及び
    前記のOTSM中の複数の改善されていない構造体を改善することで、前記計測を改善する材料が前記露光プロセス中に活性化されることによって、少なくとも1が前記第2組の光学特性によって特徴付けられる複数の改善された構造体を前記OTSM中に形成する工程;
    を有する方法。
  42. 光学的に調節可能なソフトマスク(OTSM)を使用する方法であって:
    上に材料層を有する基板を供する工程;
    露光プロセス用に最適化され、調節され、及び/又は改善された第1組の光学特性、並びに、測定プロセス用に最適化され、調節され、及び/又は改善された第2組の光学特性を有する前記OTSMであって、ポリマー、酸を生成する化合物、及び、離脱基を用いることによって前記ポリマーと結合し又は離脱基として前記ポリマーと結合する計測を改善する材料を有する前記OTSMを前記材料層上に堆積する工程であって、前記計測を改善する材料は前記離脱基が除去された後に前記第2組の光学特性を供する、工程;
    レクチル及び放射線源を用いて放射線で前記OTSMを露光することで、前記OTSM中に露光領域及び非露光領域を形成し、前記のOTSM中の露光領域の溶解度を変化させる、工程;
    前記の露光されたOTSMを現像することで、前記露光領域が除去され、かつ前記OTSM中に複数の構造体を形成するのに前記非露光領域を用いることが可能となる、工程;及び
    前記のOTSM中の複数の改善されていない構造体を改善することで、前記計測を改善する材料が前記露光プロセス中に活性化されることによって、少なくとも1が前記第2組の光学特性によって特徴付けられる複数の改善された構造体を前記OTSM中に形成する工程;
    を有する方法。
JP2007245157A 2006-09-26 2007-09-21 光計測の精度を改善する方法 Pending JP2008098630A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/535,407 US20080074678A1 (en) 2006-09-26 2006-09-26 Accuracy of optical metrology measurements

Publications (1)

Publication Number Publication Date
JP2008098630A true JP2008098630A (ja) 2008-04-24

Family

ID=39224598

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007245157A Pending JP2008098630A (ja) 2006-09-26 2007-09-21 光計測の精度を改善する方法

Country Status (2)

Country Link
US (1) US20080074678A1 (ja)
JP (1) JP2008098630A (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
EP3105636B1 (en) * 2014-02-12 2023-07-12 ASML Netherlands B.V. Method of optimizing a process window
SG11201810017VA (en) * 2016-06-02 2018-12-28 Universal Instruments Corp Semiconductor die offset compensation variation
US11092947B2 (en) * 2017-02-14 2021-08-17 Fuji Corporation Substrate manufacturing machine and substrate manufacturing line

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100513574B1 (ko) * 2000-01-26 2005-09-09 팀버 테크놀로지스, 인코포레이티드 신속 정밀한 결합-파 분석을 위한 층 내부의 계산들의 캐싱
US6943900B2 (en) * 2000-09-15 2005-09-13 Timbre Technologies, Inc. Generation of a library of periodic grating diffraction signals
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7072049B2 (en) * 2003-02-03 2006-07-04 Timbre Technologies, Inc. Model optimization for structures with additional materials
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US20070243491A1 (en) * 2006-04-18 2007-10-18 Wu Wei E Method of making a semiconductor with a high transmission CVD silicon nitride phase shift mask
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US7300730B1 (en) * 2006-09-26 2007-11-27 Tokyo Electron Limited Creating an optically tunable anti-reflective coating

Also Published As

Publication number Publication date
US20080074678A1 (en) 2008-03-27

Similar Documents

Publication Publication Date Title
JP5077844B2 (ja) 光学的に調節可能な反射防止コーティングの作製方法
JP5472559B2 (ja) 光学的に調節可能なソフトマスクプロファイルライブラリを用いる方法及び装置
JP5583716B2 (ja) レジストの光学特性を変化させる方法及び装置
JP5057328B2 (ja) 光学的に調節可能なソフトマスクプロファイルライブラリを用いる方法及び装置
US8097402B2 (en) Using electric-field directed post-exposure bake for double-patterning (D-P)
KR102245695B1 (ko) 모델-기반 계측 및 프로세스 모델의 통합 사용
KR102013483B1 (ko) 파라미터 추적을 위한 계측 시스템 최적화
US6999254B1 (en) Refractive index system monitor and control for immersion lithography
KR100197191B1 (ko) 레지스트 패턴 형성방법
US7949618B2 (en) Training a machine learning system to determine photoresist parameters
JP2008098629A (ja) 光計測の精度を改善する方法
KR20110108323A (ko) 마이크로 브리징 및 러프니스 분석
TW202036173A (zh) 製造器件之方法
KR102440202B1 (ko) 메트롤로지 이미지와 디자인 사이의 시뮬레이션-지원 정렬
TW201905588A (zh) 判定邊緣粗糙度參數
JP2008098628A (ja) 光計測の精度を改善する方法
US20190025706A1 (en) Determining an edge roughness parameter of a periodic structure
US7567353B2 (en) Automated process control using optical metrology and photoresist parameters
JP2008098630A (ja) 光計測の精度を改善する方法
Needham et al. Calibration of a MOx-specific EUV photoresist lithography model
US6972201B1 (en) Using scatterometry to detect and control undercut for ARC with developable BARCs
TW202134797A (zh) 用於引導輻射之總成及方法
US6762133B1 (en) System and method for control of hardmask etch to prevent pattern collapse of ultra-thin resists
US7065427B1 (en) Optical monitoring and control of two layers of liquid immersion media
US7728976B2 (en) Determining photoresist parameters using optical metrology