TWI404814B - Deposition of perovskite and other compound ceramic films for dielectric applications - Google Patents

Deposition of perovskite and other compound ceramic films for dielectric applications Download PDF

Info

Publication number
TWI404814B
TWI404814B TW095131196A TW95131196A TWI404814B TW I404814 B TWI404814 B TW I404814B TW 095131196 A TW095131196 A TW 095131196A TW 95131196 A TW95131196 A TW 95131196A TW I404814 B TWI404814 B TW I404814B
Authority
TW
Taiwan
Prior art keywords
substrate
perovskite
layer
crystalline
target
Prior art date
Application number
TW095131196A
Other languages
Chinese (zh)
Other versions
TW200724700A (en
Inventor
Hongmei Zhang
Richard E Demaray
Original Assignee
Springworks Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Springworks Llc filed Critical Springworks Llc
Publication of TW200724700A publication Critical patent/TW200724700A/en
Application granted granted Critical
Publication of TWI404814B publication Critical patent/TWI404814B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/088Oxides of the type ABO3 with A representing alkali, alkaline earth metal or Pb and B representing a refractory or rare earth metal
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/085Vapour deposited
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/12Ceramic dielectrics
    • H01G4/1209Ceramic dielectrics characterised by the ceramic dielectric material
    • H01G4/1218Ceramic dielectrics characterised by the ceramic dielectric material based on titanium oxides or titanates
    • H01G4/1227Ceramic dielectrics characterised by the ceramic dielectric material based on titanium oxides or titanates based on alkaline earth titanates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/30Stacked capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Physical Vapour Deposition (AREA)
  • Ceramic Capacitors (AREA)
  • Compositions Of Oxide Ceramics (AREA)
  • Inorganic Insulating Materials (AREA)

Abstract

In accordance with the present invention, deposition of perovskite material, for example barium strontium titanite (BST) film, by a pulsed-dc physical vapor deposition process or by an RF sputtering process is presented. Such a deposition can provide a high deposition rate deposition of a layer of perovskite. Some embodiments of the deposition address the need for high rate deposition of perovskite films, which can be utilized as a dielectric layer in capacitors, other energy storing devices and micro-electronic applications. Embodiments of the process according to the present invention can eliminate the high temperature (>700° C.) anneal step that is conventionally needed to crystallize the BST layer.

Description

用於施加介電質作業中之鈣鈦礦物質(perovskite)與其他複合陶瓷膜的沈積法Deposition method for perovskite and other composite ceramic membranes used in dielectric work

本發明有關介電薄膜之製造與應用,更明確地說係有關用於介電質應用之鈣鈦礦物質(諸如鈦酸鋇鍶(BST)膜)與其他陶瓷氧化物之沈積法。The present invention relates to the fabrication and use of dielectric films, and more particularly to the deposition of perovskite materials such as barium titanate (BST) films for dielectric applications and other ceramic oxides.

由於鈣鈦礦物質膜-例如鈦酸鋇鍶(BST)膜-具有相當高介電常數、低漏電流密度、高介電質研壞強度與不會產生疲乏之鐵電鈣鈦礦物質相,故其係用於高密度裝置應用電容器之受注目材料。不過,該鈣鈦礦物質膜的電性質相當大程度取決於沈積法、該基材、該後處理與相關膜結構。就所有可能性來說,由於難以控制薄膜鈣鈦礦物質材料的結晶與非晶相物理及化學性質以及其會與金屬與導電電極交互反應,故其鮮少用於主流製造。Since a perovskite film, such as a barium titanate (BST) film, has a relatively high dielectric constant, a low leakage current density, a high dielectric strength, and a ferroelectric perovskite phase that does not cause fatigue, Therefore, it is used for high-density device application capacitors. However, the electrical properties of the perovskite film depend to a large extent on the deposition process, the substrate, the post-treatment and the associated film structure. For all possibilities, it is rarely used for mainstream manufacturing because it is difficult to control the crystallization and amorphous phase physical and chemical properties of the thin film perovskite material and it interacts with the metal and the conductive electrode.

固態薄膜裝置通常係將金屬與介電質之薄膜堆疊在基材上而形成。該等薄膜通常包括兩層金屬電極,其間係介電層。該等薄膜可使用許多沈積法沈積,該等沈積法包括濺鍍、電鍍、化學氣相沈積溶膠凝膠或氧化作用。以往適於此等應用的基材係可承受至少一次達到至少650-750℃之高溫退火處理的高溫材料,如此結晶該鈣鈦礦物質介電膜,以便提高其介電常數。此種基材可為具有適當結構與材料性質的任何適用材料,例如半導體晶圓、耐火金屬片(例如,鈦、鋯或不鏽鋼)、陶瓷,諸如氧化鋁,或可承受隨後高溫處理的其他材料。Solid-state thin film devices are typically formed by stacking a thin film of metal and dielectric on a substrate. The films typically comprise two layers of metal electrodes with a dielectric layer therebetween. The films can be deposited using a number of deposition methods including sputtering, electroplating, chemical vapor deposition sol gelation or oxidation. Previously suitable substrates for such applications are high temperature materials that can withstand at least one high temperature annealing treatment of at least 650-750 ° C, such that the perovskite dielectric film is crystallized to increase its dielectric constant. Such a substrate can be any suitable material having suitable structural and material properties, such as semiconductor wafers, refractory metal sheets (eg, titanium, zirconium or stainless steel), ceramics, such as alumina, or other materials that can withstand subsequent high temperature processing. .

不過,習用材料與製造方法會限制可用於裝置製造之材料種類。通常,該介電材料係以非晶相形式沈積,然後在退火處理中加熱該材料以形成結晶材料。鈣鈦礦物質層的習用形成法需要例如650℃或高於650℃之退火作用以將該經沈積非晶相膜轉換成結晶形式。不過,此種高溫退火嚴重限制可作為該基材的材料,而且經常需要使用昂貴貴金屬(諸如鉑)保護該基材以免與該電極材料反應。如此高之熱處理溫度與標準半導體或MEM裝置製程不相容,並限制可於其上形成層之基材材料的選擇性、提高成本並降低使用該等層形成之裝置的產率。However, conventional materials and manufacturing methods limit the types of materials that can be used in the manufacture of the device. Typically, the dielectric material is deposited as an amorphous phase and then heated in an annealing process to form a crystalline material. Conventional formation of a perovskite layer requires an annealing action of, for example, 650 ° C or higher to convert the deposited amorphous phase film into a crystalline form. However, such high temperature annealing severely limits the usefulness as a material for the substrate, and it is often desirable to protect the substrate from reaction with the electrode material using expensive precious metals such as platinum. Such high heat treatment temperatures are incompatible with standard semiconductor or MEM device processes and limit the selectivity of the substrate material on which the layer can be formed, increase cost, and reduce the yield of devices formed using such layers.

因此,需要將結晶材料(例如鈣鈦礦物質與其他陶瓷氧化物)沈積於基材用之低溫方法。Therefore, there is a need for a low temperature process for depositing crystalline materials such as perovskites and other ceramic oxides on substrates.

根據本發明,提出以脈衝直流物理氣相沈積法自導電性陶瓷濺靶沈積的沈積法。某些具體實例中,該沈積法可提供低溫、自BST濺靶以高沈積速率沈積緻密非晶相BST,其可於低相當多之溫度退火以產生結晶BST。該沈積法的某些具體實例提出對於低溫、高速率沈積鈣鈦礦物質膜之需求,該鈣鈦礦物質係例如BST膜,其可作為高比電容裝置,例如解耦合電容器、能量儲存裝置、電壓調控電容器或其他微電子裝置中之介電層。According to the present invention, a deposition method for deposition from a conductive ceramic sputtering target by pulsed direct current physical vapor deposition is proposed. In some embodiments, the deposition process provides low temperature, deposition of a dense amorphous phase BST at a high deposition rate from a BST splash target, which can be annealed at a relatively low temperature to produce crystalline BST. Some specific examples of the deposition method suggest a need for a low temperature, high rate deposition of a perovskite film, such as a BST film, which can be used as a high specific capacitance device, such as a decoupling capacitor, an energy storage device, A voltage regulating capacitor or dielectric layer in other microelectronic devices.

根據本發明某些具體實例之沈積鈣鈦礦物質或陶瓷氧化物層的方法包括:將基材置於反應器中;使氣態混合物(例如氬與氧)流經該反應器;對位於該基材對面之導電性鈣鈦礦物質或陶瓷氧化物材料(諸如BST)所形成的濺靶施加脈衝直流電源。A method of depositing a perovskite or ceramic oxide layer according to some embodiments of the present invention comprises: placing a substrate in a reactor; flowing a gaseous mixture (such as argon and oxygen) through the reactor; A pulsed DC power source is applied to the sputtering target formed by the conductive perovskite or ceramic oxide material (such as BST) opposite the material.

某些具體實例中,可使用射頻(RF)濺鍍法形成該鈣鈦礦物質層。在均勻濺靶侵蝕條件之下,於存在濺鍍氣體情況下,藉由大面積濺靶的RF濺鍍法沈積該鈣鈦礦物質。該基材係定位於鈣鈦礦物質(例如BST)所形成的平面濺靶對面,該濺靶面積大於該基材面積。與該基材相同大小而且位於該基材上的濺靶中心面積係曝於均勻電漿條件下,該均勻電漿條件提供均勻侵蝕濺靶的條件。可以在沒有磁性增強、定時二極體濺鍍或藉由以與該濺靶平面平行之平面掃描通過該濺靶的磁鐵提供時間平均之均勻磁場等情況下產生均勻電漿條件。In some embodiments, the perovskite layer can be formed using radio frequency (RF) sputtering. The perovskite is deposited by RF sputtering of a large-area splash target in the presence of a sputtering gas under conditions of uniform sputtering target erosion. The substrate is positioned opposite the planar splash target formed by the perovskite material (eg, BST), the sputtering target area being greater than the substrate area. The splash center area of the same size as the substrate and located on the substrate is exposed to uniform plasma conditions that provide conditions for uniform erosion of the target. Uniform plasma conditions can be produced without magnetic enhancement, timing diode sputtering, or by providing a time-averaged uniform magnetic field through the magnets of the sputtering target in a plane parallel to the sputtering target plane.

使用導電性陶瓷濺靶的脈衝直流偏壓PVD法所製造的薄膜的沈積速率可遠高於需要RF濺鍍法之絕緣陶瓷法。此外,於該氣流中存在相當少氧氣之下進行沈積作用以提供與金屬濺靶相反的完全氧化薄膜。所形成之薄膜密度遠高於該低速率薄膜。該等薄膜可為化學計量、均勻、極緻密、使用低燒結溫度,而且形成高介電性質之薄膜。The deposition rate of a film produced by a pulsed DC bias PVD method using a conductive ceramic sputtering target can be much higher than that of an insulating ceramic method requiring RF sputtering. In addition, deposition occurs under the presence of relatively little oxygen in the gas stream to provide a fully oxidized film opposite the metal splash target. The resulting film density is much higher than the low rate film. The films can be stoichiometric, uniform, extremely dense, use low sintering temperatures, and form films of high dielectric properties.

某些具體實例中,該基材係經預熱。於沈積期間,該基材可加熱至約400℃或以下之溫度用於沈積低溫鈣鈦礦物質的,或加熱至較高溫度用於在可承受所使用之溫度範圍的基材上沈積鈣鈦礦物質。適用於沈積低溫鈣鈦礦物質的基材包括玻璃、塑膠、金屬箔、不鏽鋼與銅。雖然可形成任何厚度之層,但鈣鈦礦物質層的厚度可沈積至高達數微米。In some embodiments, the substrate is preheated. During deposition, the substrate can be heated to a temperature of about 400 ° C or below for deposition of low temperature perovskites, or heated to a higher temperature for deposition of calcium and titanium on substrates that can withstand the temperature range used. Minerals. Substrates suitable for depositing low temperature perovskites include glass, plastic, metal foil, stainless steel and copper. Although a layer of any thickness can be formed, the thickness of the perovskite layer can be deposited up to several microns.

在某些具體實例中,該基材上形成的鈣鈦礦物質層於稍後進行退火。就低溫退火而言,該退火溫度可低至400℃,就可承受所使用之溫度範圍的基材上沈積鈣鈦礦物質而言,可退火至較高溫度。在某些具體實例中,該鈣鈦礦物質濺靶可摻雜過渡金屬摻雜劑,例如錳、過渡元素、鑭系元素(包括稀土離子)及/或兩性元素。In some embodiments, the perovskite layer formed on the substrate is annealed later. For low temperature annealing, the annealing temperature can be as low as 400 ° C, and can be annealed to a higher temperature in the case of depositing perovskite on a substrate that can withstand the temperature range used. In some embodiments, the perovskite splash target can be doped with a transition metal dopant such as manganese, a transition element, a lanthanide (including rare earth ions), and/or an amphoteric element.

某些具體實例中,可形成堆疊電容器結構。該堆疊電容器結構包括一或多層沈積在薄基材上的電容器堆疊體,其中各電容器堆疊體包括:底電極層、鈣鈦礦物質(例如BST)、沈積在該底部電極層上的介電層;以及沈積在該介電層上的頂電極層。上導電層可沈積於該電容器堆疊體之上。In some embodiments, a stacked capacitor structure can be formed. The stacked capacitor structure includes one or more capacitor stacks deposited on a thin substrate, wherein each capacitor stack includes: a bottom electrode layer, a perovskite (eg, BST), a dielectric layer deposited on the bottom electrode layer; A top electrode layer deposited on the dielectric layer. An upper conductive layer can be deposited over the capacitor stack.

某些具體實例中,可於集結式機台中形成電容器結構。在集結式機台中製造電容器的範例方法包括:將基材載入該集結式機台;在該集結式機台的第一室中將電極層沈積於該基材之上;在該集結式機台的第二室中將鈣鈦礦物質介電層沈積於該電極層之上;在第三室中將第二電極層沈積於該介電層之上。某些具體實例中,可於同一室中沈積該第一與第二電極層。In some embodiments, a capacitor structure can be formed in a manifold machine. An exemplary method of fabricating a capacitor in a concentrating machine includes: loading a substrate into the concentrating machine; depositing an electrode layer on the substrate in a first chamber of the concentrating machine; at the assembly machine A perovskite dielectric layer is deposited over the electrode layer in the second chamber of the stage; a second electrode layer is deposited over the dielectric layer in the third chamber. In some embodiments, the first and second electrode layers can be deposited in the same chamber.

用於固定該薄基材的固定物可包括頂部部分及底部部分,其中當該頂部部分與該底部部分連接時,固定住該薄基材。The fixture for securing the thin substrate can include a top portion and a bottom portion, wherein the thin substrate is secured when the top portion is joined to the bottom portion.

某些具體實例中,該陶瓷層可沈積於塗覆有銥或其他耐火性導電材料之基材上,以提供經低溫退火處理之電容結構。In some embodiments, the ceramic layer can be deposited on a substrate coated with tantalum or other refractory conductive material to provide a low temperature annealed capacitor structure.

下文茲參考下列圖式進一步討論本發明此等與其他具體實例。應暸解前文概要說明與下列詳細說明僅為範例與解釋用,並非如申請專利範圍般成為本發明限制。另外,所提出有關本發明之沈積法或材料性能的特定解釋或其他理論僅為說明用,並應視為本揭示或主張權項的範圍限制。These and other specific examples of the invention are discussed further below with reference to the following drawings. It is to be understood that the following general description and the following detailed description are intended to be illustrative and not restrictive. In addition, the specific explanations or other theories relating to the properties of the deposition methods or materials of the present invention are for illustrative purposes only and should be considered as limiting the scope of the disclosure or claims.

根據本發明具體實例,介電鈣鈦礦物質膜或其他陶瓷氧化物膜係藉由脈衝直流物理氣相沈積(PVD)法,使用導電性陶瓷濺靶沈積於基材上。某些具體實例中,該膜可藉由RF濺鍍法沈積。In accordance with an embodiment of the invention, a dielectric perovskite film or other ceramic oxide film is deposited on a substrate by a pulsed direct current physical vapor deposition (PVD) process using a conductive ceramic sputtering target. In some embodiments, the film can be deposited by RF sputtering.

某些具體實例中,介電鈣鈦礦物質層(例如BST材料)係以低溫退火直接沈積於該基材上,消除隨後高溫退火以結晶該膜的需求。去除高溫退火使得可在輕量低溫且低成本基材(諸如銅箔與塑膠片)上形成電容器結構,兼顧減輕重量與降低電容器成本,同時維持該鈣鈦礦物質(例如BST)高密度介電膜的高介電常數。In some embodiments, a dielectric perovskite layer (e.g., a BST material) is deposited directly onto the substrate by low temperature annealing, eliminating the need for subsequent high temperature annealing to crystallize the film. The removal of high temperature annealing allows capacitor structures to be formed on lightweight, low temperature and low cost substrates such as copper foil and plastic sheets, while reducing weight and capacitor cost while maintaining high-density dielectric properties of the perovskite (eg BST) The high dielectric constant of the film.

藉脈衝直流、RF偏壓反應性離子沈積法沈積材料係描述於Hongmei Zhang等人於2002年3月16日申請之美國專利申請案序號第10/101,863號,標題為「氧化物膜之偏壓脈衝直流反應性濺鍍(Biased Pulse DC Reactive Sputtering of Oxide Films)」。濺靶之製備方法係描述於Vassiliki Milonopoulou等人於2002年3月16日申請之美國專利申請案序號第10/101,341號,標題為「供介電平面應用之稀土金屬預合金化PVD濺靶(Rare-Earth Pre-Alloyed PVD Targets for Dielectric Planar Applications)」、讓渡於與本揭示同一讓受人之美國專利申請案序號第10/101,863號及美國專利申請案序號第10/101,341號,各案全文係以提及的方式併入本文中。藉由RF濺鍍沈積氧化物材料之方法亦描述於美國專利6,506,289號,該案全文係以提及的方式併入本文中。過渡氧化物膜可使用與美國專利第6,506,289號及美國專利申請案序號第10/101,863號特別描述方法相似的方法沈積。The invention is described in U.S. Patent Application Serial No. 10/101,863, the entire disclosure of which is incorporated herein by reference. Biased Pulse DC Reactive Sputtering of Oxide Films. The method of preparing a splash target is described in U.S. Patent Application Serial No. 10/101,341, filed on Mar. 6, 2002, to the name of the <RTI ID=0.0>> Rare-Earth Pre-Alloyed PVD Targets for Dielectric Planar Applications), U.S. Patent Application Serial No. 10/101,863, and U.S. Patent Application Serial No. 10/101,341, the disclosure of which is incorporated herein by reference. The full text is incorporated herein by reference. A method of depositing an oxide material by RF sputtering is also described in U.S. Patent No. 6,506,289, the disclosure of which is incorporated herein in its entirety. The transition oxide film can be deposited using methods similar to those described in U.S. Patent No. 6,506,289 and U.S. Patent Application Serial No. 10/101,863.

圖1A顯示自本發明之濺靶12濺鍍材料用之反應器設備10的示意圖。某些具體實例中,設備10可能改良自加州聖塔克拉拉Applied Komatsu之AKT-1600 PVD(400 X 500mm基材大小)系統,或Applied Komatsu之AKT-4300(600 X 720mm基材大小)系統。例如,該AKT-1600反應器具有三個沈積室,其係藉由真空輸送室連接。此等AKT反應器可經改良,以於沈積材料膜期間將脈衝直流電源供應至該濺靶,並將RF電力供應至該基材。Figure 1A shows a schematic diagram of a reactor apparatus 10 for sputtering a sputtering target 12 of the present invention. In some embodiments, device 10 may be modified from an AKT-1600 PVD (400 X 500 mm substrate size) system from Applied Komatsu, Santa Clara, Calif., or an AKT-4300 (600 X 720 mm substrate size) system from Applied Komatsu. For example, the AKT-1600 reactor has three deposition chambers connected by a vacuum transfer chamber. These AKT reactors can be modified to supply a pulsed DC power source to the sputtering target during deposition of the material film and to supply RF power to the substrate.

設備10包括濺靶12,其係經由濾波器15與脈衝直流電源14電耦合。某些具體實例當中,濺靶12係大面積濺鍍源濺靶,其提供欲沈積於基材16之材料。基材16係與濺靶12平行定位,並位於濺靶12對面。當自脈衝直流電源14對濺靶12供應電力時,其發揮陰極功能,而且同樣稱為陰極。對濺靶12施加電力產生電漿53。基材16係經由絕緣體54與電極17電容耦合。電極17可耦合於RF電源18。磁鐵20係掃描通過濺靶12頂部。Apparatus 10 includes a splash target 12 that is electrically coupled to pulsed DC power source 14 via filter 15. In some embodiments, the splash target 12 is a large area sputtering source splash target that provides material to be deposited on the substrate 16. The substrate 16 is positioned parallel to the splash target 12 and is located opposite the splash target 12. When the pulsed DC power source 14 supplies power to the splash target 12, it functions as a cathode and is also referred to as a cathode. Applying electricity to the splash target 12 produces a plasma 53. The substrate 16 is capacitively coupled to the electrode 17 via an insulator 54. Electrode 17 can be coupled to RF power source 18. The magnet 20 is scanned through the top of the splash target 12.

為了進行脈衝反應性直流磁控管濺鍍作用,當以設備10進行時,藉由電源14供應至濺靶12之電力極性在正負電位之間振盪。於正電位期間,該濺靶12表面上的絕緣層放電。為了獲得無電弧沈積作用,該脈衝頻率可取決於濺靶材料、陰極電流與反向時間而超過臨界頻率。使用諸如設備10所示之反應性脈衝直流磁控管濺鍍作用可製得高品質薄膜。For pulse reactive DC magnetron sputtering, the polarity of the power supplied to the splash target 12 by the power source 14 oscillates between positive and negative potentials when performed by the device 10. During the positive potential, the insulating layer on the surface of the sputtering target 12 is discharged. To achieve arc-free deposition, the pulse frequency can exceed the critical frequency depending on the target material, cathode current, and reverse time. High quality films can be made using reactive pulsed DC magnetron sputtering as shown by apparatus 10.

脈衝直流電源14可為任何脈衝直流電源,例如Advanced Energy,Inc.所製之AE Pinnacle plus 10K。使用此種直流電源,可供應至高達10 kW頻率介於0與350kHz之脈衝直流電力。該反向電壓可為該負濺靶電壓的10%。利用其他電源會導致不同電力特性、頻率特性與反向電壓百分比。此電源14具體實例的反向時間可在0與5μs間做調整。The pulsed DC power source 14 can be any pulsed DC power source such as the AE Pinnacle plus 10K manufactured by Advanced Energy, Inc. With this DC power supply, pulsed DC power between 0 and 350 kHz can be supplied up to 10 kW. The reverse voltage can be 10% of the negative sputtering target voltage. Using other power supplies can result in different power characteristics, frequency characteristics, and percentage of reverse voltage. The reverse time of this power supply 14 specific example can be adjusted between 0 and 5 μs.

濾波器15避免來自電源18之RF偏壓電力與脈衝直流電源14耦合。某些具體實例中,電源18可為2 MHz RF電源,例如柯羅拉多州柯羅拉多泉之ENI所製的Nova-25電源。Filter 15 prevents RF bias power from power source 18 from being coupled to pulsed DC power source 14. In some embodiments, the power supply 18 can be a 2 MHz RF power source, such as the Nova-25 power supply manufactured by ENI of Corollado Springs, Colorado.

某些具體實例中,濾波器15可為2MHz正弦帶拒濾波器。某些具體實例中,該濾波器的帶寬大約100kHz。因此,濾波器15避免自該偏壓至基材16的2MHz電力損壞電源14,同時容許該脈衝直流電源的全帶寬通過濾波器15。In some embodiments, filter 15 can be a 2 MHz sinusoidal rejection filter. In some embodiments, the filter has a bandwidth of approximately 100 kHz. Thus, the filter 15 prevents the 2 MHz power from the bias to the substrate 16 from damaging the power supply 14 while allowing the full bandwidth of the pulsed DC power supply to pass through the filter 15.

脈衝直流沈積之薄膜並非完全緻密,而且可能具有柱狀結構。由於圓柱之間的邊界緣故,柱狀結構對於諸如阻隔膜與介電膜等高密度相當重要的薄膜應用有害。此等柱會降低該材料的介電強度,但可能提供電流、離子電流、氣體或其他化學物質(諸如水)輸送或擴散的擴散途徑。The pulsed DC deposited film is not completely dense and may have a columnar structure. Due to the boundary between the cylinders, the columnar structure is detrimental to high-density film applications such as barrier films and dielectric films. These columns reduce the dielectric strength of the material, but may provide a diffusion path for the transport or diffusion of current, ion current, gas or other chemicals such as water.

在AKT-1600為基礎系統當中,例如濺靶12可具有約675.70 X 582.48 X 4mm之作用大小,以便在尺寸約400 X 500 mm的基材16上沈積薄膜。基材16的溫度可在介於-50℃至500℃之間做調整。介於濺靶12與基材16間之距離可介於約3與約9 cm。可以至高達約200 sccm之速率將處理氣體注入設備10的室中,同時設備10該室中的壓力可保持介於約0.7與6毫托耳之間。磁鐵20提供導入濺靶12平面且強度介於約400與約600高斯之磁場,並且以低於約20-30秒/掃描的速率移動通過濺靶12。在使用AKT 1600反應器的某些具體實例當中,磁鐵20可為尺寸約150 mm X 600 mm之軌道形磁鐵。In the AKT-1600 based system, for example, the splash target 12 can have a magnitude of about 675.70 X 582.48 X 4 mm to deposit a film on the substrate 16 having a size of about 400 X 500 mm. The temperature of the substrate 16 can be adjusted between -50 ° C and 500 ° C. The distance between the splash target 12 and the substrate 16 can be between about 3 and about 9 cm. Process gas can be injected into the chamber of apparatus 10 at rates up to about 200 sccm while the pressure in the chamber of apparatus 10 can be maintained between about 0.7 and 6 mTorr. The magnet 20 provides a magnetic field that is directed into the plane of the splash target 12 and has an intensity between about 400 and about 600 Gauss, and moves through the splash target 12 at a rate of less than about 20-30 seconds per scan. In some specific examples of using an AKT 1600 reactor, the magnet 20 can be a track-shaped magnet having a size of about 150 mm X 600 mm.

本發明某些具體實例當中,使用大面積濺靶與均勻濺靶侵蝕條件,藉由RF濺鍍沈積鈣鈦礦物質層。RF濺鍍的實例設備30係概示於圖1C。設備30包括與大面積濺鍍源濺靶12耦合之RF電源60,其中該濺靶12提供欲沈積於基材16之材料。基材16係與濺靶12平行定位而且對於濺靶12對面。當對濺靶12供應RF電力時,其發揮陰極功能,而且同樣稱為陰極。本揭示中,濺靶12可由鈣鈦礦物質材料(例如BST)形成,用於沈積介電鈣鈦礦物質膜。基材16係固態光滑表面。基材16通常係支撐在可能比基材16大之托座或載片17上。In some embodiments of the invention, the perovskite layer is deposited by RF sputtering using a large area splash target and uniform sputtering target erosion conditions. An example device 30 for RF sputtering is illustrated in Figure 1C. Apparatus 30 includes an RF power source 60 coupled to a large area sputtering source sputtering target 12, wherein the sputtering target 12 provides material to be deposited on substrate 16. The substrate 16 is positioned parallel to the splash target 12 and opposite the splash target 12. When the sputtering target 12 is supplied with RF power, it functions as a cathode and is also referred to as a cathode. In the present disclosure, the splash target 12 may be formed of a perovskite material (eg, BST) for depositing a dielectric perovskite film. Substrate 16 is a solid smooth surface. Substrate 16 is typically supported on a holder or slide 17 that may be larger than substrate 16.

某些具體實例當中,RF濺鍍法的特點係大面積濺靶12的面積大於該載片上的面積,於該載片上完成物理及化學性均勻沈積作用。其次,可以相當均勻之濺靶材料濺鍍侵蝕條件在位於該基材16上方的中央部分提供濺靶12。均勻濺靶侵蝕係均勻電漿條件的結果。在下列討論當中,所提及的所有濺靶侵蝕均勻條件均視為等同於均勻電漿條件。均勻濺靶侵蝕的證據係在長期濺靶使用期限當中持續保有薄膜均勻度。在基材晶圓整體表面上數個代表點測量時,均勻沈積之薄膜被界定為厚度不均勻度小於約5%。照慣例,厚度不均勻度界定為最小厚度與最大厚度差異值除以平均厚度的兩倍。若在固定處理條件下自已經去除20重量%以上之濺靶沈積的薄膜持續顯示厚度均勻度,則該濺鍍判定為在該濺靶使用期限期間所沈積的所有薄膜均處於均勻濺靶侵蝕條件。In some specific examples, the RF sputtering method is characterized in that the area of the large-area sputtering target 12 is larger than the area on the carrier, and physical and chemical uniform deposition is performed on the carrier. Second, the splash target 12 can be provided at a central portion above the substrate 16 with relatively uniform splash material sputter erosion conditions. Uniform splash target erosion is the result of uniform plasma conditions. In the following discussion, all of the sputter target erosion uniformities mentioned are considered equivalent to uniform plasma conditions. The evidence of uniform splash target erosion continues to maintain film uniformity over the long-term splash target life. When measured at several representative points on the overall surface of the substrate wafer, the uniformly deposited film is defined as having a thickness non-uniformity of less than about 5%. Conventionally, thickness non-uniformity is defined as the difference between the minimum thickness and the maximum thickness divided by twice the average thickness. If, under fixed processing conditions, a film that has been removed by more than 20% by weight of the splash target continues to exhibit thickness uniformity, the sputtering is determined to be that all of the films deposited during the lifetime of the splash are in a uniform splash target condition. .

因此,可在該濺靶與基材之間位於該基材上方的區域產生均勻電漿條件。由圖1B之分解圖指出均勻電漿條件區。電漿係在標示為51的區域產生,該區域係在整個濺靶12下方延伸。濺靶52的中央區域經歷均勻濺鍍侵蝕條件。如下文進一步討論,沈積在置於中央區域52以下任何一處的基材具有均勻膜厚度。Thus, uniform plasma conditions can be created in the region above the substrate between the splash target and the substrate. The uniform plasma condition zone is indicated by the exploded view of Figure 1B. The plasma is created in the area labeled 51 which extends below the entire splash target 12. The central region of the splash target 52 experiences a uniform sputter erosion condition. As discussed further below, the substrate deposited at any of the locations below the central region 52 has a uniform film thickness.

此外,提供均勻膜厚度之沈積區域比提供具有均勻物理或光學性質(諸如化學組成或折射指數)之膜的沈積範圍大。本發明當中,該濺靶可為平面或接近平面,以在待塗覆該濺靶材料的平面基材上形成膜。實際上,濺靶的平面性意指該區域52內該濺靶表面的所有部分均在理想平面表面的數毫米內,通常在0.5 mm內。Furthermore, a deposition area that provides a uniform film thickness is larger than a deposition range that provides a film having uniform physical or optical properties such as chemical composition or refractive index. In the present invention, the splash target may be planar or nearly planar to form a film on a planar substrate to which the splash target material is to be coated. In practice, the planarity of the splash target means that all portions of the splash target surface in the region 52 are within a few millimeters of the ideal planar surface, typically within 0.5 mm.

圖2圖示濺靶12之實例。沈積於定位在濺靶12區域52正對面之載片17上的基材上之膜具有良好厚度均勻度。區域52係圖1B所顯示曝露在均勻電漿條件下的區域。某些具體實例當中,載體17可與區域52共延伸。圖2所示之區域24表示在該區域以下可以同時達到物理與化學性均勻沈積,例如物理與化學均勻度提供折射指數均勻度。圖2表示提供厚度均勻度的濺靶12區域52,其通常大於提供該沈積膜厚度與化學均勻度的濺靶12區域24。不過,在最佳化方法當中,區域52與24可共延伸。FIG. 2 illustrates an example of a splash target 12. The film deposited on the substrate positioned on the slide 17 directly opposite the region 52 of the splash target 12 has good thickness uniformity. Zone 52 is the area exposed to uniform plasma conditions as shown in Figure 1B. In some embodiments, the carrier 17 can be coextensive with the region 52. Region 24, shown in Figure 2, indicates that physical and chemical uniform deposition can be achieved simultaneously below the region, such as physical and chemical uniformity to provide refractive index uniformity. 2 shows a splash target 12 region 52 that provides thickness uniformity that is generally greater than the splash target 12 region 24 that provides the deposited film thickness and chemical uniformity. However, in the optimization method, regions 52 and 24 can be coextensive.

某些具體實例當中,磁鐵20係以一個方向延伸超出區域52(例如圖2之Y方向),如此只有一個方向必須掃描(例如X方向),以提供時間平均均勻磁場。如圖1A與1B所示,磁鐵20可在大於均勻濺靶侵蝕之區域52的整個濺靶12範圍上方掃描。磁鐵20係與濺靶12平面平行之平面移動。In some embodiments, the magnet 20 extends beyond the region 52 in one direction (e.g., the Y direction of Figure 2) such that only one direction must be scanned (e.g., the X direction) to provide a time average uniform magnetic field. As shown in Figures 1A and 1B, the magnet 20 can be scanned over a range of splash targets 12 that are greater than the area 52 of uniform splash erosion. The magnet 20 moves in a plane parallel to the plane of the splash target 12.

均勻濺靶12與大於基材16面積之濺靶區域52結合可提供高度均勻厚度之膜。此外,所沈積的膜具有高度均勻之材料性質。在大於或等於待塗覆均勻膜厚度區域的範圍之上,諸如侵蝕均勻度、濺靶表面之電漿平均溫度與該濺靶表面與該處理之氣相環境之均衡等濺鍍在該濺靶表面的條件係均勻的。此外,該均勻膜厚度區域大於或等於具有高度均勻光學性質(諸如折射指數、密度、透射率或吸光率)之膜的區域。The uniform splash target 12 is combined with a splash target area 52 that is larger than the area of the substrate 16 to provide a film of a highly uniform thickness. In addition, the deposited film has highly uniform material properties. Sputtering on the splash target over a range greater than or equal to the area of the uniform film thickness to be coated, such as erosion uniformity, average plasma temperature of the splash target surface, and equilibrium of the splash target surface and the treated gas phase environment The surface conditions are uniform. Further, the uniform film thickness region is greater than or equal to a region of the film having a highly uniform optical property such as refractive index, density, transmittance, or absorbance.

本揭示中,濺靶12可由鈣鈦礦材料(諸如BST)形成,以沈積介電鈣鈦礦物質膜。本發明某些具體實例當中,該鈣鈦礦濺靶係摻雜過渡金屬摻雜劑,例如錳、過渡金屬元素、鑭系元素(包括稀土離子)及/或兩性元素。本發明某些具體實例中,該鈣鈦礦物質濺靶中之摻雜劑百分比係自0.1至數個百分比。In the present disclosure, the splash target 12 may be formed of a perovskite material such as BST to deposit a dielectric perovskite film. In some embodiments of the invention, the perovskite splash target is doped with a transition metal dopant such as manganese, a transition metal element, a lanthanide (including rare earth ions), and/or an amphoteric element. In some embodiments of the invention, the percentage of dopant in the perovskite splash target is from 0.1 to several percent.

本發明某些具體實例當中,形成材料方塊。此等方塊係安裝在一支承板上以形成設備10之濺靶。由較小方塊的密集陣列可形成大面積濺鍍陰極濺靶。因此,濺靶12可包括任何數量之方塊,例如介於2與20個個別方塊。方塊可最後加工成某一大小,以提供方塊與方塊的非接觸邊限,其小於約0.010"至約0.020",或小於半毫毛,以免除相鄰方塊30之間進行電漿處理。圖1B中,介於濺靶12之方塊與暗區陽極或接地屏蔽19可能稍大,以提供非接觸邊限組合體,或於處理室處理或操作期間提供熱膨脹容限。In some embodiments of the invention, material blocks are formed. These blocks are mounted on a support plate to form a splash target for device 10. A large area sputtered cathode splash target can be formed by a dense array of smaller squares. Thus, splash target 12 can include any number of squares, such as between 2 and 20 individual squares. The squares may be finally processed to a size to provide a non-contact margin of the squares and squares that is less than about 0.010" to about 0.020", or less than half mils, to avoid plasma processing between adjacent squares 30. In FIG. 1B, the block and dark area anode or ground shield 19 between the splash target 12 may be slightly larger to provide a non-contact margin combination or to provide thermal expansion tolerance during processing or operation of the process chamber.

如圖1B所示,可在濺靶12與基材16之間位於基材16上方之區域產生均勻電漿條件。電漿53係於區域51中產生,該區域係在整體濺靶12下方延伸。濺靶12的中央區域52會經歷均勻濺靶侵蝕條件。如下文進一步討論,沈積在置於中央區域52任一處之基材的層具有均勻厚度與其他性質(即,介電、光學指數或材料濃度)。此外,區域52當中,該沈積作用對所沈積之膜提供均勻度,該沈積之膜的面積可大於具有均勻物理或光學性質(諸如化學組成或折射指數)之膜的沈積面積。某些具體實例當中,濺靶12基本上為平面,以在基材16所沈積的膜中提供均勻度。實際上,濺靶12的平面性可意味著區域52中的濺靶表面所有部分係在數毫米內之平坦表面,而且通常係在0.5mm內之平坦表面。As shown in FIG. 1B, uniform plasma conditions can be created in the region above the substrate 16 between the splash target 12 and the substrate 16. A plasma 53 is created in region 51 that extends below the overall splash target 12. The central region 52 of the splash target 12 experiences a uniform splash target erosion condition. As discussed further below, the layer deposited on the substrate disposed anywhere in the central region 52 has a uniform thickness and other properties (i.e., dielectric, optical index, or material concentration). Moreover, in region 52, the deposition provides uniformity to the deposited film, and the area of the deposited film can be greater than the deposited area of the film having uniform physical or optical properties such as chemical composition or refractive index. In some embodiments, the splash target 12 is substantially planar to provide uniformity in the film deposited by the substrate 16. In fact, the planarity of the splash target 12 can mean that all portions of the splash target surface in region 52 are flat surfaces within a few millimeters, and are typically flat surfaces within 0.5 mm.

可提供固定供應氧以維持已氧化濺靶表面的反應性氣體以擴展處理窗。可用以控制表面氧化作用的氣體某些氣體實例係O2 、水蒸氣、氫、N2 O、氟、氦與銫。此外,可結合反饋控制系統以控制該反應室中之氧偏壓。因此,可控制廣範圍氧流速,使形成之電漿中保持穩定氧偏壓。亦可使用其他類型之控制系統,諸如濺靶電壓控制與光學電漿射出控制系統,以控制該濺靶表面的氧化作用。某些具體實例當中,可在電源14的反饋回路內控制供應至濺靶12的電力。此外,氧偏壓控制器20可控制電漿53中之氧或氦偏壓。本發明某些具體實例當中,氧流或偏壓可用以使濺靶12的放電維持固定電壓。A fixed supply of oxygen can be provided to maintain the reactive gas that has oxidized the splash target surface to expand the processing window. Gases that can be used to control surface oxidation Some examples of gases are O 2 , water vapor, hydrogen, N 2 O, fluorine, ruthenium and osmium. Additionally, a feedback control system can be incorporated to control the oxygen bias in the reaction chamber. Thus, a wide range of oxygen flow rates can be controlled to maintain a stable oxygen bias in the formed plasma. Other types of control systems, such as splash target voltage control and optical plasma injection control systems, can also be used to control the oxidation of the splash target surface. In some embodiments, the power supplied to the splash target 12 can be controlled within the feedback loop of the power source 14. Additionally, the oxygen bias controller 20 can control the oxygen or helium bias in the plasma 53. In some embodiments of the invention, an oxygen flow or bias can be used to maintain the discharge of the splash target 12 at a fixed voltage.

圖3A與3B顯示具有根據本發明某些具體實例沈積之介電鈣鈦礦物質層的電容器結構。如圖3A所示,介電鈣鈦礦物質層302係沈積於基材301上。某些具體實例中,可於基材301的沈積作用之前以各種方式對該介電層302進行佈圖。某些具體實例當中,第一電極層303係沈積在該基材上,該介電層302係沈積在該第一電極層上。然後,將第二電極層304沈積在該介電層302上。本發明某些具體實例當中,在不需要高溫退火情況之下,該介電鈣鈦礦物質層302係呈結晶狀而且具有夠高之介電常數。因此,基材301可為矽晶圓、鈦金屬、氧化鋁或其他習用高溫基材,但亦可為諸如塑膠、玻璃或容易受到高溫退火損壞的其他材料之低溫材料。此特點具有降低降低本發明所形成電容器結構的費用與重量的重要優點。鈣鈦礦物質的低溫沈積作用容許一層接著一層連續沈積鈣鈦礦物質與電極層。此種方法可能具有不需要包括基材層即可獲得呈堆疊狀態之電容器結構連續層的優點。該堆疊體狀電容器比具有較小表面面之單層裝置提供更高電容與更高能量儲存率。此外,可製得具有較低電感的電容器。3A and 3B show capacitor structures having a dielectric perovskite layer deposited in accordance with certain embodiments of the present invention. As shown in FIG. 3A, a dielectric perovskite layer 302 is deposited on a substrate 301. In some embodiments, the dielectric layer 302 can be patterned in various ways prior to deposition of the substrate 301. In some embodiments, a first electrode layer 303 is deposited on the substrate, and a dielectric layer 302 is deposited on the first electrode layer. A second electrode layer 304 is then deposited over the dielectric layer 302. In some embodiments of the invention, the dielectric perovskite layer 302 is crystalline and has a sufficiently high dielectric constant without the need for high temperature annealing. Thus, substrate 301 can be a germanium wafer, titanium metal, aluminum oxide, or other conventional high temperature substrate, but can also be a low temperature material such as plastic, glass, or other materials susceptible to high temperature annealing damage. This feature has the important advantage of reducing the cost and weight of the capacitor structure formed by the present invention. The low temperature deposition of perovskite allows continuous deposition of perovskite and electrode layers one after the other. Such an approach may have the advantage of not requiring a substrate layer to obtain a continuous layer of capacitor structures in a stacked state. The stacked bulk capacitor provides higher capacitance and higher energy storage than a single layer device with a smaller surface area. In addition, a capacitor with a lower inductance can be produced.

根據本發明,可使用上述脈衝直流偏壓PVD系統將鈣鈦礦物質膜沈積在基材302上。特別是,可改變AKT 1600 PVD以提供RF偏壓,而且可使用AE Pinnacle plus 10K脈衝直流電源對濺靶提供電力。該電源的脈衝頻率可自約0至約350KHz。該電源的電力輸出介於0與約10kW之間。In accordance with the present invention, a perovskite film can be deposited on substrate 302 using the pulsed DC bias PVD system described above. In particular, the AKT 1600 PVD can be changed to provide RF bias, and the AE Pinnacle plus 10K pulsed DC power supply can be used to power the splash target. The pulse frequency of the power supply can range from about 0 to about 350 KHz. The power output of the power supply is between 0 and about 10 kW.

電阻係數在小於約百萬歐姆範圍內的鈦酸鋇鍶濺靶可與高速率脈衝直流濺鍍作用合用。如上述,該濺靶可安裝在單塊支承板,如2005年8月26日申請案之美國臨時申請案(代理人案號09140.6013)所述,該案全文係以提及的方式併入本文中。Barium titanate sputtering targets having a resistivity in the range of less than about one million ohms can be used in conjunction with high rate pulsed DC sputtering. As described above, the splash target can be mounted on a single support plate, as described in the U.S. Provisional Application (Attorney Docket No. 09140.6013) filed on August 26, 2005, the entire disclosure of which is incorporated herein by reference. in.

通常,濺靶12可為電阻係數小於約百萬歐姆的介電材料,因此可描述於導電性陶瓷濺靶。由可能原本不具導電性之介電鈣鈦礦物質形成的濺靶12可藉由調配成含有過量金屬組成,或藉由添加提供充分導電性之摻雜劑使之具有導電性。適用之摻雜劑實例包括硼、銻、砷、磷或其他摻雜劑。在BST濺靶實例中,該燒結法可於存在還原環境下進行,以完成具有充分導電性濺靶材料。利用導電性陶瓷濺靶材料可使用反應性脈衝直流技術進行高速濺鍍,以形成緻密化學計量介電膜。Typically, the sputtering target 12 can be a dielectric material having a resistivity of less than about one million ohms and thus can be described in a conductive ceramic sputtering target. The splash target 12 formed of a dielectric perovskite material that may otherwise be non-conductive may be made conductive by being formulated to contain an excess of metal composition or by adding a dopant that provides sufficient conductivity. Examples of suitable dopants include boron, antimony, arsenic, phosphorus or other dopants. In the BST splash target example, the sintering process can be performed in the presence of a reducing environment to complete a fully conductive splash target material. The use of conductive ceramic sputtering target materials can be performed at high speed sputtering using reactive pulsed direct current techniques to form dense stoichiometric dielectric films.

可使用含氧與氬之氣流。某些具體實例當中,在總氣體流速介於約60至約80 sccm情況下,該氧對氬的比率自0至約50%。於沈積期間,該脈衝頻率自約200 kHz至約350 kHz。亦可對該基材施加RF偏壓。在許多試驗當中,視O2 /Ar比以及基材偏壓而定,沈積速率自約2埃/(kW秒)至約1埃/(kW秒)。A gas stream containing oxygen and argon can be used. In some embodiments, the ratio of oxygen to argon is from 0 to about 50% at a total gas flow rate of from about 60 to about 80 sccm. The pulse frequency is from about 200 kHz to about 350 kHz during deposition. An RF bias can also be applied to the substrate. In many tests, depending on the O 2 /Ar ratio and substrate bias, the deposition rate is from about 2 angstroms per second (kW seconds) to about 1 angstroms per second (kW seconds).

圖3A說明根據本發明某些具體實例沈積在薄基材301上之鈣鈦礦物質層302。基材301可由薄金屬片(例如銅、鈦、不鏽鋼或其他適用薄金屬片)形成,可由高溫塑膠形成,或由陶瓷、玻璃或聚合物材料形成。FIG. 3A illustrates a perovskite layer 302 deposited on a thin substrate 301 in accordance with certain embodiments of the present invention. Substrate 301 may be formed from a thin sheet of metal (e.g., copper, titanium, stainless steel, or other suitable sheet metal), may be formed from a high temperature plastic, or formed from a ceramic, glass, or polymeric material.

將材料沈積在薄基材上包括於沈積期間固定及定位該基材。圖4A、4B、4C與4D說明用於固定薄膜基材之可再使用固定物400。如圖4A所示,可再使用固定物400包括頂部部分401與底部部分402,其彼此緊扣在一起以固定該基材。薄基材301係定位在頂部部分401與底部部分402之間。如圖7B所示,頂部部分701與底部部分702使得基材301形成平面狀態,然後當頂部部分401封入底部部分402時則夾緊。以固定物400很容易固定基材301,因此可以處理與定位基材301。某些具體實例中,於頂部部分401封入底部部分402時,藉由避免角落「返轉」的夾鉗效果,使得基材301之角落(區域403)被移除,故基材301更容易拉伸。Depositing the material on a thin substrate includes fixing and positioning the substrate during deposition. 4A, 4B, 4C and 4D illustrate a reusable fixture 400 for securing a film substrate. As shown in FIG. 4A, the reusable fixture 400 includes a top portion 401 and a bottom portion 402 that are fastened together to secure the substrate. The thin substrate 301 is positioned between the top portion 401 and the bottom portion 402. As shown in FIG. 7B, the top portion 701 and the bottom portion 702 cause the substrate 301 to form a planar state, and then clamp when the top portion 401 is enclosed in the bottom portion 402. The substrate 301 is easily fixed by the fixture 400, so that the substrate 301 can be processed and positioned. In some specific examples, when the bottom portion 402 is enclosed in the top portion 401, the corners (regions 403) of the substrate 301 are removed by avoiding the clamping effect of the corners "returning", so that the substrate 301 is easier to pull. Stretch.

如圖4C所示,遮罩412係附接於固定物400。某些具體實例中,固定物400包括導件以便使固定物400與遮罩412對準。某些具體實例中,遮罩412可附接於固定物400,並隨著固定物400移動。遮罩412可放在固定物400之任何所需高於基材301之高度處。因此,遮罩412可作為接觸或緊鄰遮罩。某些具體實例中,遮罩412係由安裝於類似固定物400之固定物的其他薄基材所形成。As shown in FIG. 4C, the mask 412 is attached to the fixture 400. In some embodiments, the fixture 400 includes a guide to align the fixture 400 with the mask 412. In some embodiments, the mask 412 can be attached to the fixture 400 and move with the fixture 400. The mask 412 can be placed at any height of the fixture 400 that is higher than the substrate 301. Thus, the mask 412 can act as a contact or in close proximity to the mask. In some embodiments, the mask 412 is formed from other thin substrates that are mounted to a fixture similar to the fixture 400.

如圖4C與4D所示,固定物400與遮罩412可相對於座410定位。座410可為例如基座、座或處理室之靜電夾,諸如圖1A與1B所示。固定物400與遮罩412可具有容許容易彼此對準以及與座410對準之特點。中,遮罩412位於處理室中,並於將固定物400定位於座410期間與固定物400對準,如圖4D所示。As shown in Figures 4C and 4D, the fixture 400 and the mask 412 can be positioned relative to the seat 410. Seat 410 can be an electrostatic clip such as a pedestal, a seat or a processing chamber, such as shown in Figures 1A and 1B. The fixture 400 and the mask 412 can have features that allow for easy alignment with one another and alignment with the seat 410. The mask 412 is located in the processing chamber and is aligned with the fixture 400 during positioning of the fixture 400 to the seat 410, as shown in Figure 4D.

如圖4A、4B、4C與4D般使用固定物400得以在處理室中處理薄膜基材。某些具體實例中,薄膜基材可為約1μm或以上。此外,薄膜基材301固定在固定物400內之後,可進行處理並自處理室移動至處理室。因此,可使用多處理室系統形成層之堆疊體,包括一或多層根據本發明具體實例沈積之鈣鈦礦物質膜。The fixture 400 is used to process the film substrate in the processing chamber as in Figures 4A, 4B, 4C and 4D. In some embodiments, the film substrate can be about 1 [mu]m or more. Further, after the film substrate 301 is fixed in the fixture 400, it can be processed and moved from the processing chamber to the processing chamber. Thus, a multi-chamber system can be used to form a stack of layers comprising one or more layers of perovskite film deposited in accordance with embodiments of the present invention.

圖5說明用於處理薄膜基材之集結式機台500。集結式機台500可包括例如預載室502與預載室503,經由預載室載入薄膜基材301,並自集結式機台500移出形成之裝置。室504、505、506、507與508係供沈積材料、熱處理、蝕刻或其他處理用之處理室。室504、505、506、507與508其中之一或多者可為上文參考圖1A、1B與1C討論之脈衝直流或RF PVD室,而且可於該等室內根據本發明具體實例沈積介電鈣鈦礦物質膜。Figure 5 illustrates a build-up machine 500 for processing a film substrate. The concentrating machine 500 can include, for example, a preload chamber 502 and a preload chamber 503, loaded into the film substrate 301 via the preload chamber, and removed from the concentrating machine 500 to form the device. Chambers 504, 505, 506, 507, and 508 are processing chambers for deposition materials, heat treatment, etching, or other processing. One or more of chambers 504, 505, 506, 507, and 508 can be pulsed DC or RF PVD chambers discussed above with respect to Figures 1A, 1B, and 1C, and dielectrics can be deposited in such chambers in accordance with embodiments of the present invention. Perovskite film.

處理室504、505、506、507與508以及預載室502與503係藉由輸送室501結合。輸送室501包括基材輸送機械手臂,以在處理室504、505、506、507與508與預載室502與503之間來回輸送個別晶圓。The processing chambers 504, 505, 506, 507 and 508 and the preload chambers 502 and 503 are coupled by a transport chamber 501. The transfer chamber 501 includes a substrate transport robot to transport individual wafers back and forth between the process chambers 504, 505, 506, 507 and 508 and the preload chambers 502 and 503.

薄膜電容器的製造方法當中,基材係載入預載室503。可於室504沈積電極層,然後在室505進行鈣鈦礦物質之沈積作用。然後可經由預載室503移出該基材,以在該集結式機台500外部進行空氣中之熱處理。然後,經由預載室502將該經處理晶圓再載入集結式機台500內。然後可自集結式機台500再次移出該晶圓以沈積第二電極層,或者有時可改用室506沈積該第二電極層。可重複該方法以形成電容器堆疊體。然後自集結式機台500的預載室502中卸下已完成的電容器結構。在輸送室501中藉由機械手臂在室與室之間往返輸送晶圓。In the method of manufacturing a film capacitor, the substrate is loaded into the preload chamber 503. An electrode layer can be deposited at chamber 504 and then deposited at chamber 505 for perovskite. The substrate can then be removed via the preload chamber 503 for heat treatment in air outside of the assembly machine 500. The processed wafer is then reloaded into the assembly machine 500 via the preload chamber 502. The wafer can then be removed again from the manifold 500 to deposit a second electrode layer, or the second electrode layer can sometimes be deposited using a chamber 506. This method can be repeated to form a capacitor stack. The completed capacitor structure is then removed from the preload chamber 502 of the manifold 500. The wafer is transported back and forth between the chamber and the chamber by a robot arm in the transfer chamber 501.

根據本發明製造之電容器結構可使用載於諸如固定物400之固定物中的薄膜基材。然後將固定物400載入預載室503。室504仍然包括沈積該電極層。然後室505包括沈積本發明具體實例之鈣鈦礦物質層。然後,可在室506沈積第二電極層。此方法中,僅使用低溫退火作用以提高該鈣鈦礦物質層之結晶度及介電常數。A capacitor structure fabricated in accordance with the present invention may use a film substrate carried in a fixture such as fixture 400. The fixture 400 is then loaded into the preload chamber 503. Chamber 504 still includes depositing the electrode layer. Chamber 505 then includes a layer of perovskite depositing a specific embodiment of the invention. A second electrode layer can then be deposited at chamber 506. In this method, only low temperature annealing is used to increase the crystallinity and dielectric constant of the perovskite layer.

薄膜電容器法的其他優點係堆疊電容器結構的能力。換言之,載入集結式機台500的基材可穿越處理室504、505、506、507與508數次,以製造多重堆疊電容器結構。圖6A與6B說明此種結構。Other advantages of the film capacitor method are the ability to stack capacitor structures. In other words, the substrate loaded into the manifold 500 can pass through the processing chambers 504, 505, 506, 507, and 508 several times to create a multi-stack capacitor structure. Figures 6A and 6B illustrate such a structure.

圖6A說明平行結合之堆疊作用。如圖6A所示,將基材301(其可為例如高溫塑膠基材,諸如聚醯亞胺)載入預載室503。可於室504中沈積例如電極層303。介電性鈣鈦礦物質層302然後被沈積在電極層303上。鈣鈦礦物質層302可為約0.1至1μm,而且可於室505中根據本發明具體實例沈積之。然後可將該晶圓移至室506,於該處沈積厚度約0.1μm或以上之下一層電極層304。然後,可以在該第一電極層303、鈣鈦礦物質層302與第二鈣鈦礦物質層304所形成的第一電容器堆疊體上面沈積第二電容器堆疊體。此電容器堆疊體包括第一鈣鈦礦物質層305與第三電極層306。在某些具體實例當中,可形成其他堆疊體。某些具體實例當中,金屬層303、304與306的差別在於沈積時所使用的遮罩不同,如此形成供數層電耦合用之標籤。Figure 6A illustrates the stacking effect of parallel bonding. As shown in FIG. 6A, a substrate 301 (which may be, for example, a high temperature plastic substrate such as polyimide) is loaded into the preload chamber 503. For example, an electrode layer 303 can be deposited in the chamber 504. A dielectric perovskite layer 302 is then deposited on the electrode layer 303. The perovskite layer 302 can be from about 0.1 to 1 [mu]m and can be deposited in chamber 505 according to specific examples of the invention. The wafer can then be moved to chamber 506 where an electrode layer 304 having a thickness of about 0.1 [mu]m or more is deposited. Then, a second capacitor stack may be deposited on the first capacitor stack formed by the first electrode layer 303, the perovskite layer 302, and the second perovskite layer 304. This capacitor stack includes a first perovskite layer 305 and a third electrode layer 306. In some embodiments, other stacks can be formed. In some embodiments, the metal layers 303, 304, and 306 differ in the mask used for deposition, thus forming a label for electrical coupling of the layers.

如前文討論,可形成任何數量之個別電容器堆疊體,如此形成平行電容器。此種平行配置之電容器堆疊結構可由交錯電極層與鈣鈦礦物質介電層而形成,而且可具有任何數量之介電層。As discussed above, any number of individual capacitor stacks can be formed, thus forming a parallel capacitor. Such a parallel configuration of the capacitor stack structure may be formed by a staggered electrode layer and a perovskite dielectric layer, and may have any number of dielectric layers.

為了形成圖6所示之結構,再一次經由集結式機台500的處理室旋轉基材以沈積數組電容器。通常,可以此種方式沈積任何數量之電容器的堆疊體。To form the structure shown in Figure 6, the substrate is again rotated via the processing chamber of the manifold 500 to deposit an array capacitor. Typically, a stack of any number of capacitors can be deposited in this manner.

表I與II說明本發明之鈣鈦礦物質(諸如BST)沈積作用的某些實例。此等實例中,使用得自Applied Komatsu之AKT-1600 PVD(400 X 400mm基材大小)系統沈積該BST膜。該電源係具有ENI匹配器之ENI 13.56MHz RF電源。該濺靶材料係電阻係數在數kΩ或以下範圍內之BST。該濺靶材料可能例如經燒結。初始實驗使用矽晶圓。在具有各種底電極材料之Si晶圓上沈積0.1-1微米之BST膜,該等底電極材料係諸如:n++Si、Ir、Pt、IrO2 以及Ti4 O7 、Ti3 O5 、Nb、Os。該氧對氬之比率自0至50%。處理壓力自3-10mT。某些實例係對基材施加RF偏壓。剛沈積之膜的介電常數係自13至123,並於沈積後之退火作用之後提高到高於1000。Tables I and II illustrate some examples of the deposition of perovskite materials (such as BST) of the present invention. In these examples, the BST film was deposited using an AKT-1600 PVD (400 X 400 mm substrate size) system from Applied Komatsu. The power supply is an ENI 13.56MHz RF power supply with an ENI matcher. The sputtering target material is a BST having a resistivity in the range of several kΩ or less. The splash target material may, for example, be sintered. The initial experiment used a germanium wafer. A 0.1-1 micron BST film is deposited on a Si wafer having various bottom electrode materials such as: n++Si, Ir, Pt, IrO 2 and Ti 4 O 7 , Ti 3 O 5 , Nb, Os . The ratio of oxygen to argon is from 0 to 50%. The treatment pressure is from 3-10mT. Some examples apply an RF bias to a substrate. The dielectric constant of the as-deposited film is from 13 to 123 and is increased above 1000 after annealing after deposition.

熟悉本技術之人士將會認可本揭示詳細討論之實例的變化與修改。希望此等變化與修改在本揭示之範圍與精神內。如此,該範圍僅受到下列申請專利範圍限制。Variations and modifications of the examples discussed in detail in this disclosure will be recognized by those skilled in the art. It is intended that such changes and modifications be within the scope and spirit of the disclosure. As such, the scope is limited only by the scope of the following claims.

10...反應器設備10. . . Reactor equipment

12...濺靶12. . . Splash target

14...脈衝直流電源14. . . Pulsed DC power supply

15...濾波器15. . . filter

16...基材16. . . Substrate

17...托座/載片17. . . Holder/slide

18...RF電源18. . . RF power supply

20...磁鐵20. . . magnet

53...電漿53. . . Plasma

54...絕緣體54. . . Insulator

19...屏蔽19. . . shield

51...區域51. . . region

52...中央區域52. . . Central area

30...設備30. . . device

60...RF電源60. . . RF power supply

24...區域twenty four. . . region

301...基材301. . . Substrate

302...介電層302. . . Dielectric layer

303...第一電極層303. . . First electrode layer

304...第二電極層304. . . Second electrode layer

400...可再使用固定物400. . . Reusable fixture

401...頂部部分401. . . Top part

402...底部部分402. . . Bottom part

403...區域403. . . region

410...座410. . . seat

412...遮罩412. . . Mask

500...集結式機台500. . . Assembly machine

501...輸送室501. . . Conveying room

502...預載室502. . . Preload chamber

503...預載室503. . . Preload chamber

504...室504. . . room

505...室505. . . room

506...室506. . . room

507...室507. . . room

508...室508. . . room

701...頂部部分701. . . Top part

702...底部部分702. . . Bottom part

17...電極17. . . electrode

30...方塊30. . . Square

圖1A與1B說明可用於本發明沈積法之脈衝直流偏壓反應性沈積設備。1A and 1B illustrate a pulsed DC bias reactive deposition apparatus that can be used in the deposition method of the present invention.

圖1C說明RF濺鍍沈積設備。Figure 1C illustrates an RF sputter deposition apparatus.

圖2顯示可用於圖1A、1B與1C所示之反應器的濺靶實例。Figure 2 shows an example of a splash target that can be used in the reactors shown in Figures 1A, 1B and 1C.

圖3A與3B說明根據本發明某些具體實例之薄膜電容器設計。3A and 3B illustrate a film capacitor design in accordance with some embodiments of the present invention.

圖4A、4B、4C與4D說明可用於沈積介電鈣鈦礦物質層(例如,根據本發明某些具體實例沈積之BST膜)之薄基材安裝與上遮罩配置。4A, 4B, 4C, and 4D illustrate a thin substrate mount and top mask configuration that can be used to deposit a dielectric perovskite layer (e.g., a BST film deposited in accordance with certain embodiments of the present invention).

圖5說明集結式機台,其可使用根據本發明某些具體實例沈積之介電鈣鈦礦物質層而形成電池組。Figure 5 illustrates a build-up machine that can form a battery pack using a dielectric perovskite layer deposited in accordance with certain embodiments of the present invention.

圖6說明具有根據本發明某些具體實例沈積之介電鈣鈦礦物質層的堆疊電容器結構實例。Figure 6 illustrates an example of a stacked capacitor structure having a dielectric perovskite layer deposited in accordance with certain embodiments of the present invention.

301...基材301. . . Substrate

302...介電層302. . . Dielectric layer

Claims (26)

一種在基材上沈積結晶鈣鈦礦物質之方法,其包括:將該基材置入反應器;使氣態混合物流經該反應器;在反應器中透過窄帶拒濾波器對導電性陶瓷濺靶提供脈衝直流電力而使該導電性陶瓷濺靶上的電壓在正及負壓之間交替,其中該導電性陶瓷濺靶係由鈣鈦礦物質材料形成且位於該基材對面;對該基材施加對應該窄帶拒濾波器RF偏壓電力,其中,在未有高溫退火下,該結晶鈣鈦礦物質層形成於該基材上。 A method of depositing a crystalline perovskite on a substrate, comprising: placing the substrate into a reactor; flowing a gaseous mixture through the reactor; and passing a narrow band rejection filter to the conductive ceramic sputtering target in the reactor Providing pulsed DC power such that a voltage across the conductive ceramic splash target alternates between positive and negative pressures, wherein the conductive ceramic splash target is formed of a perovskite material and is opposite the substrate; A narrow band reject filter RF bias power is applied, wherein the crystalline perovskite layer is formed on the substrate without high temperature annealing. 如申請專利範圍第1項之方法,其另外包括對該脈衝直流電力進行濾波,以避免該脈衝直流電力通過該濾波器時接觸該脈衝直流電源產生偏壓電力。 The method of claim 1, further comprising filtering the pulsed DC power to prevent the pulsed DC power from contacting the pulsed DC power source to generate bias power when passing through the filter. 如申請專利範圍第1項之方法,其中對該導電濺靶提供電力包括對該導電陶瓷濺靶施加RF偏壓。 The method of claim 1, wherein providing power to the electrically conductive splash target comprises applying an RF bias to the electrically conductive ceramic splash target. 如申請專利範圍第1項之方法,其中該結晶鈣鈦礦物質層係鈦酸鋇鍶(BST)層。 The method of claim 1, wherein the crystalline perovskite layer is a barium titanate (BST) layer. 如申請專利範圍第1項之方法,其中該形成之結晶鈣鈦礦物質層大於約0.1微米厚。 The method of claim 1, wherein the formed crystalline perovskite layer is greater than about 0.1 microns thick. 如申請專利範圍第1項之方法,其中該形成之結晶 鈣鈦礦物質層小於約1微米厚。 Such as the method of claim 1, wherein the crystal of formation The perovskite layer is less than about 1 micron thick. 如申請專利範圍第1項之方法,其另外包括對該基材上形成之結晶鈣鈦礦物質層進行退火作用。 The method of claim 1, further comprising annealing the layer of crystalline perovskite formed on the substrate. 如申請專利範圍第7項之方法,其中該結晶鈣鈦礦物質層的退火作用包括將該結晶鈣鈦礦物質層加熱至介於約500℃與約800℃間之退火溫度。 The method of claim 7, wherein the annealing of the crystalline perovskite layer comprises heating the crystalline perovskite layer to an annealing temperature between about 500 ° C and about 800 ° C. 如申請專利範圍第1項之方法,其另外包括對該導電濺靶施加電力之前預熱該基材。 The method of claim 1, further comprising preheating the substrate prior to applying power to the electrically conductive splash target. 如申請專利範圍第9項之方法,其中預熱該基材包括將該基材加熱至約400℃之溫度以供低溫結晶沈積鈣鈦礦物質。 The method of claim 9, wherein preheating the substrate comprises heating the substrate to a temperature of about 400 ° C for cryogenic crystallization of the perovskite. 如申請專利範圍第1項之方法,其中該基材係低溫基材。 The method of claim 1, wherein the substrate is a low temperature substrate. 如申請專利範圍第11項之方法,其中該低溫基材係包括玻璃、塑膠、金屬箔、銅與不鏽鋼等基材其中之一。 The method of claim 11, wherein the low temperature substrate comprises one of a substrate of glass, plastic, metal foil, copper and stainless steel. 如申請專利範圍第1項之方法,其中該導電濺靶係摻雜過渡金屬摻雜劑、過渡金屬、鑭系元素及/或兩性元素之一或多者。 The method of claim 1, wherein the conductive sputtering target is doped with one or more of a transition metal dopant, a transition metal, a lanthanide, and/or an amphoteric element. 如申請專利範圍第13項之方法,其中該濺靶摻雜有錳。 The method of claim 13, wherein the sputtering target is doped with manganese. 如申請專利範圍第14項之方法,其中濺靶中之錳含量至少為0.1%。 The method of claim 14, wherein the content of manganese in the splash target is at least 0.1%. 如申請專利範圍第1項之方法,其中該導電陶瓷 濺靶係電阻小於百萬歐姆的鈣鈦礦物質濺靶。 The method of claim 1, wherein the conductive ceramic Splash target resistance is less than one million ohms of perovskite splash target. 一種電容器結構,其包括:第一導電電極層;結晶介電鈣鈦礦物質層,其沈積在該第一導電電極層上,其中該結晶介電鈣鈦礦物質層係在未有高溫退火下以脈衝直流反應性離子法併以基材偏壓藉由沈積結晶鈣鈦礦物質膜形成,其中導電陶瓷濺靶接受來自窄帶拒濾波器、基於與基材偏壓相關的頻率之交替負壓及正壓;以及第二導電電極層,其沈積在該結晶介電鈣鈦礦物質層上。 A capacitor structure comprising: a first conductive electrode layer; a crystalline dielectric perovskite layer deposited on the first conductive electrode layer, wherein the crystalline dielectric perovskite layer is not under high temperature annealing Formed by a pulsed DC reactive ion method and substrate biased by depositing a crystalline perovskite film, wherein the conductive ceramic sputtering target receives alternating negative pressure from a narrow band rejection filter based on a frequency associated with substrate bias and a positive pressure; and a second conductive electrode layer deposited on the crystalline dielectric perovskite layer. 如申請專利範圍第17項之電容器結構,其中該第一導電電極層係銅片。 The capacitor structure of claim 17, wherein the first conductive electrode layer is a copper sheet. 一種堆疊電容器結構,其包括:沈積在基材之一或多層電容器堆疊體,其中每層電容器堆疊體包括:底電極層;結晶介電鈣鈦礦物質層,其未在退火下沈積於該底電極層之上,其中該結晶介電鈣鈦礦物質層係以脈衝直流反應性離子法併以基材偏壓藉由沈積結晶鈣鈦礦物質膜形成,其中導電陶瓷濺靶接受來自窄帶拒濾波器、基於與基材偏壓相關的頻率之交替負壓及正壓;以及頂電極層,其沈積在該一或多層電容器堆疊體上。 A stacked capacitor structure comprising: one or a plurality of capacitor stacks deposited on a substrate, wherein each capacitor stack comprises: a bottom electrode layer; a crystalline dielectric perovskite layer deposited on the bottom without annealing Above the electrode layer, wherein the crystalline dielectric perovskite layer is formed by pulsed DC reactive ion method and substrate biasing by depositing a crystalline perovskite film, wherein the conductive ceramic splash target accepts from narrow band rejection filtering An alternating negative and positive pressure based on a frequency associated with substrate biasing; and a top electrode layer deposited on the one or more capacitor stacks. 如申請專利範圍第19項之堆疊電容器結構,其中該電容器堆疊體形成平行堆疊之電容器結構。 The stacked capacitor structure of claim 19, wherein the capacitor stack forms a parallel stacked capacitor structure. 如申請專利範圍第19項之堆疊電容器結構,其中該電容器堆疊體形成連續堆疊電容器結構。 The stacked capacitor structure of claim 19, wherein the capacitor stack forms a continuous stacked capacitor structure. 一種製造電容器之方法,其包括:將基材載入集結式機台;於該集結式機台的室中,未在退火下將結晶介電鈣鈦礦物質層沈積在基材上,其中該結晶介電鈣鈦礦物質層係以脈衝直流反應性離子法併以基材偏壓藉由沈積結晶鈣鈦礦物質膜形成,其中導電陶瓷濺靶接受來自窄帶拒濾波器、基於與基材偏壓相關的頻率之交替負壓及正壓。 A method of manufacturing a capacitor, comprising: loading a substrate into a collecting machine; and depositing a layer of crystalline dielectric perovskite on the substrate without annealing in a chamber of the collecting machine, wherein The crystalline dielectric perovskite layer is formed by a pulsed DC reactive ion method and a substrate bias by depositing a crystalline perovskite film, wherein the conductive ceramic splash target is subjected to a narrow band rejection filter based on the substrate bias The alternating negative and positive pressures of the voltage-related frequencies. 如申請專利範圍第22項之方法,其中該結晶介電鈣鈦礦物質層之沈積作用包括以RF濺鍍PVD法沈積結晶鈣鈦礦物質膜。 The method of claim 22, wherein the depositing of the crystalline dielectric perovskite layer comprises depositing a crystalline perovskite film by RF sputtering PVD. 如申請專利範圍第22項之方法,其中該結晶介電鈣鈦礦物質層之沈積作用包括經由遮罩沈積該結晶鈣鈦礦物質材料。 The method of claim 22, wherein the depositing of the crystalline dielectric perovskite layer comprises depositing the crystalline perovskite material via a mask. 如申請專利範圍第22項之方法,其另外包括:在該基材上沈積底電極層,其中該結晶介電鈣鈦礦物質層係沈積於該底電極層之上。 The method of claim 22, further comprising: depositing a bottom electrode layer on the substrate, wherein the crystalline dielectric perovskite layer is deposited over the bottom electrode layer. 如申請專利範圍第22項之方法,其另外包括在該結晶介電鈣鈦礦物質層上沈積頂電極層。The method of claim 22, further comprising depositing a top electrode layer on the crystalline dielectric perovskite layer.
TW095131196A 2005-09-02 2006-08-24 Deposition of perovskite and other compound ceramic films for dielectric applications TWI404814B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/218,652 US7838133B2 (en) 2005-09-02 2005-09-02 Deposition of perovskite and other compound ceramic films for dielectric applications

Publications (2)

Publication Number Publication Date
TW200724700A TW200724700A (en) 2007-07-01
TWI404814B true TWI404814B (en) 2013-08-11

Family

ID=37809389

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095131196A TWI404814B (en) 2005-09-02 2006-08-24 Deposition of perovskite and other compound ceramic films for dielectric applications

Country Status (5)

Country Link
US (1) US7838133B2 (en)
EP (1) EP1929491A4 (en)
CN (1) CN101511493B (en)
TW (1) TWI404814B (en)
WO (1) WO2007027535A2 (en)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7378356B2 (en) * 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US8535396B2 (en) 2002-08-09 2013-09-17 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US7883031B2 (en) * 2003-05-20 2011-02-08 James F. Collins, Jr. Ophthalmic drug delivery system
US8728285B2 (en) * 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) * 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US8636876B2 (en) 2004-12-08 2014-01-28 R. Ernest Demaray Deposition of LiCoO2
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
JP2009511742A (en) * 2005-10-13 2009-03-19 ナムローゼ・フェンノートシャップ・ベーカート・ソシエテ・アノニム Method for depositing a film by sputtering
KR20160027244A (en) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 Precursor compositions for atomic layer deposition and chemical vapor deposition of titanate, lanthanate, and tantalate dielectric films
US20080047826A1 (en) * 2006-08-23 2008-02-28 Atomic Energy Council-Institute Of Nuclear Energy Research Protective coating method of pervoskite structure for SOFC interconnection
US8062708B2 (en) 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US9334557B2 (en) 2007-12-21 2016-05-10 Sapurast Research Llc Method for sputter targets for electrolyte films
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
JP5705549B2 (en) 2008-01-11 2015-04-22 インフィニット パワー ソリューションズ, インコーポレイテッド Thin film encapsulation for thin film batteries and other devices
CN101983469B (en) 2008-04-02 2014-06-04 无穷动力解决方案股份有限公司 Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
EP2321832A1 (en) * 2008-07-08 2011-05-18 Technical University of Denmark Magnetocaloric refrigerators
EP2319101B1 (en) 2008-08-11 2015-11-04 Sapurast Research LLC Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
JP5650646B2 (en) 2008-09-12 2015-01-07 インフィニット パワー ソリューションズ, インコーポレイテッド Energy device with integral conductive surface for data communication via electromagnetic energy and method for data communication via electromagnetic energy
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US20120001143A1 (en) * 2009-03-27 2012-01-05 Dmitri Borisovich Strukov Switchable Junction with Intrinsic Diode
EP2474056B1 (en) 2009-09-01 2016-05-04 Sapurast Research LLC Printed circuit board with integrated thin film battery
US9312076B1 (en) * 2009-12-30 2016-04-12 University Of West Florida Very high energy-density ultracapacitor apparatus and method
US20110300432A1 (en) 2010-06-07 2011-12-08 Snyder Shawn W Rechargeable, High-Density Electrochemical Device
JP2014520404A (en) * 2011-06-20 2014-08-21 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド High dielectric constant perovskite materials and methods of making and using the same
US20230118610A1 (en) * 2011-06-29 2023-04-20 Space Charge, LLC Electrochemical energy storage devices
CN102423168A (en) * 2011-11-04 2012-04-25 昆山龙鹰金属制品有限公司 201 stainless steel insole production process
CN104937135B (en) * 2013-01-28 2018-01-19 应用材料公司 Substrate carrier configures the method with clamping substrate
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
WO2014189895A1 (en) * 2013-05-20 2014-11-27 Advantech Global, Ltd Small feature size fabrication using a shadow mask deposition process
US10355624B2 (en) * 2014-10-09 2019-07-16 Carnegie Mellon University Electrostatic clutch
US10818909B2 (en) 2016-05-09 2020-10-27 Demaray, Llc Energy storage device with a first metal layer formed from a precursor layer upon charge and diffused into a cathode during discharge
US10861992B2 (en) 2016-11-25 2020-12-08 The Boeing Company Perovskite solar cells for space
KR20180090116A (en) * 2017-02-02 2018-08-10 삼성전자주식회사 Light filter and Spectrometer comprising the same
JPWO2018168241A1 (en) * 2017-03-16 2019-08-08 株式会社村田製作所 Lithium ion secondary battery
RU2671614C1 (en) * 2017-06-19 2018-11-02 Федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина) METHOD FOR OBTAINING FERROELECTRIC FILMS Ba1-XSrXTiO3
EP3642168A1 (en) * 2017-06-23 2020-04-29 Sinmat, Inc. Film for applying compressive stress to ceramic materials
US10509058B2 (en) 2018-01-12 2019-12-17 Allegro Microsystems, Llc Current sensor using modulation of or change of sensitivity of magnetoresistance elements
US10578684B2 (en) * 2018-01-12 2020-03-03 Allegro Microsystems, Llc Magnetic field sensor having magnetoresistance elements with opposite bias directions
WO2019173643A1 (en) * 2018-03-09 2019-09-12 Hrl Laboratories, Llc Electrically reconfigurable optical apparatus using electric field
US10734443B2 (en) 2018-08-27 2020-08-04 Allegro Microsystems, Llc Dual manetoresistance element with two directions of response to external magnetic fields
US10746820B2 (en) 2018-10-11 2020-08-18 Allegro Microsystems, Llc Magnetic field sensor that corrects for the effect of a stray magnetic field using one or more magnetoresistance elements, each having a reference layer with the same magnetic direction
US10670669B2 (en) 2018-10-11 2020-06-02 Allegro Microsystems, Llc Magnetic field sensor for measuring an amplitude and a direction of a magnetic field using one or more magnetoresistance elements having reference layers with the same magnetic direction
US10866287B1 (en) 2019-07-10 2020-12-15 Allegro Microsystems, Llc Magnetic field sensor with magnetoresistance elements arranged in a bridge and having a common reference direction and opposite bias directions
EP4154040A1 (en) 2020-05-20 2023-03-29 Hrl Laboratories, Llc Solid state electrically variable-focal length lens
EP4153797A1 (en) 2020-05-20 2023-03-29 Hrl Laboratories, Llc Method of growing crystalline optical films on si substrates which may optionally have an extremely small optical loss in the infra-red spectrum with hydrogenation of the crystalline optical films
US11719771B1 (en) 2022-06-02 2023-08-08 Allegro Microsystems, Llc Magnetoresistive sensor having seed layer hysteresis suppression

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5141603A (en) * 1988-03-28 1992-08-25 The United States Of America As Represented By The Secretary Of The Air Force Capacitor method for improved oxide dielectric
US6113749A (en) * 1995-04-13 2000-09-05 Odme International B.V. Sputtering method in multi-chambered device
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US20030173207A1 (en) * 2002-03-16 2003-09-18 Symmorphix, Inc. Biased pulse DC reactive sputtering of oxide films
US20030234835A1 (en) * 2002-06-20 2003-12-25 Hideo Torii Piezoelectric element, ink jet head, angular velocity sensor, method for manufacturing the same, and ink jet recording apparatus

Family Cites Families (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3309302A (en) * 1963-10-07 1967-03-14 Varian Associates Method of preparing an electron tube including sputtering a suboxide of titanium on dielectric components thereof
US3616403A (en) 1968-10-25 1971-10-26 Ibm Prevention of inversion of p-type semiconductor material during rf sputtering of quartz
GB1365492A (en) * 1971-02-05 1974-09-04 Triplex Safety Glass Co Metal oxide films
US3850604A (en) 1972-12-11 1974-11-26 Gte Laboratories Inc Preparation of chalcogenide glass sputtering targets
US4111523A (en) 1973-07-23 1978-09-05 Bell Telephone Laboratories, Incorporated Thin film optical waveguide
US4099091A (en) 1976-07-28 1978-07-04 Matsushita Electric Industrial Co., Ltd. Electroluminescent panel including an electrically conductive layer between two electroluminescent layers
US4082569A (en) * 1977-02-22 1978-04-04 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Solar cell collector
US4437966A (en) * 1982-09-30 1984-03-20 Gte Products Corporation Sputtering cathode apparatus
DE3345659A1 (en) * 1983-06-16 1984-12-20 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V., 3400 Göttingen ZIRCONIUM DIOXIDE CERAMIC BODY (ZRO (DOWN ARROW) 2 (DOWN ARROW)) AND METHOD FOR PRODUCING THE SAME
AU573631B2 (en) * 1983-10-17 1988-06-16 Tosoh Corporation High strength zirconia type sintered body
DE3417732A1 (en) 1984-05-12 1986-07-10 Leybold-Heraeus GmbH, 5000 Köln METHOD FOR APPLYING SILICON-CONTAINING LAYERS TO SUBSTRATES BY CATODIZING AND SPRAYING CATODE FOR CARRYING OUT THE METHOD
GB8414878D0 (en) 1984-06-11 1984-07-18 Gen Electric Co Plc Integrated optical waveguides
US5173271A (en) 1985-12-04 1992-12-22 Massachusetts Institute Of Technology Enhanced radiative zone-melting recrystallization method and apparatus
US5296089A (en) * 1985-12-04 1994-03-22 Massachusetts Institute Of Technology Enhanced radiative zone-melting recrystallization method and apparatus
US4915810A (en) * 1988-04-25 1990-04-10 Unisys Corporation Target source for ion beam sputter deposition
JP2501118B2 (en) 1988-06-17 1996-05-29 忠弘 大見 Method for manufacturing semiconductor device
US5792550A (en) 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
JP2758948B2 (en) 1989-12-15 1998-05-28 キヤノン株式会社 Thin film formation method
DE4022090A1 (en) 1989-12-18 1991-06-20 Forschungszentrum Juelich Gmbh ELECTRO-OPTICAL COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US5252194A (en) 1990-01-26 1993-10-12 Varian Associates, Inc. Rotating sputtering apparatus for selected erosion
US5085904A (en) * 1990-04-20 1992-02-04 E. I. Du Pont De Nemours And Company Barrier materials useful for packaging
US5306569A (en) * 1990-06-15 1994-04-26 Hitachi Metals, Ltd. Titanium-tungsten target material and manufacturing method thereof
JP2755471B2 (en) * 1990-06-29 1998-05-20 日立電線株式会社 Rare earth element doped optical waveguide and method of manufacturing the same
US5645626A (en) 1990-08-10 1997-07-08 Bend Research, Inc. Composite hydrogen separation element and module
US5225288A (en) 1990-08-10 1993-07-06 E. I. Du Pont De Nemours And Company Solvent blockers and multilayer barrier coatings for thin films
US5110696A (en) * 1990-11-09 1992-05-05 Bell Communications Research Rechargeable lithiated thin film intercalation electrode battery
NL9002844A (en) * 1990-12-21 1992-07-16 Philips Nv SYSTEM INCLUDING A DEVICE AND A CASSETTE, AND A DEVICE AND A CASSETTE SUITABLE FOR USE IN SUCH A SYSTEM.
US5119460A (en) * 1991-04-25 1992-06-02 At&T Bell Laboratories Erbium-doped planar optical device
US5200029A (en) * 1991-04-25 1993-04-06 At&T Bell Laboratories Method of making a planar optical amplifier
US5107538A (en) * 1991-06-06 1992-04-21 At&T Bell Laboratories Optical waveguide system comprising a rare-earth Si-based optical device
US5196041A (en) * 1991-09-17 1993-03-23 The Charles Stark Draper Laboratory, Inc. Method of forming an optical channel waveguide by gettering
US5355089A (en) 1992-07-22 1994-10-11 Duracell Inc. Moisture barrier for battery with electrochemical tester
JP2755844B2 (en) 1991-09-30 1998-05-25 シャープ株式会社 Plastic substrate liquid crystal display
SG44695A1 (en) * 1991-12-11 1997-12-19 Mobil Oil Corp High barrier film
JPH06145975A (en) * 1992-03-20 1994-05-27 Komag Inc Method of spattering carbon film and its product
US5287427A (en) * 1992-05-05 1994-02-15 At&T Bell Laboratories Method of making an article comprising an optical component, and article comprising the component
US5338625A (en) * 1992-07-29 1994-08-16 Martin Marietta Energy Systems, Inc. Thin film battery and method for making same
JP3214910B2 (en) * 1992-08-18 2001-10-02 富士通株式会社 Manufacturing method of planar waveguide optical amplifier
US5538796A (en) 1992-10-13 1996-07-23 General Electric Company Thermal barrier coating system having no bond coat
JP3231900B2 (en) 1992-10-28 2001-11-26 株式会社アルバック Film forming equipment
US5942089A (en) 1996-04-22 1999-08-24 Northwestern University Method for sputtering compounds on a substrate
US5789071A (en) 1992-11-09 1998-08-04 Northwestern University Multilayer oxide coatings
JPH06158308A (en) 1992-11-24 1994-06-07 Hitachi Metals Ltd Target for sputtering for indium-tin oxide film and its production
US6022458A (en) * 1992-12-07 2000-02-08 Canon Kabushiki Kaisha Method of production of a semiconductor substrate
AU669754B2 (en) 1992-12-18 1996-06-20 Becton Dickinson & Company Barrier coating
US5303319A (en) * 1992-12-28 1994-04-12 Honeywell Inc. Ion-beam deposited multilayer waveguides and resonators
US5427669A (en) * 1992-12-30 1995-06-27 Advanced Energy Industries, Inc. Thin film DC plasma processing system
US5718813A (en) * 1992-12-30 1998-02-17 Advanced Energy Industries, Inc. Enhanced reactive DC sputtering system
JPH06279185A (en) * 1993-03-25 1994-10-04 Canon Inc Forming method of diamond crystal and diamond crystal film
US5613995A (en) * 1993-04-23 1997-03-25 Lucent Technologies Inc. Method for making planar optical waveguides
SG46607A1 (en) 1993-07-28 1998-02-20 Asahi Glass Co Ltd Method of an apparatus for sputtering
US5499207A (en) * 1993-08-06 1996-03-12 Hitachi, Ltd. Semiconductor memory device having improved isolation between electrodes, and process for fabricating the same
JP2642849B2 (en) * 1993-08-24 1997-08-20 株式会社フロンテック Thin film manufacturing method and manufacturing apparatus
US5478456A (en) 1993-10-01 1995-12-26 Minnesota Mining And Manufacturing Company Sputtering target
EP0652308B1 (en) 1993-10-14 2002-03-27 Neuralsystems Corporation Method of and apparatus for forming single-crystalline thin film
US5738731A (en) * 1993-11-19 1998-04-14 Mega Chips Corporation Photovoltaic device
US5487822A (en) 1993-11-24 1996-01-30 Applied Materials, Inc. Integrated sputtering target assembly
US5433835B1 (en) * 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
US5654984A (en) 1993-12-03 1997-08-05 Silicon Systems, Inc. Signal modulation across capacitors
US5569520A (en) * 1994-01-12 1996-10-29 Martin Marietta Energy Systems, Inc. Rechargeable lithium battery for use in applications requiring a low to high power output
US5561004A (en) 1994-02-25 1996-10-01 Bates; John B. Packaging material for thin film lithium batteries
US5475528A (en) 1994-03-25 1995-12-12 Corning Incorporated Optical signal amplifier glasses
JP3947575B2 (en) 1994-06-10 2007-07-25 Hoya株式会社 Conductive oxide and electrode using the same
US5472795A (en) 1994-06-27 1995-12-05 Board Of Regents Of The University Of The University Of Wisconsin System, On Behalf Of The University Of Wisconsin-Milwaukee Multilayer nanolaminates containing polycrystalline zirconia
US5457569A (en) 1994-06-30 1995-10-10 At&T Ipm Corp. Semiconductor amplifier or laser having integrated lens
WO1996000996A1 (en) 1994-06-30 1996-01-11 The Whitaker Corporation Planar hybrid optical amplifier
JP3407409B2 (en) * 1994-07-27 2003-05-19 富士通株式会社 Manufacturing method of high dielectric constant thin film
US5483613A (en) * 1994-08-16 1996-01-09 At&T Corp. Optical device with substrate and waveguide structure having thermal matching interfaces
US5909346A (en) 1994-08-26 1999-06-01 Aiwa Research & Development, Inc. Thin magnetic film including multiple geometry gap structures on a common substrate
CN1075243C (en) * 1994-12-28 2001-11-21 松下电器产业株式会社 Capacity element of integrated circuit and manufacturing method thereof
US6204111B1 (en) * 1994-12-28 2001-03-20 Matsushita Electronics Corporation Fabrication method of capacitor for integrated circuit
US5555342A (en) * 1995-01-17 1996-09-10 Lucent Technologies Inc. Planar waveguide and a process for its fabrication
US5607789A (en) * 1995-01-23 1997-03-04 Duracell Inc. Light transparent multilayer moisture barrier for electrochemical cell tester and cell employing same
AU1834795A (en) 1995-01-25 1996-08-14 Applied Kotmatsu Technology, Inc. Autoclave bonding of sputtering target assembly
US6444750B1 (en) 1995-03-06 2002-09-03 Exxonmobil Oil Corp. PVOH-based coating solutions
AUPN258095A0 (en) 1995-04-21 1995-05-18 Unisearch Limited Low temperature fabrication of silica-based pecvd channel waveguides
WO1996034124A1 (en) 1995-04-25 1996-10-31 The Boc Group, Inc. Sputtering system using cylindrical rotating magnetron electrically powered using alternating current
US5771562A (en) 1995-05-02 1998-06-30 Motorola, Inc. Passivation of organic devices
EP0834594B1 (en) 1995-05-18 2004-11-10 Asahi Glass Company Ltd. Process for producing sputtering target
KR100342189B1 (en) 1995-07-12 2002-11-30 삼성전자 주식회사 Method for producing rare earth elements-added optical fiber by using volatile composite
EP0761838B1 (en) * 1995-08-18 2001-08-08 W.C. Heraeus GmbH & Co. KG Sputtering target and method for its manufacturing
US5563979A (en) 1995-08-31 1996-10-08 Lucent Technologies Inc. Erbium-doped planar optical device
US5689522A (en) 1995-10-02 1997-11-18 The Regents Of The University Of California High efficiency 2 micrometer laser utilizing wing-pumped Tm3+ and a laser diode array end-pumping architecture
US5719976A (en) * 1995-10-24 1998-02-17 Lucent Technologies, Inc. Optimized waveguide structure
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
JP3298799B2 (en) 1995-11-22 2002-07-08 ルーセント テクノロジーズ インコーポレイテッド Cladding pump fiber and its manufacturing method
US5811177A (en) 1995-11-30 1998-09-22 Motorola, Inc. Passivation of electroluminescent organic devices
US5686360A (en) 1995-11-30 1997-11-11 Motorola Passivation of organic devices
US5955161A (en) 1996-01-30 1999-09-21 Becton Dickinson And Company Blood collection tube assembly
WO1997035044A1 (en) 1996-03-22 1997-09-25 Materials Research Corporation Method and apparatus for rf diode sputtering
US5930584A (en) 1996-04-10 1999-07-27 United Microelectronics Corp. Process for fabricating low leakage current electrode for LPCVD titanium oxide films
JPH1010675A (en) 1996-04-22 1998-01-16 Fuji Photo Film Co Ltd Recording material
JP3346167B2 (en) * 1996-05-27 2002-11-18 三菱マテリアル株式会社 High-strength dielectric sputtering target, method for producing the same, and film
JP3862760B2 (en) * 1996-06-12 2006-12-27 トレスパファン、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツング Transparent barrier coating showing low film interference
US5731661A (en) * 1996-07-15 1998-03-24 Motorola, Inc. Passivation of electroluminescent organic devices
US5855744A (en) 1996-07-19 1999-01-05 Applied Komatsu Technology, Inc. Non-planar magnet tracking during magnetron sputtering
US5693956A (en) 1996-07-29 1997-12-02 Motorola Inverted oleds on hard plastic substrate
JPH1056145A (en) * 1996-08-07 1998-02-24 Hitachi Ltd Method for manufacturing semiconductor integrated circuit device
JP3631341B2 (en) * 1996-10-18 2005-03-23 Tdk株式会社 Multilayer composite functional element and method for manufacturing the same
US5841931A (en) 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
US6144795A (en) * 1996-12-13 2000-11-07 Corning Incorporated Hybrid organic-inorganic planar optical waveguide device
JPH10195649A (en) * 1996-12-27 1998-07-28 Sony Corp Magnetron sputter device and manufacture of semiconductor device
JPH10229201A (en) 1997-02-14 1998-08-25 Sony Corp Manufacture of thin-film semiconductor device
US5847865A (en) 1997-02-18 1998-12-08 Regents Of The University Of Minnesota Waveguide optical amplifier
JP3098204B2 (en) 1997-03-07 2000-10-16 ティーディーケイ株式会社 Alloy target for magneto-optical recording, its manufacturing method and its reproducing method
US5952778A (en) 1997-03-18 1999-09-14 International Business Machines Corporation Encapsulated organic light emitting device
JPH10265948A (en) * 1997-03-25 1998-10-06 Rohm Co Ltd Substrate for semiconductor device and manufacture of the same
DE69704074T2 (en) 1997-03-27 2001-06-21 Tno Erbium-doped planar waveguide
US6117345A (en) 1997-04-02 2000-09-12 United Microelectronics Corp. High density plasma chemical vapor deposition process
US6106933A (en) 1997-04-03 2000-08-22 Toray Industries, Inc. Transparent gas barrier biaxially oriented polypropylene film, a laminate film, and a production method thereof
US6242132B1 (en) 1997-04-16 2001-06-05 Ut-Battelle, Llc Silicon-tin oxynitride glassy composition and use as anode for lithium-ion battery
US5948215A (en) 1997-04-21 1999-09-07 Tokyo Electron Limited Method and apparatus for ionized sputtering
JP3290375B2 (en) * 1997-05-12 2002-06-10 松下電器産業株式会社 Organic electroluminescent device
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US5977582A (en) 1997-05-23 1999-11-02 Lucent Technologies Inc. Capacitor comprising improved TaOx -based dielectric
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5831262A (en) 1997-06-27 1998-11-03 Lucent Technologies Inc. Article comprising an optical fiber attached to a micromechanical device
JP3813740B2 (en) * 1997-07-11 2006-08-23 Tdk株式会社 Substrates for electronic devices
US6041734A (en) 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6052397A (en) * 1997-12-05 2000-04-18 Sdl, Inc. Laser diode device having a substantially circular light output beam and a method of forming a tapered section in a semiconductor device to provide for a reproducible mode profile of the output beam
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6344413B1 (en) * 1997-12-22 2002-02-05 Motorola Inc. Method for forming a semiconductor device
KR100474746B1 (en) * 1998-02-12 2005-03-08 에이씨엠 리서치, 인코포레이티드 Plating apparatus and method
US6004660A (en) 1998-03-12 1999-12-21 E.I. Du Pont De Nemours And Company Oxygen barrier composite film structure
US6563998B1 (en) * 1999-04-15 2003-05-13 John Farah Polished polymide substrate
JP3126698B2 (en) 1998-06-02 2001-01-22 富士通株式会社 Sputter film forming method, sputter film forming apparatus, and semiconductor device manufacturing method
US6093944A (en) 1998-06-04 2000-07-25 Lucent Technologies Inc. Dielectric materials of amorphous compositions of TI-O2 doped with rare earth elements and devices employing same
KR100287176B1 (en) 1998-06-25 2001-04-16 윤종용 Method for forming a capacitor using high temperature oxidation
US6058233A (en) * 1998-06-30 2000-05-02 Lucent Technologies Inc. Waveguide array with improved efficiency for wavelength routers and star couplers in integrated optics
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
US6146225A (en) 1998-07-30 2000-11-14 Agilent Technologies, Inc. Transparent, flexible permeability barrier for organic electroluminescent devices
US6362916B2 (en) 1998-09-25 2002-03-26 Fiver Laboratories All fiber gain flattening optical filter
KR100283954B1 (en) 1998-10-13 2001-03-02 윤종용 Optical fiber for optical amplifier
US6605228B1 (en) 1998-10-19 2003-08-12 Nhk Spring Co., Ltd. Method for fabricating planar optical waveguide devices
KR100282487B1 (en) 1998-10-19 2001-02-15 윤종용 Cell Capacitor Using High-Dielectric Multilayer Film and Its Manufacturing Method
US6157765A (en) 1998-11-03 2000-12-05 Lucent Technologies Planar waveguide optical amplifier
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
WO2000033409A1 (en) * 1998-12-03 2000-06-08 Sumitomo Electric Industries, Ltd. Lithium storage battery
EP1145338B1 (en) 1998-12-16 2012-12-05 Samsung Display Co., Ltd. Environmental barrier material for organic light emitting device and method of making
US6379835B1 (en) * 1999-01-12 2002-04-30 Morgan Adhesives Company Method of making a thin film battery
US6290822B1 (en) 1999-01-26 2001-09-18 Agere Systems Guardian Corp. Sputtering method for forming dielectric films
US6302939B1 (en) 1999-02-01 2001-10-16 Magnequench International, Inc. Rare earth permanent magnet and method for making same
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6210544B1 (en) * 1999-03-08 2001-04-03 Alps Electric Co., Ltd. Magnetic film forming method
US6280875B1 (en) 1999-03-24 2001-08-28 Teledyne Technologies Incorporated Rechargeable battery structure with metal substrate
US6242129B1 (en) 1999-04-02 2001-06-05 Excellatron Solid State, Llc Thin lithium film battery
US6168884B1 (en) * 1999-04-02 2001-01-02 Lockheed Martin Energy Research Corporation Battery with an in-situ activation plated lithium anode
US6416598B1 (en) 1999-04-20 2002-07-09 Reynolds Metals Company Free machining aluminum alloy with high melting point machining constituent and method of use
US6281142B1 (en) 1999-06-04 2001-08-28 Micron Technology, Inc. Dielectric cure for reducing oxygen vacancies
US6046081A (en) * 1999-06-10 2000-04-04 United Microelectronics Corp. Method for forming dielectric layer of capacitor
JP2001021744A (en) 1999-07-07 2001-01-26 Shin Etsu Chem Co Ltd Manufacture of optical waveguide substrate
JP2001020065A (en) * 1999-07-07 2001-01-23 Hitachi Metals Ltd Target for sputtering, its production and high melting point metal powder material
JP4230642B2 (en) * 1999-07-08 2009-02-25 株式会社荏原製作所 Substrate transport jig and substrate transport device
JP2001025666A (en) 1999-07-14 2001-01-30 Nippon Sheet Glass Co Ltd Laminate and its production
US6290821B1 (en) 1999-07-15 2001-09-18 Seagate Technology Llc Sputter deposition utilizing pulsed cathode and substrate bias power
US6537428B1 (en) * 1999-09-02 2003-03-25 Veeco Instruments, Inc. Stable high rate reactive sputtering
JP4240679B2 (en) 1999-09-21 2009-03-18 ソニー株式会社 Method for producing sputtering target
DE19948839A1 (en) 1999-10-11 2001-04-12 Bps Alzenau Gmbh Conductive transparent layers and processes for their manufacture
US6413645B1 (en) 2000-04-20 2002-07-02 Battelle Memorial Institute Ultrabarrier substrates
US6210545B1 (en) * 1999-11-23 2001-04-03 International Business Machines Corporation Method for forming a perovskite thin film using a sputtering method with a fully oxidized perovskite target
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6534809B2 (en) 1999-12-22 2003-03-18 Agilent Technologies, Inc. Hardmask designs for dry etching FeRAM capacitor stacks
US6576546B2 (en) 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6451177B1 (en) 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6627056B2 (en) 2000-02-16 2003-09-30 Applied Materials, Inc. Method and apparatus for ionized plasma deposition
TW523615B (en) 2000-02-17 2003-03-11 L3 Optics Inc Guided wave optical switch based on an active semiconductor amplifier and a passive optical component
TW584905B (en) * 2000-02-25 2004-04-21 Tokyo Electron Ltd Method and apparatus for depositing films
US6410471B2 (en) 2000-03-07 2002-06-25 Shin-Etsu Chemical Co., Ltd. Method for preparation of sintered body of rare earth oxide
EP1328982B1 (en) * 2000-03-24 2005-07-20 Cymbet Corporation Device enclosures and devices with integrated battery
GB2361244B (en) 2000-04-14 2004-02-11 Trikon Holdings Ltd A method of depositing dielectric
US6365319B1 (en) * 2000-04-20 2002-04-02 Eastman Kodak Company Self-contained imaging media comprising opaque laminated support
KR100341407B1 (en) * 2000-05-01 2002-06-22 윤덕용 A Crystall ization method of lithium transition metal oxide thin films by plasma treatm ent
US6423776B1 (en) 2000-05-02 2002-07-23 Honeywell International Inc. Oxygen scavenging high barrier polyamide compositions for packaging applications
US6261917B1 (en) 2000-05-09 2001-07-17 Chartered Semiconductor Manufacturing Ltd. High-K MOM capacitor
DE10023459A1 (en) * 2000-05-12 2001-11-15 Balzers Process Systems Gmbh Depositing transparent conducting indium-tin oxide layers on substrate used in the production of transparent conducting electrodes in organic LED displays comprises using combined HF/DC sputtering of indium-tin oxide target
EP1160900A3 (en) * 2000-05-26 2007-12-12 Kabushiki Kaisha Riken Embossed current collector separator for electrochemical fuel cell
US6506289B2 (en) 2000-08-07 2003-01-14 Symmorphix, Inc. Planar optical devices and methods for their manufacture
US6632563B1 (en) 2000-09-07 2003-10-14 Front Edge Technology, Inc. Thin film battery and method of manufacture
US6628876B1 (en) 2000-09-15 2003-09-30 Triquint Technology Holding Co. Method for making a planar waveguide
TW448318B (en) 2000-09-18 2001-08-01 Nat Science Council Erbium, Yttrium co-doped Titanium oxide thin film material for planar optical waveguide amplifier
DE10165080B4 (en) * 2000-09-20 2015-05-13 Hitachi Metals, Ltd. Silicon nitride powder and sintered body and method of making the same and printed circuit board therewith
US6372098B1 (en) * 2000-09-28 2002-04-16 The Boc Group, Inc. High target utilization magnet array and associated methods
US6660660B2 (en) * 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6488822B1 (en) 2000-10-20 2002-12-03 Veecoleve, Inc. Segmented-target ionized physical-vapor deposition apparatus and method of operation
US6413382B1 (en) 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US20020106297A1 (en) 2000-12-01 2002-08-08 Hitachi Metals, Ltd. Co-base target and method of producing the same
US6533907B2 (en) * 2001-01-19 2003-03-18 Symmorphix, Inc. Method of producing amorphous silicon for hard mask and waveguide applications
US6673716B1 (en) * 2001-01-30 2004-01-06 Novellus Systems, Inc. Control of the deposition temperature to reduce the via and contact resistance of Ti and TiN deposited using ionized PVD techniques
US6558836B1 (en) 2001-02-08 2003-05-06 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Structure of thin-film lithium microbatteries
JP2002258037A (en) 2001-03-05 2002-09-11 Alps Electric Co Ltd Optical filter having multilayered film and method of manufacturing the same
US7164206B2 (en) 2001-03-28 2007-01-16 Intel Corporation Structure in a microelectronic device including a bi-layer for a diffusion barrier and an etch-stop layer
US6797137B2 (en) 2001-04-11 2004-09-28 Heraeus, Inc. Mechanically alloyed precious metal magnetic sputtering targets fabricated using rapidly solidfied alloy powders and elemental Pt metal
US6743488B2 (en) * 2001-05-09 2004-06-01 Cpfilms Inc. Transparent conductive stratiform coating of indium tin oxide
US7469558B2 (en) * 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP4108602B2 (en) 2001-08-28 2008-06-25 Tdk株式会社 Composition for thin film capacitor, high dielectric constant insulating film, thin film capacitor, and thin film multilayer capacitor
US7115516B2 (en) * 2001-10-09 2006-10-03 Applied Materials, Inc. Method of depositing a material layer
US6666982B2 (en) * 2001-10-22 2003-12-23 Tokyo Electron Limited Protection of dielectric window in inductively coupled plasma generation
US6750156B2 (en) * 2001-10-24 2004-06-15 Applied Materials, Inc. Method and apparatus for forming an anti-reflective coating on a substrate
US7404877B2 (en) 2001-11-09 2008-07-29 Springworks, Llc Low temperature zirconia based thermal barrier layer by PVD
US20030097858A1 (en) * 2001-11-26 2003-05-29 Christof Strohhofer Silver sensitized erbium ion doped planar waveguide amplifier
US20030143853A1 (en) 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US20030174391A1 (en) 2002-03-16 2003-09-18 Tao Pan Gain flattened optical amplifier
US6884327B2 (en) 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US6818356B1 (en) 2002-07-09 2004-11-16 Oak Ridge Micro-Energy, Inc. Thin film battery and electrolyte therefor
TWI274199B (en) 2002-08-27 2007-02-21 Symmorphix Inc Optically coupling into highly uniform waveguides
CN1756856B (en) * 2003-02-27 2011-10-12 希莫菲克斯公司 Dielectric barrier layer films
US8728285B2 (en) * 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
JP4351213B2 (en) * 2003-09-03 2009-10-28 日鉱金属株式会社 Sputtering target and manufacturing method thereof
US8636876B2 (en) 2004-12-08 2014-01-28 R. Ernest Demaray Deposition of LiCoO2
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5141603A (en) * 1988-03-28 1992-08-25 The United States Of America As Represented By The Secretary Of The Air Force Capacitor method for improved oxide dielectric
US6113749A (en) * 1995-04-13 2000-09-05 Odme International B.V. Sputtering method in multi-chambered device
US20030175142A1 (en) * 2002-03-16 2003-09-18 Vassiliki Milonopoulou Rare-earth pre-alloyed PVD targets for dielectric planar applications
US20030173207A1 (en) * 2002-03-16 2003-09-18 Symmorphix, Inc. Biased pulse DC reactive sputtering of oxide films
US20030234835A1 (en) * 2002-06-20 2003-12-25 Hideo Torii Piezoelectric element, ink jet head, angular velocity sensor, method for manufacturing the same, and ink jet recording apparatus

Also Published As

Publication number Publication date
CN101511493A (en) 2009-08-19
US7838133B2 (en) 2010-11-23
WO2007027535A2 (en) 2007-03-08
US20070053139A1 (en) 2007-03-08
EP1929491A2 (en) 2008-06-11
CN101511493B (en) 2012-05-30
EP1929491A4 (en) 2012-02-08
WO2007027535A3 (en) 2009-04-16
TW200724700A (en) 2007-07-01

Similar Documents

Publication Publication Date Title
TWI404814B (en) Deposition of perovskite and other compound ceramic films for dielectric applications
US7959769B2 (en) Deposition of LiCoO2
US20180331349A1 (en) DEPOSITION OF LiCoO2
JP5129530B2 (en) LiCoO2 deposition
US11139170B2 (en) Apparatus and method for bonding substrates
CN104024467B (en) SrRuO3the deposition process of film
CN101073171B (en) Deposition of licoo2
US10026551B2 (en) Magnetic capacitor structures
CN106601903A (en) C axis height-oriented barium titanate film and in-situ preparation method of the same at medium and low temperature
EP1900845B1 (en) Deposition of LiCoO2
CN101892522B (en) Method for preparing lead-titanate-lead-magnesium niobate films by pulsed laser deposition assisted by oxygen plasmas
US20150380635A1 (en) METHODS TO IMPROVE THE CRYSTALLINITY OF PbZrTiO3 AND Pt FILMS FOR MEMS APPLICATIONS
US20180057929A1 (en) Method of Depositing Aluminum Oxide Film, Method of Forming the Same, and Sputtering Apparatus
JP5312138B2 (en) Sputtering method
JP2010077020A (en) Process for forming ceramic oxide material with pyrochlore structure having high dielectric constant and implementation of the process for application in microelectronics
TW202309332A (en) Method for depositing thin film
JP2023002767A (en) Device and method for bonding substrate
KR20140051574A (en) Deposition method of zirconia film doped nb

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees