TWI401741B - Plasma etching method - Google Patents

Plasma etching method Download PDF

Info

Publication number
TWI401741B
TWI401741B TW96109942A TW96109942A TWI401741B TW I401741 B TWI401741 B TW I401741B TW 96109942 A TW96109942 A TW 96109942A TW 96109942 A TW96109942 A TW 96109942A TW I401741 B TWI401741 B TW I401741B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film
wafer
tantalum
Prior art date
Application number
TW96109942A
Other languages
Chinese (zh)
Other versions
TW200746293A (en
Inventor
Akihiro Kikuchi
Takashi Tsunoda
Yuichiro Sakamoto
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200746293A publication Critical patent/TW200746293A/en
Application granted granted Critical
Publication of TWI401741B publication Critical patent/TWI401741B/en

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

電漿蝕刻方法Plasma etching method

本發明是關於包含使用電漿蝕刻被處理體之工程的電漿蝕刻方法。The present invention relates to a plasma etching method including an engineering of etching a processed object using plasma.

在半導體裝置之製造過程中,使用被圖案形成之光阻等,重複執行蝕刻疊層膜之工程。例如,在閘極電極之製造過程中,準備在半導體基板上,形成有由下順序疊層將成為閘極絕緣膜之氧化矽膜或氮化矽膜、將成為閘極電極之多晶矽層、由氮化矽等所構成之硬罩幕膜、由氧化矽等所構成之反射防止膜及光阻膜。然後,以將藉由光微影而圖案形成的光阻膜當作罩幕,乾蝕刻反射防止膜及硬罩幕,接著,藉由灰化除去光阻膜之後,將硬罩幕當作罩幕蝕刻多晶矽層之程序,執行閘極電極形成。In the manufacturing process of a semiconductor device, the process of etching the laminated film is repeatedly performed using a patterned photoresist or the like. For example, in the manufacturing process of the gate electrode, a ruthenium oxide film or a tantalum nitride film which is to be a gate insulating film and a polysilicon layer to be a gate electrode are formed on the semiconductor substrate in this order. A hard mask film made of tantalum nitride or the like, an anti-reflection film made of ruthenium oxide or the like, and a photoresist film. Then, the photoresist film patterned by photolithography is used as a mask to dry-etch the anti-reflection film and the hard mask. Then, after removing the photoresist film by ashing, the hard mask is used as a mask. The process of etching the polysilicon layer by the curtain performs gate electrode formation.

此時,於蝕刻反射防止膜及硬罩幕膜之時,使用絕緣膜蝕刻專用之電漿蝕刻裝置,蝕刻多晶矽之時,使用矽蝕刻專用之電漿蝕刻裝置。再者,光阻之灰化除去是使用專用之灰化裝置。At this time, when the antireflection film and the hard mask film are etched, a plasma etching apparatus for etching an insulating film is used, and when the polysilicon is etched, a plasma etching apparatus dedicated to ruthenium etching is used. Furthermore, the ashing removal of the photoresist is performed using a dedicated ashing device.

再者,對於矽基板,形成元件分離用之溝渠之STI(Shallow Trench Isolation)是準備例如在矽基板上,由下方順序疊層氧化矽膜、氮化矽膜、氧氮化矽(SiON)膜、氧化物罩膜及光阻膜者。然後,將藉由光微影而圖案形成之光阻當作罩幕而蝕刻至氧化物罩幕膜、氧氮化矽 (SiON)膜、氮化矽膜及氧化矽膜,接著,將氧化物罩幕、氧氮化矽(SiON)膜及氮化膜當作罩幕而蝕刻矽基板,在矽基板形成溝渠。此時,於蝕刻氧化物罩幕膜、氧氮化矽(SiON)膜、氮化矽膜及氧化矽膜之時,使用絕緣膜蝕刻專用之蝕刻裝置,於蝕刻矽基板之時,使用矽蝕刻專用之蝕刻裝置。再者,光阻之灰化除去是使用專用之灰化裝置而執行。Further, for the tantalum substrate, the STI (Shallow Trench Isolation) for forming the trench for element isolation is prepared by, for example, laminating a tantalum oxide film, a tantalum nitride film, or a silicon oxynitride (SiON) film on the tantalum substrate. , oxide cover film and photoresist film. Then, the photoresist formed by photolithography is used as a mask to etch the oxide mask film, yttrium oxynitride (SiON) film, tantalum nitride film, and hafnium oxide film. Next, an oxide mask, a silicon oxynitride (SiON) film, and a nitride film are used as a mask to etch the germanium substrate, and a trench is formed on the germanium substrate. At this time, when etching the oxide mask film, the yttrium oxynitride (SiON) film, the tantalum nitride film, and the hafnium oxide film, an etching device for etching an insulating film is used, and when etching the germanium substrate, germanium etching is used. Special etching device. Furthermore, the ashing removal of the photoresist is performed using a dedicated ashing apparatus.

如上述般,以往之蝕刻製程必須要有如於蝕刻矽層之前,首先使用光阻膜蝕刻硬罩幕而將光阻圖案轉印至硬罩幕,接著使用硬罩幕執行矽蝕刻之至少兩階段的蝕刻工程。該是因為欲將光阻當作罩幕而蝕刻矽之時,無法充分取得對罩幕選擇比,難以確保蝕刻率,或由於線和間距等之圖案之疏密或半導體晶圓之中央部和周緣部等之面內位置,使得依據蝕刻所形成之溝渠側壁之角度或臨界尺寸(CD:Critical Dimensin)等之蝕刻形狀產生差異。As described above, the conventional etching process must be performed by first etching the hard mask using a photoresist film to transfer the photoresist pattern to the hard mask before etching the germanium layer, and then performing at least two stages of the germanium etching using the hard mask. Etching works. This is because when the photoresist is to be etched as a mask, the mask selection ratio cannot be sufficiently obtained, and it is difficult to ensure the etching rate, or the density of the pattern such as the line and the pitch or the central portion of the semiconductor wafer. The in-plane position of the peripheral portion or the like causes a difference in an etching shape such as an angle or a critical dimension (CD: Critical Dimensin) of the trench sidewall formed by the etching.

並且,由於絕緣膜蝕刻和矽蝕刻使用之氣體系統為不同,矽蝕刻主要使用腐蝕性強之氣體,或依據各氣體之混合蝕刻精度下降等之理由,必須因應蝕刻之對象,分開使用絕緣膜專用之蝕刻裝置和矽專用之蝕刻裝置(例如,專利文獻1)。In addition, since the gas system used for the etching of the insulating film and the etching is different, the etching is mainly performed by a gas having a strong corrosiveness, or the etching precision of each gas is lowered, etc., and the insulating film must be used separately for the object to be etched. The etching apparatus and the etching apparatus dedicated to the crucible (for example, Patent Document 1).

〔專利文獻1〕日本特開平7-263415號公報(段落0006~0010)[Patent Document 1] Japanese Patent Laid-Open No. Hei 7-263415 (paragraphs 0006 to 0010)

本發明之目的是提供可以確保充分之罩幕選擇比和蝕刻率,並將光阻當作罩幕而蝕刻疊層膜中之矽層的電漿蝕刻方法。除此之外,也提供在上述蝕刻中,不會有由於圖案疏密或被處理體上之位置而使得蝕刻形狀產生差異之電漿蝕刻方法。SUMMARY OF THE INVENTION It is an object of the present invention to provide a plasma etching method which can ensure a sufficient mask selection ratio and etching rate, and which uses a photoresist as a mask to etch a layer of tantalum in a laminated film. In addition to this, it is also provided that in the above etching, there is no plasma etching method which causes a difference in etching shape due to the density of the pattern or the position on the object to be processed.

為了解決上述課題,本發明之第1觀點的電漿蝕刻方法,對具有以矽為主成分之矽層,和被形成在比該矽層更上層,並且事先被圖案形成之光阻膜的被處理體,使用自包含有氟碳化物(fluorocarbon)氣體、氫氟碳化物(Hydrofluorocarbon)氣體、稀有氣體及O2 氣體之處理氣體所生成之電漿,將上述光阻膜當作罩幕而蝕刻上述矽層之工程。In order to solve the above problems, the plasma etching method according to the first aspect of the present invention is directed to a ruthenium layer having ruthenium as a main component and a photoresist film formed on the ruthenium layer and patterned in advance. The treatment body is etched by using the plasma generated from a processing gas containing a fluorocarbon gas, a hydrofluorocarbon gas, a rare gas, and an O 2 gas as a mask. The above-mentioned layer of engineering.

再者,本發明之第2觀點的電漿蝕刻方法,在電漿處理裝置之處理室內,對形成有以矽為主成分之矽層,和在比該矽層更上層,至少疊層氧化矽膜、氮化矽膜及事先被圖案形成之光阻膜的被處理體,使用由包含氟碳化物氣體、氫氟碳化物氣體、稀有氣體及O2 氣體之處理氣體所生成之電漿,將上述光阻膜當作罩幕,一併蝕刻上述氮化矽膜、上述氧化矽膜及上述矽層。Further, in the plasma etching method according to the second aspect of the present invention, in the processing chamber of the plasma processing apparatus, at least a tantalum layer containing ruthenium as a main component and at least an upper layer than the tantalum layer are laminated. The film, the tantalum nitride film, and the object to be processed which is patterned in advance, use a plasma generated from a processing gas containing a fluorocarbon gas, a hydrofluorocarbon gas, a rare gas, and an O 2 gas, and The photoresist film is used as a mask to etch the tantalum nitride film, the tantalum oxide film, and the tantalum layer together.

在上述第1觀點和第2觀點中,上述氟碳化物氣體為CF4 氣體、C2 F6 氣體、C3 F8 氣體或是C4 F8 氣體為佳。再 者,上述氫氟碳化物氣體為CHF3 氣體、CH2 F2 氣體或是CH3 F氣體為佳。In the above first aspect and second aspect, the fluorocarbon gas is preferably CF 4 gas, C 2 F 6 gas, C 3 F 8 gas or C 4 F 8 gas. Further, the hydrofluorocarbon gas is preferably CHF 3 gas, CH 2 F 2 gas or CH 3 F gas.

再者,上述氟碳化物氣體之流量為10~50mL/min為佳。再者,上述O2 氣體之流量為1~30mL/min為佳。並且,上述氫氟碳化物氣體和上述稀有氣體之流量比(氫氟碳化物氣體流量/稀有氣體流量)為0.019~0.173為佳。Further, the flow rate of the fluorocarbon gas is preferably 10 to 50 mL/min. Further, the flow rate of the above O 2 gas is preferably from 1 to 30 mL/min. Further, the flow ratio (hydrogen fluoride gas flow rate/rare gas flow rate) of the hydrofluorocarbon gas to the rare gas is preferably from 0.019 to 0.173.

並且,處理壓力為8~12Pa為佳。Further, the treatment pressure is preferably 8 to 12 Pa.

再者,在上述第1觀點及第2觀點中,藉由上述氟碳化物氣體或是O2 氣體之流量,控制上述圖案疏離之部位和緊密部位蝕刻後的臨界尺寸為佳。再者,藉由上述氟碳化物氣體之流量,控制被處理體之面內蝕刻後的臨界尺寸。Further, in the first aspect and the second aspect described above, it is preferable to control the critical dimension after etching of the portion of the pattern and the close portion by the flow rate of the fluorocarbon gas or the O 2 gas. Further, the critical dimension after the in-plane etching of the object to be processed is controlled by the flow rate of the fluorocarbon gas.

再者,在上述第2觀點中,相對於蝕刻上述氮化矽膜之時的處理壓力,使蝕刻上述矽層之時的處理壓力降低,或是,相對於蝕刻上述氮化矽膜之時的上述氫氟碳化物氣體之流量,使蝕刻上述矽層之時的上述氫氟碳化物氣體之流量降低為佳。Further, in the second aspect, the processing pressure at the time of etching the tantalum layer is lowered with respect to the processing pressure at the time of etching the tantalum nitride film, or when the tantalum nitride film is etched The flow rate of the hydrofluorocarbon gas is preferably such that the flow rate of the hydrofluorocarbon gas at the time of etching the tantalum layer is lowered.

再者,在上述第1觀點及第2觀點中,上述矽層是以多晶矽或是單晶矽為主成分為佳。Further, in the above first aspect and second aspect, it is preferable that the tantalum layer is a polycrystalline germanium or a single crystal germanium as a main component.

本發明之第3觀點是提供一種控制程式,在電腦上動作,於實行時,以執行第1觀點或是第2觀點之電漿蝕刻方法之方式,控制上述電漿處理裝置。A third aspect of the present invention provides a control program for operating on a computer, and controlling the plasma processing apparatus to perform a plasma etching method of the first aspect or the second aspect during execution.

本發明之第4觀點是提供一種電腦可讀取之記憶媒體,為記憶有在電腦上動作之控制程式的電腦可讀取之記 憶媒體,上述控制程式於實行時,以執行上述第1觀點或是第2觀點之電漿蝕刻方法之方式,控制上述電漿處理裝置。A fourth aspect of the present invention provides a computer readable memory medium readable by a computer for storing a control program for operating on a computer In the media, when the control program is executed, the plasma processing apparatus is controlled to perform the plasma etching method of the first aspect or the second aspect.

本發明之第5觀點是提供一種電漿處理裝置,具備:用以對被處理體執行電漿蝕刻處理之處理室;在上述處理室內載置被處理體之支撐體;用以減壓上述處理室內之排氣手段;用以供給處理氣體至上述處理室內之氣體供給手段;和控制成在上述處理室內執行上述第1觀點或第2觀點之電漿蝕刻方法的控制部。According to a fifth aspect of the present invention, there is provided a plasma processing apparatus comprising: a processing chamber for performing a plasma etching treatment on a target object; a support body on which the object to be processed is placed in the processing chamber; a gas exhausting means for supplying a processing gas into the processing chamber; and a control portion for controlling the plasma etching method of the first aspect or the second aspect in the processing chamber.

若藉由本發明之電漿蝕刻方法,藉由使用包含氟碳化物(fluorocarbon)氣體、氫氟碳化物(Hydrofluorocarbon)氣體、稀有氣體及O2 氣體之氣體以當作處理氣體,則可以充分確保蝕刻率,並將光阻當作罩幕而執行矽蝕刻。According to the plasma etching method of the present invention, etching can be sufficiently ensured by using a gas containing a fluorocarbon gas, a hydrofluorocarbon gas, a rare gas, and an O 2 gas as a processing gas. Rate, and the photoresist is used as a mask to perform etch etching.

再者,藉由調整氟碳化物氣體或是O2 氣體之流量,能夠解除由於圖案之疏密所引起的蝕刻溝側壁之角度差或由於被處理體上之位置所引起之蝕刻後之臨界尺寸差,使蝕刻形狀均勻化。Furthermore, by adjusting the flow rate of the fluorocarbon gas or the O 2 gas, the angular difference of the side wall of the etching groove due to the density of the pattern or the critical dimension after etching due to the position on the object to be processed can be removed. Poor, uniformizing the etching shape.

因此,藉由本發明之電漿蝕刻方法,在矽蝕刻製程中,可以實現工程數之大幅刪減,和處理時間之短縮化。再者,本發明之電漿方法因能夠使蝕刻形狀均勻化,故有利利用在製造信賴性高之半導體裝置上,能夠對應於半導 體裝置之設計規則微細化、高積體化。Therefore, with the plasma etching method of the present invention, in the germanium etching process, substantial reduction of the number of engineering and shortening of the processing time can be achieved. Further, since the plasma method of the present invention can make the etching shape uniform, it is advantageously used in a semiconductor device having high reliability, and can correspond to a semiconductor The design rules of the body device are fine and high.

以下,一面參照圖面,一面針對本發明之最佳形態予以說明。Hereinafter, the best mode of the present invention will be described with reference to the drawings.

第1圖是表示能夠最佳利用於本發明之電漿蝕刻方法之磁控管RIE電漿蝕刻裝置100之概略的剖面圖。該電漿蝕刻裝置100是具有構成氣密,形成由小徑之上部1a和大徑之下部1b所構成之具有階段的圓筒狀,壁部為例如鋁製之腔室(處理容器)1。Fig. 1 is a schematic cross-sectional view showing a magnetron RIE plasma etching apparatus 100 which can be optimally used in the plasma etching method of the present invention. The plasma etching apparatus 100 is formed into a cylindrical shape having a step formed by the small-diameter upper portion 1a and the large-diameter lower portion 1b, and the wall portion is, for example, a chamber (processing container) 1 made of aluminum.

在該腔室1內,設置有將當作被處理體之單晶Si基板的半導體晶圓(以下稱為「晶圓」)W予以水平支撐的支撐座2。支撐座2是由例如鋁所構成,經絕緣板3而被導體之支撐台4之支撐。再者,在支撐座2之上方之外圍,設置有由Si以外之材料,例如石英所形成之聚焦環5。上述支撐座2和支撐台4是藉由含有滾珠螺桿7之滾珠螺桿機構而能夠升降,支撐台4之下方之驅動部份是由不銹鋼(SUS)製之波紋管8覆蓋。波紋管8之外側設置有波紋管蓋9。並且,在上述聚焦環5之外側,設置有障板10,通過該障板10、支撐台4、波紋管8而與腔室1導通。腔室1是被接地。In the chamber 1, a support base 2 for horizontally supporting a semiconductor wafer (hereinafter referred to as "wafer") W as a single crystal Si substrate to be processed is provided. The support base 2 is made of, for example, aluminum and is supported by the support base 4 of the conductor via the insulating plate 3. Further, on the periphery of the support base 2, a focus ring 5 formed of a material other than Si such as quartz is provided. The support base 2 and the support base 4 are lifted and lowered by a ball screw mechanism including a ball screw 7, and the drive portion below the support base 4 is covered by a bellows 8 made of stainless steel (SUS). A bellows cover 9 is provided on the outer side of the bellows 8. Further, a baffle 10 is provided on the outer side of the focus ring 5, and is electrically connected to the chamber 1 through the baffle 10, the support base 4, and the bellows 8. The chamber 1 is grounded.

在腔室1之下部1b之側壁形成有排氣埠11,於該排氣埠11連接有排氣系統12。然後,藉由使排氣系統12之真空泵動作,可以將腔室1內減壓至特定之真空度。另 外,在腔室1之下部1之下部1b之側壁上側,設置有開關晶圓W之搬入出口之閘閥13。An exhaust port 11 is formed on a side wall of the lower portion 1b of the chamber 1, and an exhaust system 12 is connected to the exhaust port 11. Then, by operating the vacuum pump of the exhaust system 12, the inside of the chamber 1 can be depressurized to a specific degree of vacuum. another Further, on the upper side of the side wall of the lower portion 1b of the lower portion 1 of the chamber 1, a gate valve 13 for opening and closing the inlet of the switch wafer W is provided.

在支撐座2經整合器14連接有電漿形成用之高頻電源15,特定之頻率例如13.36 MHz之高頻電力自該高頻電源15被供給至支撐台2。另外,在與支撐台2相向而在該上方互相平行設置有噴淋頭20,該噴淋頭20是接地。因此,支撐座2及噴淋頭20當作一對電極而發揮功能。The high frequency power source 15 for plasma formation is connected to the support base 2 via the integrator 14, and high frequency power of a specific frequency such as 13.36 MHz is supplied from the high frequency power source 15 to the support table 2. Further, a shower head 20 is disposed in parallel with the support table 2, and the shower head 20 is grounded. Therefore, the support base 2 and the shower head 20 function as a pair of electrodes.

在支撐座2之表面上設置有用以靜電吸著保持晶圓W之靜電夾具6。該靜電夾具6是被構成在絕緣體6b之間存在電極6a,電極6a連接有直流電源16。然後,自電源16施加電壓至電極6a,依此靜電力例如庫倫力吸附晶圓W。An electrostatic chuck 6 for holding the wafer W by electrostatic attraction is provided on the surface of the support base 2. The electrostatic chuck 6 is configured such that an electrode 6a is formed between the insulators 6b, and a DC power source 16 is connected to the electrodes 6a. Then, a voltage is applied from the power source 16 to the electrode 6a, and the wafer W is adsorbed by the electrostatic force such as Coulomb force.

在支撐座2之內部設置有冷煤室17,在該冷煤室17,冷煤經冷煤導入管17a被導入,自冷煤排出管17b被排出而循環,其冷熱經支撐座2而對晶圓W傳熱,藉此晶圓W之處理面被控制成所欲之溫度。A cold coal chamber 17 is disposed inside the support base 2, and cold coal is introduced into the cold coal introduction pipe 17a, and is discharged from the cold coal discharge pipe 17b to circulate, and the cold heat is passed through the support base 2 The wafer W is heat transferred, whereby the processed surface of the wafer W is controlled to a desired temperature.

再者,以即使腔室1藉由排氣系12被排氣而保持真空,亦可以藉由循環於冷煤室17之冷煤有效冷卻晶圓W之方式,冷卻氣體藉由氣體導入機構18而經其氣體供給管19而導入至靜電夾具6之表面和晶圓W之背面之間。如此藉由導入冷卻氣體,冷煤之冷熱被有效傳達至晶圓W,可以提高晶圓W之冷卻效率。當作冷卻氣體是可以使用例如He等。Furthermore, even if the chamber 1 is kept evacuated by the exhaust system 12, the wafer W can be effectively cooled by the cold coal circulating in the cold coal chamber 17, and the cooling gas is supplied to the gas introduction mechanism 18 by means of the gas introduction mechanism 18. The gas supply tube 19 is introduced between the surface of the electrostatic chuck 6 and the back surface of the wafer W. By introducing the cooling gas in this way, the cold heat of the cold coal is efficiently transmitted to the wafer W, and the cooling efficiency of the wafer W can be improved. As the cooling gas, for example, He or the like can be used.

上述噴淋頭20在腔室1之天壁部份是被設置成與支撐座2相向。該噴淋頭20在其下面設置有多數氣體吐出 孔22,並且在該上部具有氣體導入部20a,並且在其內部形成有空間21。在氣體導入部20a連接有具有閥23之氣體供給配管24,在該氣體供給配管24之另一端,連接有供給由蝕刻氣體及稀釋氣體所構成之處理氣體之處理氣體供給系統25。The above-described shower head 20 is disposed to face the support base 2 in the wall portion of the chamber 1. The shower head 20 is provided with a plurality of gas discharges underneath The hole 22 has a gas introduction portion 20a at the upper portion, and a space 21 is formed inside thereof. A gas supply pipe 24 having a valve 23 is connected to the gas introduction portion 20a, and a process gas supply system 25 for supplying a processing gas composed of an etching gas and a diluent gas is connected to the other end of the gas supply pipe 24.

處理氣體供給系統25是如第2圖所示般,具有CF4 氣體供給源41、CHF3 氣體供給源42、Ar氣體供給源43及O2 氣體供給源44,在來自該些氣體供給源之配管,各設置有質量流量控制器45及閥46。然後,當作蝕刻氣體之CF4 氣體/CHF3 氣體/Ar氣體/O2 氣體自處理氣體供給系統25之各個氣體供給源經氣體供給配管24、氣體導入部20a而到噴淋頭20內之空間21,自各氣體吐出孔22吐出。The processing gas supply system 25 has a CF 4 gas supply source 41, a CHF 3 gas supply source 42, an Ar gas supply source 43, and an O 2 gas supply source 44 as shown in Fig. 2, and is supplied from the gas supply sources. The piping is provided with a mass flow controller 45 and a valve 46, respectively. Then, CF 4 gas/CHF 3 gas/Ar gas/O 2 gas as an etching gas is supplied from the gas supply pipe 24 and the gas introduction unit 20a to the respective shower heads 20 through the gas supply pipe 20 and the gas introduction unit 20a. The space 21 is discharged from each of the gas discharge holes 22.

另外,在腔室1之上部1a之周圍,同心狀配置有偶極環磁石30。偶極環磁石30是如第3圖之水平剖面圖所示般,多數之異方性分段柱狀磁石31被安裝在環狀之磁性體之外殼32而構成。在該例中,構成圓柱狀之16個異方性分段柱狀磁石31環狀被配置。第3圖中,異方性分段柱狀磁石31中所示之箭頭是表示磁化之方向,如該圖所示般,一點一點偏移多數異方性分段柱狀磁石31之磁化之方向,全體形成朝向一方向之相同的水平磁場B。Further, a dipole ring magnet 30 is concentrically arranged around the upper portion 1a of the chamber 1. The dipole ring magnet 30 is formed as shown in the horizontal cross-sectional view of Fig. 3, and a plurality of anisotropic segmented columnar magnets 31 are attached to the outer casing 32 of the annular magnetic body. In this example, 16 anisotropic segmented columnar magnets 31 constituting a columnar shape are arranged in a ring shape. In Fig. 3, the arrow shown in the anisotropic segmented columnar magnet 31 indicates the direction of magnetization, and as shown in the figure, the magnetization of the most anisotropic segmented columnar magnet 31 is shifted little by little. In the direction, the entire horizontal magnetic field B is formed in one direction.

因此,在支撐座2和噴淋頭20之間的空間,則如第4圖模式性所示般,藉由高頻電源15形成垂直方向之電場EL,並且藉由偶極環磁石30形成水平磁場B,藉由如此 所形成之正交電磁場,生成磁控管放電。依此,形成高能量狀態之蝕刻氣體之電漿,蝕刻晶圓W。Therefore, in the space between the support base 2 and the shower head 20, as shown schematically in Fig. 4, the electric field EL in the vertical direction is formed by the high-frequency power source 15, and the level is formed by the dipole ring magnet 30. Magnetic field B, by doing so The resulting orthogonal electromagnetic field generates a magnetron discharge. Accordingly, a plasma of an etching gas of a high energy state is formed, and the wafer W is etched.

再者,電漿蝕刻裝置100之各構成部為連接於具備有CPU之製程控制器50而被控制之構成。製程控制器50連接有由工程管理者為了管理電漿蝕刻裝置100執行指令之輸入操作等之鍵盤,或使電漿蝕刻裝置100之動作狀態可視化而予以顯示之顯示器等所構成之使用者介面51。Further, each component of the plasma etching apparatus 100 is configured to be connected to a process controller 50 including a CPU. The process controller 50 is connected to a user interface 51 such as a keyboard that is displayed by the engineering manager to manage the input operation of the plasma etching apparatus 100, or a display that visualizes the operating state of the plasma etching apparatus 100. .

再者,在製程控制器50連接有儲存有製程配方之記憶部52,該製程配方記錄有用以利用製程控制器50之控制實現在電漿蝕刻裝置100所實行之各種處理的控制程式或處理條件資料等。Further, the process controller 50 is connected with a memory portion 52 storing a process recipe for recording a control program or processing condition for realizing various processes performed by the plasma etching device 100 by the control of the process controller 50. Information, etc.

然後,因應所需,藉由來自使用者介面51之指示等,自記憶部52叫出任意之製程配方而使製程控制器50實行,在製程控制器50之控制下,以電漿蝕刻裝置100執行所欲之處理。再者,上述製程配方可利用儲存於例如CD-ROM、硬碟、軟碟、快閃記憶體等之電腦可讀取之記憶媒體之狀態者,或是自其他裝置經例如專用回線隨時傳送而加以利用。Then, according to the instruction from the user interface 51, the process controller 50 is executed by calling the arbitrary process recipe from the memory unit 52, and under the control of the process controller 50, the plasma etching apparatus 100 is used. Execute what you want. Furthermore, the process recipe can be stored at any time by a computer-readable memory medium such as a CD-ROM, a hard disk, a floppy disk, a flash memory, or the like, or transmitted from other devices via, for example, a dedicated return line. Use it.

接著,針對使用如此所構成之電漿蝕刻裝置100,對具有矽層(單晶矽或多晶矽)之晶圓W,執行電晶蝕刻之本發明之蝕刻方法予以說明。Next, an etching method of the present invention which performs electro-crystalline etching on a wafer W having a tantalum layer (single crystal germanium or polycrystalline germanium) will be described with reference to the plasma etching apparatus 100 configured as described above.

首先,使閘閥13打開,將晶圓W般入至腔室1內,於載置於支撐座2之後,使支撐座2上昇至圖示之位置,藉由排氣系統12之真空泵晶排氣埠11排氣腔室1內。First, the gate valve 13 is opened, and the wafer W is introduced into the chamber 1. After being placed on the support base 2, the support base 2 is raised to the position shown in the figure, and the vacuum pumping of the exhaust system 12 is performed.埠11 inside the exhaust chamber 1.

然後,自處理氣體供給系統25以各特定流量將將含有蝕刻氣體及稀釋氣體之處理氣體導入至腔室1內,使腔室1內成為特定之壓力,在其狀態自高頻電源15供給特定高頻電力至支撐座2。此時,晶圓W藉由自直流電源16施加特定電壓至靜電夾具6之電極6a,例如藉由庫倫力被吸附保持於靜電夾具6,並且在屬於上部電極之噴淋頭20和屬於下部電極之支撐座2之間形成高頻電場。因在噴淋頭20和支撐座2之間藉由偶極環磁石30形成水平磁場B,故在存在晶圓W之電極間之處理空間形成正交電磁場,依此所產生之漂移,生成磁控管放電。然後,依據藉由該磁控管放電所形成之蝕刻氣體之電漿,蝕刻晶圓W。Then, the processing gas supply system 25 introduces a processing gas containing an etching gas and a diluent gas into the chamber 1 at a specific flow rate, so that the inside of the chamber 1 becomes a specific pressure, and the state is supplied from the high-frequency power source 15 in a specific state. High frequency power to the support base 2. At this time, the wafer W is applied to the electrode 6a of the electrostatic chuck 6 from the DC power source 16 by the specific voltage, for example, by the Coulomb force, and is held by the electrostatic chuck 6, and belongs to the shower head 20 belonging to the upper electrode and belongs to the lower electrode. A high frequency electric field is formed between the support seats 2. Since the horizontal magnetic field B is formed by the dipole ring magnet 30 between the shower head 20 and the support base 2, an orthogonal electromagnetic field is formed in the processing space between the electrodes of the wafer W, and magnetic waves are generated according to the drift generated thereby. Control the discharge. Then, the wafer W is etched in accordance with the plasma of the etching gas formed by the discharge of the magnetron.

自確保充分之對罩幕選擇比和蝕刻率,並且執行蝕刻形狀之控制之觀點來看,使用含有CF4 、CHF3 、O2 之氣體,來當做蝕刻氣體為佳。CF4 氣體藉由在電漿中主要以CF4 →CHF3 *+F*所示之反應,生成主要有助於蝕刻之F自由基(F*)。F自由基是藉由氧化矽膜、氮化矽膜、矽層反應成下式之(反應1)~(反應3)般,進行蝕刻It is preferable to use a gas containing CF 4 , CHF 3 , or O 2 as an etching gas from the viewpoint of ensuring sufficient selection of the mask ratio and etching rate, and performing control of the etching shape. The CF 4 gas generates a F radical (F*) mainly contributing to etching by a reaction mainly represented by CF 4 →CHF 3 *+F* in the plasma. The F radical is etched by reacting a ruthenium oxide film, a tantalum nitride film, or a ruthenium layer into the following formula (Reaction 1) to (Reaction 3).

(反應1)SiO2 +4F* → SiF4 ↑+O2 (Reaction 1) SiO 2 +4F* → SiF 4 ↑+O 2

(反應2)Si3 N4 +12F* → 3SiF4 ↑+2N2(Reaction 2) Si 3 N 4 +12F* → 3SiF 4 ↑+2N 2

(反應3)Si+4F* → SiF4(Reaction 3) Si+4F* → SiF 4

CHF3 氣體當添加於上述CF4 時,生成HF而減少F自由基,並且藉由生成CH或CF系之聚合物,該些當作保護膜而作用,並使對光阻選擇比提升。When the CHF 3 gas is added to the CF 4 described above, HF is generated to reduce the F radical, and by forming a CH or CF-based polymer, these functions as a protective film, and the selectivity to the photoresist is increased.

Ar氣體是促進生成上述F自由基之解離反應,並且持有維持電漿中之自由基分佈之均勻性的作用。再者,也有藉由濺鍍除去呈蝕刻反應之膜的效果。The Ar gas acts to promote the dissociation reaction of the above-mentioned F radicals, and has the effect of maintaining the uniformity of the radical distribution in the plasma. Further, there is also an effect of removing a film which is an etching reaction by sputtering.

再者,O2 氣體是在蝕刻後的溝或穴之底面,具有防止上述CH或CF系之聚合物過剩堆疊。Further, the O 2 gas is on the bottom surface of the groove or the hole after the etching, and has an excessive stack of the polymer preventing the CH or CF system.

為了使蝕刻形狀成為良好者,調節晶圓W之溫度也為有效。因此,設置冷煤室,使該冷煤室17循環冷煤,該冷熱經支撐座2而對晶圓W傳熱,依此晶圓W之處理面控制成所欲之溫度。In order to make the etching shape good, it is also effective to adjust the temperature of the wafer W. Therefore, a cold coal chamber is provided, and the cold coal chamber 17 is circulated to cool coal, which heat is transferred to the wafer W via the support base 2, and the treated surface of the wafer W is controlled to a desired temperature.

為了形成所欲之電漿,電漿生成用之高頻電源15適當設定該頻率及輸出。在矽蝕刻中,以提高晶圓W正上方之電漿密度之觀點來看,將頻率設為例如13.56MHz或是該以上為佳。In order to form a desired plasma, the high frequency power source 15 for plasma generation appropriately sets the frequency and output. In the ruthenium etching, it is preferable to set the frequency to, for example, 13.56 MHz or more in order to increase the plasma density immediately above the wafer W.

為了提高晶圓W正上方之電漿密度,偶極環磁石30對屬於對向電極之支撐座2及噴淋頭20之間的處理空間施加磁場,為了有效發揮其效果,以使處理空間形成10000μT(100G)以上之磁場的強度磁石為佳。雖然磁場強度越強,應增加提高電漿密度之效果,但是從安全性之觀點來看,以100000μT(1kg)以下為佳。In order to increase the plasma density directly above the wafer W, the dipole ring magnet 30 applies a magnetic field to the processing space between the support 2 and the shower head 20 belonging to the counter electrode, in order to effectively exert the effect, so that the processing space is formed. The strength of the magnetic field of 10000 μT (100 G) or more is preferred. Although the stronger the magnetic field strength, the effect of increasing the plasma density should be increased, but from the viewpoint of safety, it is preferably 100000 μT (1 kg) or less.

使用電漿蝕刻裝置100一併蝕刻疊層膜之時的最佳條件則如下述般。The optimum conditions for collectively etching the laminated film using the plasma etching apparatus 100 are as follows.

例如,以處理氣體之流量而言,CF4 是可以設定成10~50mL/min(sccm),更佳為20~40mL/min(sccm),CHF3 是可以設定成10~100mL/min(sccm),更佳為20 ~70mL/min(sccm),Ar是可以設定成100~2000mL/min(sccm),更佳為300~1200mL/min(sccm),O2 是可以設定成1~30mL/min(sccm)為佳,更佳為6~15mL/min(sccm)。For example, CF 4 can be set to 10 to 50 mL/min (sccm), more preferably 20 to 40 mL/min (sccm), and CHF 3 can be set to 10 to 100 mL/min (sccm). More preferably, it is 20 to 70 mL/min (sccm), Ar can be set to 100 to 2000 mL/min (sccm), more preferably 300 to 1200 mL/min (sccm), and O 2 can be set to 1 to 30 mL/ Min (sccm) is preferred, and more preferably 6 to 15 mL/min (sccm).

再者,自確保蝕刻率和確保蝕刻形狀之均勻性(即是,抑制由於圖案之疏密所引起之蝕刻溝之側壁之傾斜角度差,抑制由於晶圓面內位置所引起之臨界尺寸差)之觀點,以將該流量比設定成CF4 /CHF3 /Ar/O2 =1~3/2~4/20~40/0.5~2左右為佳。Furthermore, since the etching rate is ensured and the uniformity of the etching shape is ensured (that is, the inclination angle difference of the side wall of the etching groove due to the density of the pattern is suppressed, the critical dimension difference due to the in-plane position of the wafer is suppressed) From the viewpoint, it is preferable to set the flow ratio to CF 4 /CHF 3 /Ar/O 2 =1~3/2~4/20~40/0.5~2.

處理壓力由確保氧化矽膜、氮化矽膜及矽層之蝕刻中的對罩幕比之觀點來看,是以1.3~40Pa為佳,更佳為5~13.3Pa為更佳。The treatment pressure is preferably from 1.3 to 40 Pa, more preferably from 5 to 13.3 Pa, from the viewpoint of ensuring etching of the ruthenium oxide film, the tantalum nitride film, and the tantalum layer.

再者,由提高蝕刻氣體之解離度的觀點來看,高頻電源15之高頻之頻率設成13.56MHz,高頻功率是設成將供給至下部電極之高頻電力除以基板之表面積的電力之大小成為300 W~500W(0.96W/cm2 ~1.59W/cm2 )為佳。Further, from the viewpoint of increasing the degree of dissociation of the etching gas, the frequency of the high frequency of the high frequency power source 15 is set to 13.56 MHz, and the high frequency power is set to divide the high frequency power supplied to the lower electrode by the surface area of the substrate. The size of the electric power is preferably 300 W to 500 W (0.96 W/cm 2 to 1.59 W/cm 2 ).

再者,由將蝕刻形狀即是異方性控制成良好之觀點來看,將晶圓溫度調整成例如40~70℃為佳。Further, from the viewpoint of controlling the anisotropy of the etching shape to be good, it is preferable to adjust the wafer temperature to, for example, 40 to 70 °C.

[第1實施形態][First Embodiment]

第5圖是模式性表示適用第1實施形態之電漿蝕刻方法之半導體晶圓W等之被處理體110之剖面構造的圖面。該被處理體110是在矽基板101之上方,從下方順序形成氧化矽(SiO2 )膜102、氮化矽(Si3 N4 )膜103、多 晶矽層104;氮化矽(Si3 N4 )膜105、無機系之反射防止膜(Barc)106,又在其上方,形成有事先圖案形成之光阻(PR)107。該蝕刻工程為將多晶矽層104當作電極層形成閘極電極之一工程,氧化矽(SiO2 )膜102及氮化矽(Si3 N4 )膜103成為閘極絕緣膜。Fig. 5 is a view schematically showing a cross-sectional structure of a target object 110 such as a semiconductor wafer W to which the plasma etching method of the first embodiment is applied. The body 110 is to be processed in the upper silicon substrate 101 is formed a silicon oxide (SiO 2) in order from below the membrane 102, silicon nitride (Si 3 N 4) film 103, the polysilicon layer 104; silicon nitride (Si 3 N 4 The film 105 and the inorganic anti-reflection film (Barc) 106 are formed thereon with a photoresist (PR) 107 formed in advance. This etching process is to form the gate electrode by using the polysilicon layer 104 as an electrode layer, and the yttrium oxide (SiO 2 ) film 102 and the tantalum nitride (Si 3 N 4 ) film 103 serve as a gate insulating film.

在以往之蝕刻方法中,對於第5圖狀態之被處理體110,是採用首先將光阻(PR)107當作罩幕蝕刻反射防止膜106及氮化矽(Si3 N4 )膜105,接著藉由灰化除去光阻(PR)107之後,將氮化矽(Si3 N4 )膜105當作硬罩幕使用而蝕刻多晶矽層104之手法。然後,於蝕刻反射防止膜106及氮化矽(Si3 N4 )膜105之時,使用絕緣膜蝕刻專用之蝕刻裝置,於蝕刻多晶矽層104之時,使用矽專用之蝕刻裝置。再者,光阻(PR)107之灰化除去是使用專用之灰化裝置而執行。In the conventional etching method, in the object to be processed 110 in the state of FIG. 5, the photoresist (PR) 107 is first used as the mask etching antireflection film 106 and the tantalum nitride (Si 3 N 4 ) film 105. Next, after the photoresist (PR) 107 is removed by ashing, the tantalum nitride (Si 3 N 4 ) film 105 is used as a hard mask to etch the polysilicon layer 104. Then, when the anti-reflection film 106 and the tantalum nitride (Si 3 N 4 ) film 105 are etched, an etching device dedicated to etching an insulating film is used, and when the polysilicon layer 104 is etched, an etching device dedicated to ruthenium is used. Further, the ashing removal of the photoresist (PR) 107 is performed using a dedicated ashing apparatus.

對此,本實施形態所涉及之電漿蝕刻方法,是在電漿蝕刻裝置100中,使用含有氟碳化物氣體、氫氟碳化物氣體、稀有氣體及O2 氣體之處理氣體,例如CF4 /CHF3 /Ar/O2 ,以當作處理氣體,將光阻(PR)107當作罩幕根據其圖案,一起蝕刻反射防止膜(Barc)106、氮化矽(Si3 N4 )膜105、多晶矽層104、氮化矽(Si3 N4 )膜103、氧化矽(SiO2 )膜102。藉由一併蝕刻該疊層膜,在一階段之蝕刻工程中,如第6圖所示般,可以形成凹部108。On the other hand, in the plasma etching method according to the present embodiment, a plasma containing fluorocarbon gas, hydrofluorocarbon gas, rare gas, and O 2 gas, such as CF 4 /, is used in the plasma etching apparatus 100. CHF 3 /Ar/O 2 is used as a processing gas, and the photoresist (PR) 107 is used as a mask to etch the anti-reflection film (Barc) 106 and the tantalum nitride (Si 3 N 4 ) film 105 according to the pattern thereof. The polysilicon layer 104, the tantalum nitride (Si 3 N 4 ) film 103, and the hafnium oxide (SiO 2 ) film 102. By collectively etching the laminated film, in one stage of etching, as shown in Fig. 6, the concave portion 108 can be formed.

[第2實施形態][Second Embodiment]

第7圖是模式性表示適用第2實施形態之電漿蝕刻方法之半導體晶圓等之被處理體210之剖面構造之圖面。該被處理體210是在矽基板201之上方,自下方順序形成氧化矽(SiO2 )膜202、氮化矽(Si3 N4 )膜203、氧氮化矽(SiON)膜204、氧化矽(SiO2 )膜205,又在其上方,形成有事先圖案形成之光阻(PR)206。該蝕刻工程為用以藉由STI在矽基板201形成絕緣膜埋入用之溝渠207之一工程。Fig. 7 is a view schematically showing a cross-sectional structure of a target object 210 such as a semiconductor wafer to which the plasma etching method of the second embodiment is applied. The object to be processed 210 is formed above the tantalum substrate 201, and a tantalum oxide (SiO 2 ) film 202, a tantalum nitride (Si 3 N 4 ) film 203, a hafnium oxynitride (SiON) film 204, and tantalum oxide are sequentially formed from below. The (SiO 2 ) film 205 is further formed thereon with a photoresist (PR) 206 formed in advance. This etching process is one of the trenches 207 for forming an insulating film for embedding on the germanium substrate 201 by STI.

在以往之蝕刻方法,對第7圖之狀態之被處理體210,採用首先將光阻(PR)206當作罩幕,蝕刻氧化矽(SiO2 )膜205、氧氮化矽(SiON)膜204、氮化矽(Si3 N4 )膜203以及氧化矽(SiO2 )膜202,接著,藉由灰化除去光阻(PR)206之後,將氧化矽(SiO2 )膜205、氧氮化矽(SiON)膜204及氮化矽(Si3 N4 )膜203當作罩幕使用,蝕刻矽基板201之手法。然後,蝕刻氧化矽(SiO2 )膜205、氧氮化矽(SiON)膜204、氮化矽(Si3 N4 )膜203及氧化矽(SiO2 )膜202之時,使用絕緣膜蝕刻專用之蝕刻裝置,蝕刻矽基板201之時,使用矽專用之蝕刻裝置。再者,光阻(PR)之灰化除去是使用專用之灰化裝置。In the conventional etching method, the object to be processed 210 in the state of FIG. 7 is first etched with a photoresist (PR) 206 as a mask to etch a yttrium oxide (SiO 2 ) film 205 and a yttrium oxynitride (SiON) film. 204, a tantalum nitride (Si 3 N 4 ) film 203 and a yttrium oxide (SiO 2 ) film 202, and then, after removing the photoresist (PR) 206 by ashing, the yttrium oxide (SiO 2 ) film 205, oxygen nitrogen The bismuth (SiON) film 204 and the tantalum nitride (Si 3 N 4 ) film 203 are used as a mask to etch the substrate 201. Then, when the yttrium oxide (SiO 2 ) film 205, the yttrium oxynitride (SiON) film 204, the tantalum nitride (Si 3 N 4 ) film 203, and the yttrium oxide (SiO 2 ) film 202 are etched, the insulating film is used for etching. The etching apparatus uses an etching apparatus dedicated to ruthenium when the ruthenium substrate 201 is etched. Furthermore, the ashing removal of the photoresist (PR) is performed using a dedicated ashing apparatus.

對此,本實施形態所涉及之電漿蝕刻方法中,使用電漿蝕刻裝置100,使用含有氟碳化物氣體、氫氟碳化物氣體、稀有氣體及O2 氣體之處理氣體,例如CF4 /CHF3 /Ar /O2 ,以當作處理氣體,依此一起蝕刻氧化矽(SiO2 )膜205、氧氮化矽(SiON)膜204、氮化矽(Si3 N4 )膜203、氧化矽(SiO2 )膜202及矽基板201。藉由一起蝕刻該積層膜,可以以一次的蝕刻工程,如第8圖所示般在矽基板201上形成絕緣膜埋入用的溝渠207。On the other hand, in the plasma etching method according to the present embodiment, the plasma etching apparatus 100 is used, and a processing gas containing a fluorocarbon gas, a hydrofluorocarbon gas, a rare gas, and an O 2 gas, such as CF 4 /CHF, is used. 3 /Ar /O 2 as a processing gas, thereby etching a yttrium oxide (SiO 2 ) film 205, a yttrium oxynitride (SiON) film 204, a tantalum nitride (Si 3 N 4 ) film 203, and yttrium oxide (SiO 2 ) film 202 and tantalum substrate 201. By etching the laminated film together, the trench 207 for insulating film can be formed on the germanium substrate 201 as shown in FIG. 8 in one etching process.

由以上之第1及第2實施形態明顯可知,因藉由使用上述特定組合之處理氣體,可以使用單一蝕刻裝置以一次工程將至少含有矽層和絕緣膜之疊層體予以蝕刻處理,實現因共用化所衍生的裝置刪減,和工程數及處理時間之大幅度刪減。As is apparent from the above first and second embodiments, by using the processing gas of the specific combination described above, it is possible to etch a laminate containing at least a tantalum layer and an insulating film in a single process by using a single etching apparatus. Subtraction of devices derived from sharing, and significant reductions in engineering numbers and processing time.

接著,雖然舉出實施例、試驗例進一步說明本發明,但本發明並不限制於該些例。Next, the present invention will be further described by way of examples and test examples, but the present invention is not limited to the examples.

實施例1Example 1

對具有第5圖所示之疊層構造之被處理體110,使用電漿蝕刻裝置100,並使用CF4 /CHF3 /Ar/O2 當作蝕刻氣體而實施蝕刻,將光阻(PR)107當作罩幕,形成凹部108。在此,當作光阻(PR)107是使用膜厚400nm,元素組成為C、H、F及O所構成之材料,使用反射防止膜(Barc)106之膜厚為58nm,氮化矽(Si3 N4 )膜105之膜厚為60nm,多晶矽層104之膜厚65nm者。再者,光阻(PR)107圖案之線和間距是設為線為0.6μm,間距為0.24μm。For the object to be processed 110 having the laminated structure shown in FIG. 5, the plasma etching apparatus 100 is used, and etching is performed using CF 4 /CHF 3 /Ar/O 2 as an etching gas, and the photoresist (PR) is used. 107 is used as a mask to form a recess 108. Here, as the photoresist (PR) 107, a material having a film thickness of 400 nm and an elemental composition of C, H, F, and O is used, and a film thickness of the anti-reflection film (Barc) 106 is 58 nm, and tantalum nitride ( The film thickness of the Si 3 N 4 ) film 105 was 60 nm, and the film thickness of the polysilicon layer 104 was 65 nm. Further, the line and pitch of the photoresist (PR) 107 pattern were set to be 0.6 μm in line and 0.24 μm in pitch.

蝕刻條件是如下述般。The etching conditions are as follows.

CF4 /CHF3 /Ar/O2 =20/25/300/10mL/min(sccm)CF 4 /CHF 3 /Ar/O 2 =20/25/300/10mL/min(sccm)

壓力=13.3Pa(100mTorr)Pressure = 13.3Pa (100mTorr)

RF頻率(高頻電源15)=13.56MHzRF frequency (high frequency power supply 15) = 13.56MHz

RF功率=400W(1.27W/cm2 )RF power = 400W (1.27W/cm 2 )

背壓(中心部/邊緣)=1066Pa/2000Pa(8/15Torr;He氣體)Back pressure (center/edge) = 1066Pa/2000Pa (8/15 Torr; He gas)

上部及下部電極間距離=27mmDistance between upper and lower electrodes = 27mm

溫度(上部電極/腔室側壁/下部電極)=60℃/60℃/30℃Temperature (upper electrode / chamber side wall / lower electrode) = 60 ° C / 60 ° C / 30 ° C

蝕刻時間=111秒Etching time = 11 seconds

將蝕刻時間之結果表示於表1。The results of the etching time are shown in Table 1.

上部CD(反射防止膜(Barc)106和氮化矽膜105之介面之CD;Critical Dimension)即使在晶圓W之中心部及邊緣部(參照第9圖(c))中之任一者也為270nm,在晶圓W之面內可以均勻蝕刻。再者,由光阻(PR)107之殘存膜厚,確認出可以充分確保光阻罩幕之選擇比。並且,表中之光阻殘存膜厚中之「平面」是指光阻(PR)107之平坦面之膜厚(光阻之全厚度),「磨蝕面」是指藉由離子濺鍍等之作用在光阻(PR)107之角部發生崩落(所謂的肩脫落)之時,由光阻(PR)膜107之全厚度减掉肩脫落部份之厚度。The upper CD (CD of the interface between the anti-reflection film (Barc) 106 and the tantalum nitride film 105; Critical Dimension) is also in the center portion and the edge portion of the wafer W (see FIG. 9(c)). It is 270 nm and can be uniformly etched in the plane of the wafer W. Further, it was confirmed that the remaining film thickness of the photoresist (PR) 107 was sufficient to ensure the selection ratio of the photoresist mask. Further, the "plane" in the residual film thickness of the resist in the table means the film thickness of the flat surface of the photoresist (PR) 107 (the total thickness of the photoresist), and the "abrasive surface" means the ion sputtering or the like. When the corner portion of the photoresist (PR) 107 is collapsed (so-called shoulder peeling), the thickness of the shoulder-removed portion is reduced by the full thickness of the photoresist (PR) film 107.

實施例2Example 2

對具有第7圖所示之疊層構造之被處理體210,使用電漿蝕刻裝置100,並使用CF4 /CHF3 /Ar/O2 當作蝕刻氣體而實施蝕刻,將光阻(PR)206當作罩幕,形成溝渠207。在此,當作光阻(PR)206是使用膜厚320nm,元素組成為C、H、F及O所構成之材料,使用膜厚為20nm之氧化矽(SiO2 )膜205、膜厚為為32nm之氧氮化矽(SiON)膜204、膜厚為265nm之氮化矽(Si3 N4 )膜203、膜厚為8nm之氧化矽(SiO2 )膜202者。再者,光阻(PR)206圖案設為線寬為0.17μm,溝渠寬為0.18μm。For the object to be processed 210 having the laminated structure shown in Fig. 7, the plasma etching apparatus 100 is used, and etching is performed using CF 4 /CHF 3 /Ar/O 2 as an etching gas, and the photoresist (PR) is used. 206 acts as a mask to form a trench 207. Here, as the photoresist (PR) 206, a material having a film thickness of 320 nm and an elemental composition of C, H, F, and O is used, and a cerium oxide (SiO 2 ) film 205 having a film thickness of 20 nm is used, and the film thickness is The film is a 32 nm yttrium oxynitride (SiON) film 204, a tantalum nitride (Si 3 N 4 ) film 203 having a film thickness of 265 nm, and a yttrium oxide (SiO 2 ) film 202 having a film thickness of 8 nm. Further, the photoresist (PR) 206 pattern has a line width of 0.17 μm and a trench width of 0.18 μm.

蝕刻條件是如下述般。The etching conditions are as follows.

CF4 /CHF3 /Ar/O2 =20/25/300/10mL/min(sccm)CF 4 /CHF 3 /Ar/O 2 =20/25/300/10mL/min(sccm)

壓力=13.3Pa(100mTorr)Pressure = 13.3Pa (100mTorr)

RF頻率(高頻電源15)=13.56MHzRF frequency (high frequency power supply 15) = 13.56MHz

RF功率=400W(1.27W/cm2 )RF power = 400W (1.27W/cm 2 )

背壓(中心部/邊緣)=933Pa/5332Pa(7/40Torr;He氣體)Back pressure (center/edge) = 933Pa/5332Pa (7/40 Torr; He gas)

上部及下部電極間距離=27mmDistance between upper and lower electrodes = 27mm

溫度(上部電極/下部電極)=60℃/30℃Temperature (upper electrode / lower electrode) = 60 ° C / 30 ° C

蝕刻時間=130秒Etching time = 130 seconds

將蝕刻時間之結果表示於表2。The results of the etching time are shown in Table 2.

即使在晶圓W之中心部及邊緣部,上部CD(本實驗中為氧化矽膜202和氮化矽膜203之界面的CD)皆為206nm,溝渠207之底部之CD為174nm,故在晶圓之面內能夠均勻蝕刻。Even in the central portion and the edge portion of the wafer W, the upper CD (the CD at the interface between the yttrium oxide film 202 and the tantalum nitride film 203 in this experiment) is 206 nm, and the CD at the bottom of the trench 207 is 174 nm, so in the crystal Uniform etching in the surface of the circle.

再者,顯示出被形成基板201之溝渠深度及側壁角度(180°-θ;參照第8圖)在晶圓W之中心部及邊緣部皆為相同,針對蝕刻形狀,取得高面內均勻性。Further, it is shown that the trench depth and the sidewall angle (180°-θ; refer to FIG. 8) of the substrate 201 to be formed are the same in the center portion and the edge portion of the wafer W, and high in-plane uniformity is obtained for the etching shape. .

接著,針對給予蝕刻率、對罩幕選擇比及蝕刻形狀之影響進行試驗。該試驗中,使用具有第9圖(a)所示之疊層構造之樣品晶圓。該樣品晶圓是在矽基板301上,具有疊層氧化矽(SiO2 )膜302、氮化矽(Si3 N4 )膜303及光阻膜304之構造。然後,使用CF4 /CHF3 /Ar/O2 當作處理氣體,根據表3所示之實驗計畫法改變蝕刻條件執行蝕 刻,形成凹部305。測定此時之蝕刻率、對光阻罩幕選擇比及蝕刻形狀而予以比較。Next, tests were conducted on the effects of the etching rate, the mask selection ratio, and the etching shape. In this test, a sample wafer having the laminated structure shown in Fig. 9(a) was used. The sample wafer has a structure in which a tantalum oxide (SiO 2 ) film 302, a tantalum nitride (Si 3 N 4 ) film 303, and a photoresist film 304 are laminated on a tantalum substrate 301. Then, using CF 4 /CHF 3 /Ar/O 2 as a processing gas, etching was performed according to the experimental method shown in Table 3 to change the etching conditions, and the concave portion 305 was formed. The etching rate at this time, the selection ratio of the photoresist mask, and the etching shape were measured.

並且,就以蝕刻中之其他條件而言,是以RF頻率(高頻電源15)為13.56MHz,RF功率為300W(0.96W/cm2 ),背壓(中心部/邊緣部)為933Pa/2666Pa(7/20Torr;He氣體),上部及下部電極間距離=27mm,溫度(上部電極/下部電極)為60℃/30℃來執行。Further, in terms of other conditions in the etching, the RF frequency (high-frequency power source 15) is 13.56 MHz, the RF power is 300 W (0.96 W/cm 2 ), and the back pressure (center portion/edge portion) is 933 Pa/ 2666 Pa (7/20 Torr; He gas), the distance between the upper and lower electrodes was 27 mm, and the temperature (upper electrode/lower electrode) was 60 ° C / 30 ° C.

將蝕刻率、對光阻罩幕選擇比之結果表示於表4及第10圖~第13圖。再者,將蝕刻形狀之結果表示於表5及第14圖~第21圖。並且,第10圖~第13圖中,橫軸為CHF3 /AF之流量比,縱軸為處理壓力。The results of the etching rate and the selection ratio of the photoresist mask are shown in Table 4 and Figures 10 to 13. Further, the results of etching the shape are shown in Table 5 and Figs. 14 to 21. Further, in Fig. 10 to Fig. 13, the horizontal axis represents the flow ratio of CHF 3 /AF, and the vertical axis represents the processing pressure.

第10圖是表示氮化矽(Si3 N4 )膜303對光阻膜304之蝕刻選擇比。因若將蝕刻氮化矽(Si3 N4 )膜303之對罩幕選擇比設為1以上即可,故從第10圖可知若在設定之條件範圍內則可以概略取得充分之對罩幕選擇比。再者,藉由選擇CHF3 /Ar之流量比大,且處理壓力高之條件 (第10圖之右上區域),可以改善對罩幕選擇比。Fig. 10 is a graph showing the etching selectivity of the tantalum nitride (Si 3 N 4 ) film 303 to the photoresist film 304. Therefore, if the mask selection ratio of the yttrium nitride (Si 3 N 4 ) film 303 is set to 1 or more, it can be seen from Fig. 10 that a sufficient mask can be obtained substantially within the set condition range. Choose ratio. Further, by selecting the condition that the flow ratio of CHF 3 /Ar is large and the processing pressure is high (the upper right area of Fig. 10), the mask selection ratio can be improved.

第11圖是表示氮化矽(Si3 N4 )膜303之蝕刻率。由該第11圖可知當作提升氮化矽(Si3 N4 )膜303之蝕刻率之條件,處理壓力並不有效,反倒是在設定之條件範圍中增大CHF3 /Ar之流量比為有效。Fig. 11 is a view showing the etching rate of the tantalum nitride (Si 3 N 4 ) film 303. From Fig. 11, it can be seen that the treatment pressure is not effective as a condition for increasing the etching rate of the tantalum nitride (Si 3 N 4 ) film 303. Instead, the flow ratio of CHF 3 /Ar is increased in the set condition range. effective.

第12圖表矽基板301之光阻膜304對光阻膜304之蝕刻選擇比。因矽蝕刻之對罩幕選擇比若為1以上即可,故由第12圖可知若在設定之條件範圍中則大致取得充分之對罩幕選擇比。再者,藉由選擇CHF3 /Ar之流量比大,並且處理壓力高之條件(第12圖之右上之區域),則可以更改善矽蝕刻中之對罩幕選擇比。The twelfth graph etches the etching selectivity of the photoresist film 304 of the substrate 301 to the photoresist film 304. Since the selection ratio of the mask to the mask is one or more, it can be seen from Fig. 12 that substantially the mask selection ratio is substantially obtained in the set condition range. Further, by selecting the condition that the flow ratio of CHF 3 /Ar is large and the processing pressure is high (the region on the upper right in Fig. 12), the mask selection ratio in the ruthenium etching can be further improved.

第13圖是表示矽基板301之蝕刻率。該第13圖表示在所設定之條件範圍中,CHF3 /Ar之流量比大時,處理壓力小之一方取得高蝕刻率,CHF3 /Ar之流量比為小之時,處理壓力為大之一方取得高蝕刻率。Fig. 13 is a view showing the etching rate of the germanium substrate 301. This Fig. 13 shows that in the set condition range, when the flow ratio of CHF 3 /Ar is large, the processing pressure is small to obtain a high etching rate, and when the flow ratio of CHF 3 /Ar is small, the processing pressure is large. One side achieves a high etching rate.

綜合以上之結果,欲改善氮化矽(Si3 N4 )膜303及矽基板301之時的對罩幕選擇比之時,在表3之條件範圍中,設定高壓力,並且設定高CHF3 /Ar流量比為有效。此時,氮化矽(Si3 N4 )膜303之蝕刻率也提高。另外,於重視矽基板301之蝕刻率之時,則如第13圖所示般,考慮CHF3 /Ar之流量比為大之時,處理壓力小之一方為佳,CHF3 /Ar之流量比為小時,處理壓力大為佳,在蝕刻途中,使CHF3 之流量或是處理壓力變化為佳。Based on the above results, in order to improve the mask selection ratio when the tantalum nitride (Si 3 N 4 ) film 303 and the tantalum substrate 301 are used, in the condition range of Table 3, high pressure is set and high CHF 3 is set. The /Ar flow ratio is valid. At this time, the etching rate of the tantalum nitride (Si 3 N 4 ) film 303 is also improved. Further, when the etching rate of the ruthenium substrate 301 is emphasized, as shown in Fig. 13, when the flow ratio of CHF 3 /Ar is large, the processing pressure is preferably small, and the flow ratio of CHF 3 /Ar is preferably For the hour, the processing pressure is preferably good, and the flow rate of CHF 3 or the processing pressure is preferably changed during the etching.

例如,在蝕刻氮化矽(Si3 N4 )膜303之階段,應取 得充分之對罩幕選擇比和蝕刻率,在表3之條件範圍中設定高壓力及CHF3 /Ar之流量比,在凹部305到達矽基板301之後的矽蝕刻之階段,CHF3 流量保持原樣使處理壓力降低,或是相反的處理壓力保持原樣使CHF3 流量降低,依此改善矽基板301之蝕刻率。於此時,若矽蝕刻之對罩幕選擇比在1以上即可,故由第12圖之結果,可知不用擔心增大對罩幕選擇比而受損。For example, in the stage of etching the tantalum nitride (Si 3 N 4 ) film 303, sufficient mask selection ratio and etching rate should be obtained, and the high pressure and CHF 3 /Ar flow ratio are set in the condition range of Table 3, At the stage of the ruthenium etching after the concave portion 305 reaches the ruthenium substrate 301, the flow rate of the CHF 3 is kept as it is, so that the processing pressure is lowered, or the opposite processing pressure is maintained as it is, so that the flow rate of the CHF 3 is lowered, thereby improving the etching rate of the ruthenium substrate 301. At this time, if the selection ratio of the mask to the mask is one or more, it can be seen from the result of FIG. 12 that there is no fear of increasing the selection ratio of the mask.

並且,在蝕刻氮化矽(Si3 N4 )膜303之階段,能夠在表3之條件範圍中,將處理壓力及CHF3 /Ar流量比皆設定成低,此時,在凹部305到達矽基板301之後的矽蝕刻階段,例如CHF3 流量保持原樣使處理壓力上昇,或是相反的使處理壓力保持不變使CHF3 流量增加,依此可以改善矽基板301之蝕刻率。Further, at the stage of etching the tantalum nitride (Si 3 N 4 ) film 303, the processing pressure and the CHF 3 /Ar flow ratio can be set low in the condition range of Table 3, and at this time, the concave portion 305 is reached. The ruthenium etching stage after the substrate 301, for example, the CHF 3 flow rate is maintained as it is, so that the processing pressure is increased, or the processing pressure is kept constant, so that the CHF 3 flow rate is increased, whereby the etching rate of the ruthenium substrate 301 can be improved.

接著,針對表5中之「疏密圖案間之側壁之傾斜角度差」,和對應於此之第14圖~第17圖之結果予以說明。Next, the results of the "angle difference of the side walls between the dense patterns" in Table 5 and the results of Figs. 14 to 17 corresponding to the above will be described.

表5之結果因確認晶圓W上之蝕刻形狀之均勻性,故利用以下之方法,測量裝置中之溝的側壁之傾斜角度差。側壁傾斜角度差是測量第9圖(b)所示之密部位之凹部305的側壁傾斜角度θ 1和疏部位之凹部305的側壁傾斜角度θ 2,由其差[(疏部位的側壁傾斜角度θ 2)-(密部位之的側壁傾斜角度θ 1)算出。As a result of Table 5, since the uniformity of the etching shape on the wafer W was confirmed, the difference in the inclination angle of the side wall of the groove in the measuring device was measured by the following method. The difference in the inclination angle of the side wall is measured by the inclination angle θ 1 of the side wall of the concave portion 305 of the dense portion shown in Fig. 9(b) and the inclination angle θ 2 of the side wall of the concave portion 305 of the sparse portion, by the difference [(the inclination angle of the side wall of the sparse portion) θ 2) - (the side wall inclination angle θ 1 of the dense portion) is calculated.

第14圖~第17圖是對與上述側壁傾斜角度差有關之結果的表5,執行分散分析。依此可知相對於各製程參數(壓力、CF4 流量、CHF3 /Ar流量比、O2 流量)之變動的 側壁傾斜角之變動傾向。Fig. 14 to Fig. 17 are Table 5 showing the results relating to the difference in the inclination angle of the side wall, and the dispersion analysis was performed. From this, the tendency of the side wall inclination angle to fluctuate with respect to the fluctuation of each process parameter (pressure, CF 4 flow rate, CHF 3 /Ar flow ratio, O 2 flow rate) is known.

更具體而言,如第9圖(a)~(c)所示般,各3處測量晶圓W之中心部和邊緣部中圖案疏離之部位(iso)之側壁傾斜角度,求出其平均值。同樣,在3處測量晶圓W之中心部和邊緣部中圖案緊密之部位(dense)之側壁傾斜角度,求出其平均值。然後,求出疏離部位之側壁傾斜角度之平均值,和緊密部位之側壁傾斜角度之平均值之差,設為第14圖~第17圖之曲線圖之縱軸(單位;度)。表示縱軸之絕對值小,側壁傾斜角度之疏密差越小。More specifically, as shown in Fig. 9 (a) to (c), the inclination angles of the side walls of the portion where the pattern is separated from the center portion and the edge portion of the wafer W are measured at three places, and the average value thereof is obtained. value. Similarly, the inclination angle of the side wall of the dense portion of the center portion and the edge portion of the wafer W was measured at three places, and the average value was obtained. Then, the difference between the average value of the inclination angles of the side walls of the alienation portion and the average value of the inclination angles of the side walls of the tight portion is determined, and the vertical axis (unit: degree) of the graphs of Figs. 14 to 17 is obtained. It means that the absolute value of the vertical axis is small, and the difference in the density of the inclination angle of the side wall is smaller.

藉由第14圖,表示針對壓力,在所設定之條件範圍之中,9.3~10.6Pa(70~80mTorr)為良好,壓力比此大時或小時,圖案之疏密所引起之側壁傾斜角度差擴大之傾向。According to Fig. 14, it is shown that, for the pressure, among the set conditions, 9.3 to 10.6 Pa (70 to 80 mTorr) is good, and when the pressure is larger or smaller, the inclination angle of the side wall caused by the density of the pattern is different. The tendency to expand.

藉由第15圖,針對CHF3 和Ar之流量比CHF3 /Ar,判明當流量比變大時(即是,增加CHF3 流量),則有因圖案之疏密所引起之側壁傾斜角度差擴大之傾向,要藉由流量比CHF3 /Ar來解除上述側壁傾斜角度差則有困難。According to Fig. 15, for the flow ratio of CHF 3 and Ar to CHF 3 /Ar, it is found that when the flow ratio becomes larger (that is, the CHF 3 flow rate is increased), there is a difference in the inclination angle of the side wall due to the density of the pattern. In the tendency to expand, it is difficult to cancel the difference in the inclination angle of the side wall by the flow ratio CHF 3 /Ar.

藉由第16圖,針對CF4 流量,可以確認出隨著在所設定之條件範圍中增加流量,藉由圖案書密所產生之側壁傾斜角度差則有縮小之傾向。同樣的,藉由第17圖,針對O2 流量,也可以確認出隨著在所設定之條件範圍中增加流量,上述側壁傾斜角度差有縮小之傾向。因此,可知藉由調整CF4 流量及/或O2 流量,可以控制由於圖案疏密 所引起之側壁傾斜角度差。From Fig. 16, it can be confirmed that the CF 4 flow rate tends to decrease as the side wall tilt angle difference generated by the pattern is reduced as the flow rate is increased in the set condition range. Similarly, with reference to Fig. 17, it is also confirmed that the O 2 flow rate tends to decrease as the side wall inclination angle difference decreases as the flow rate is increased within the set condition range. Therefore, it can be seen that by adjusting the CF 4 flow rate and/or the O 2 flow rate, it is possible to control the difference in the inclination angle of the side wall due to the pattern density.

接著,針對表5中之「晶圓面內之CD差」和對應於此之第18圖~第21圖之結果予以說明。Next, the results of "CD difference in the wafer surface" in Table 5 and the results of Figs. 18 to 21 corresponding thereto will be described.

該表5所示之結果因確認晶圓W之蝕刻形狀之均勻性,故藉由以下之方法測量晶圓面內之臨界尺寸(CD:Critical Dimension)之差。CD是如第9圖(b)所示般,在氧化矽(SiO2 )膜302和氮化矽(Si3 N4 )膜303之界面,測量其寬度而予以求出。As a result of the results shown in Table 5, since the uniformity of the etching shape of the wafer W was confirmed, the difference in the critical dimension (CD: Critical Dimension) in the wafer surface was measured by the following method. The CD was obtained by measuring the width at the interface between the yttrium oxide (SiO 2 ) film 302 and the tantalum nitride (Si 3 N 4 ) film 303 as shown in Fig. 9(b).

更具體而言,各3處測量晶圓W之中心部和邊緣部之CD,求取各平均值。然後,求出中心部之CD的平均值,邊緣部之CD的平均值之差,為表5中之「晶圓面內之CD差」。對其表5中之CD差之結果執行分散分析,為第18圖至第21圖。依此,可知晶圓面內之CD之差相對於各製程參數(壓力、CF4 流量、CHF3 /Ar流量比、O2 流量)的變動傾向。故曲線圖之縱軸設為晶圓面內之CD差(單位nm)。More specifically, the CD of the center portion and the edge portion of the wafer W was measured at each of three places, and the average value was obtained. Then, the average value of the CD of the center portion and the difference between the average values of the CDs at the edge portions are obtained as "CD difference in the wafer surface" in Table 5. The dispersion analysis was performed on the results of the CD difference in Table 5, which is the 18th to 21st drawings. Accordingly, it can be seen that the difference in CD in the wafer surface tends to vary with respect to each of the process parameters (pressure, CF 4 flow rate, CHF 3 /Ar flow ratio, O 2 flow rate). Therefore, the vertical axis of the graph is the CD difference (in nm) in the wafer plane.

藉由第18圖及第21圖,針對處理壓力及O2 流量,在所設定之條件範圍中並無太大差異。藉由第19圖,針對流量比CHF3 /Ar,確認出在所設定之條件範圍中越增加流量比(即是,越增加CHF3 ),CD之差則有越縮小之傾向,暗示著藉由調節流量比CHF3 /Ar,則可控制CD之面內差。With the 18th and 21st drawings, there is not much difference in the set pressure range for the treatment pressure and the O 2 flow rate. According to Fig. 19, for the flow ratio CHF 3 /Ar, it is confirmed that the flow ratio is increased in the set condition range (that is, the CHF 3 is increased), and the CD difference tends to be smaller, suggesting that Adjusting the flow ratio to CHF 3 /Ar, you can control the in-plane difference of the CD.

再者,藉由第20圖,針對CF4 流量,確認出隨著在所設定之條件範圍中增加流量,CD之面內差有縮小之傾 向。依此,判明藉由調整CF4 流量,可以控制CD之面內差。Furthermore, with reference to Fig. 20, it was confirmed that the CF 4 flow rate tends to decrease in the in-plane difference of the CD as the flow rate is increased in the set condition range. Accordingly, it was found that by adjusting the CF 4 flow rate, the in-plane difference of the CD can be controlled.

當綜合以上之結果(第14圖~第21圖)時,為了改善藉由圖案之疏密所引起之側壁傾斜角度差及面內位置中之CD差,調節CF4 流量之流量則為有效,為了達成該目的,將例如CF4 流量設定成20~40mL/min(sccm)為佳。再者,為了改善藉由圖案之疏密所引起之側壁傾斜角度差,調節O2 流量也為有效,為了達成該目的,將O2 之流量設定成6~15mL/min(sccm)為佳。When the above results (Fig. 14 to Fig. 21) are combined, it is effective to adjust the flow rate of the CF 4 flow rate in order to improve the difference in the inclination angle of the side wall caused by the density of the pattern and the CD difference in the in-plane position. In order to achieve this, it is preferred to set the flow rate of CF 4 to, for example, 20 to 40 mL/min (sccm). Further, in order to improve the difference in the inclination angle of the side wall caused by the density of the pattern, it is also effective to adjust the flow rate of O 2 , and in order to achieve the object, it is preferable to set the flow rate of O 2 to 6 to 15 mL/min (sccm).

如此一來,若藉由本發明之電漿方法,可將光阻當作罩幕一起蝕刻絕緣膜和包含矽層之疊層膜。依此,可以大幅度縮短例如電晶體之閘極電極形成,或藉由STI之元件分離用溝渠形成等的工程。As a result, according to the plasma method of the present invention, the photoresist can be used as a mask to etch the insulating film and the laminate film including the tantalum layer. According to this, it is possible to greatly shorten the formation of, for example, the gate electrode of the transistor or the formation of the trench for element isolation by STI.

再者,能夠抑制晶圓W面內時刻形狀的變動,或是由於圖案之疏密所引起之蝕刻形狀之變動,而確保蝕刻形狀之均勻性。Further, it is possible to suppress variations in the shape of the wafer W in the in-plane, or to change the etching shape due to the density of the pattern, and to ensure uniformity of the etching shape.

因此,本發明之電晶體蝕刻方法能夠適合於各種半導體之製造中利用。Therefore, the transistor etching method of the present invention can be suitably utilized in the manufacture of various semiconductors.

以上,雖然敘述本發明之實施形態,但是本發明並部限制於上述實施形態,可各種變形。例如,在上述實施形態中,雖然使用偶極環磁石當作磁控管RIE電漿蝕刻裝置之磁場形成手段,但是並不限定於此,不一定需要形成磁場。再者,若可以藉由本發明之氣體種類形成電漿即可,任何裝置皆可,可以使用電容耦合型或電感偶合型等之各 種電漿蝕刻裝置。Although the embodiments of the present invention have been described above, the present invention is not limited to the above embodiments, and various modifications can be made. For example, in the above embodiment, the dipole ring magnet is used as the magnetic field forming means of the magnetron RIE plasma etching apparatus. However, the present invention is not limited thereto, and it is not always necessary to form a magnetic field. Further, if the plasma can be formed by the gas type of the present invention, any device can be used, and each of a capacitive coupling type or an inductive coupling type can be used. A plasma etching device.

〔產業上之利用可行性〕[Industrial use feasibility]

本發明能夠適合於製造例如電晶體等之各種半導體裝置之過程中使用。The present invention can be suitably used in the process of manufacturing various semiconductor devices such as transistors.

1‧‧‧腔室(處理容器)1‧‧‧chamber (processing container)

2‧‧‧支撐座(電極)2‧‧‧Support (electrode)

12‧‧‧排氣系統12‧‧‧Exhaust system

15‧‧‧高頻電源15‧‧‧High frequency power supply

18‧‧‧氣體導入機構18‧‧‧ gas introduction mechanism

20‧‧‧噴淋頭20‧‧‧Sprinkler

25‧‧‧處理氣體供給系統25‧‧‧Processing gas supply system

30‧‧‧偶極環磁石30‧‧‧ Dipole ring magnet

101‧‧‧矽基板101‧‧‧矽 substrate

102‧‧‧氧化矽膜(SiO2 )102‧‧‧Oxide film (SiO 2 )

103‧‧‧氮化矽膜(Si3 N4 )103‧‧‧ nitride film (Si 3 N 4 )

104‧‧‧多晶矽層104‧‧‧Polysilicon layer

105‧‧‧氮化矽膜(Si3 N4 )105‧‧‧ nitride film (Si 3 N 4 )

106‧‧‧反射防止膜(Barc)106‧‧‧Anti-reflection film (Barc)

107‧‧‧光阻(PR)107‧‧‧Light Resistance (PR)

110‧‧‧被處理體110‧‧‧Processed body

201‧‧‧矽基板201‧‧‧矽 substrate

202‧‧‧氧化矽(SiO2 )膜202‧‧‧Oxide (SiO 2 ) film

203‧‧‧氮化矽(Si3 N4 )膜203‧‧‧ nitrided (Si 3 N 4 ) film

204‧‧‧氧氮化矽(SiON)204‧‧‧ oxynitride (SiON)

205‧‧‧氧化矽(SiO2 )膜205‧‧‧Oxide (SiO 2 ) film

206‧‧‧光阻(PR)206‧‧‧Light Resistance (PR)

301‧‧‧矽基板301‧‧‧矽 substrate

302‧‧‧氧化矽(SiO2 )膜302‧‧‧Oxide (SiO 2 ) film

303‧‧‧氮化矽(Si3 N4 )膜303‧‧‧ nitrided (Si 3 N 4 ) film

304‧‧‧光阻(PR)304‧‧‧Light Resistance (PR)

W‧‧‧晶圓W‧‧‧ wafer

第1圖是表示是合於本發明方法之實施的磁控管RIE電漿蝕刻裝置之剖面圖。BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a cross-sectional view showing a magnetron RIE plasma etching apparatus which is an implementation of the method of the present invention.

第2圖是第1圖中之處理氣體供給系統之構造圖。Fig. 2 is a structural view of the processing gas supply system in Fig. 1.

第3圖是模式性表示被配置在第1圖之腔室周圍的偶極環磁石之水平剖面圖。Fig. 3 is a horizontal sectional view schematically showing a dipole ring magnet arranged around the chamber of Fig. 1.

第4圖是用以說明形成在腔室內之電場及磁場之模式圖。Fig. 4 is a schematic view for explaining an electric field and a magnetic field formed in a chamber.

第5圖是表示適用本發明之半導體晶圓之疊層構造的剖面模式圖。Fig. 5 is a schematic cross-sectional view showing a laminated structure of a semiconductor wafer to which the present invention is applied.

第6圖是表示蝕刻後之半導體晶圓之剖面的圖面。Fig. 6 is a view showing a cross section of the semiconductor wafer after etching.

第7圖是表示適用本發明方法之另外例的半導體晶圓之疊層構造之剖面之模式圖。Fig. 7 is a schematic view showing a cross section of a laminated structure of a semiconductor wafer to which another example of the method of the present invention is applied.

第8圖是表示蝕刻後之半導體晶圓之剖面的圖面。Fig. 8 is a view showing a cross section of the semiconductor wafer after etching.

第9圖是表示試驗所使用之樣品晶圓(a),(a)表示蝕刻前之剖面,(b)表示蝕刻後之剖面,(c)表示樣品晶圓表面之CD之測定位置。Fig. 9 is a view showing a sample wafer (a) used in the test, (a) showing a cross section before etching, (b) showing a cross section after etching, and (c) showing a measurement position of a CD on the surface of the sample wafer.

第10圖表示使氣體流量比和壓力予以變化時,氮化 矽膜對光阻罩幕之蝕刻選擇比之圖面。Figure 10 shows the nitridation when the gas flow ratio and pressure are changed. The etch film is selected for the etching of the photoresist mask.

第11圖是表示使氣體流量比和壓力予以變化時,氮化矽之蝕刻率的圖面。Fig. 11 is a view showing the etching rate of tantalum nitride when the gas flow rate ratio and the pressure are changed.

第12圖是表示使氣體流量比和壓力予以變化時,矽對光阻罩幕之蝕刻選擇比之圖面。Figure 12 is a graph showing the etching selectivity of the photoresist mask when the gas flow ratio and pressure are changed.

第13圖是表示使氣體流量比和壓力予以變化時,矽之蝕刻率的圖面。Fig. 13 is a view showing the etching rate of 矽 when the gas flow rate ratio and pressure are changed.

第14圖是表示使壓力變化時,由於圖案之疏密所引起之側壁傾斜角之變化的圖面。Fig. 14 is a view showing a change in the inclination angle of the side wall due to the density of the pattern when the pressure is changed.

第15圖是表示使CHF3 /Ar流量比變化時,由於圖案之疏密所引起之側壁傾斜角之變化的圖面。Fig. 15 is a view showing a change in the inclination angle of the side wall due to the density of the pattern when the CHF 3 /Ar flow ratio is changed.

第16圖是表示使CH4 流量變化時,由於圖案之疏密所引起之側壁傾斜角之變化的圖面。Fig. 16 is a view showing a change in the inclination angle of the side wall due to the density of the pattern when the flow rate of CH 4 is changed.

第17圖是表示使O2 流量變化時,由於圖案之疏密所引起之側壁傾斜角之變化的圖面。Fig. 17 is a view showing a change in the inclination angle of the side wall due to the density of the pattern when the flow rate of O 2 is changed.

第18圖是表示使壓力變化時,由於晶圓面內位置所引起之臨界尺寸差之變化的圖面。Fig. 18 is a view showing a change in critical dimension difference due to the in-plane position of the wafer when the pressure is changed.

第19圖是表示使CHF3 /Ar流量比變化時,由於晶圓面內位置所引起之側壁傾斜角之變化的圖面。Fig. 19 is a view showing a change in the inclination angle of the side wall due to the in-plane position of the wafer when the CHF 3 /Ar flow ratio is changed.

第20圖是表示使CF4 流量變化時,由於晶圓面內位置所引起之臨界尺寸差之變化的圖面。Fig. 20 is a view showing a change in critical dimension difference due to the in-plane position of the wafer when the CF 4 flow rate is changed.

第21圖是表示使O2 流量變化之時,由於晶圓面內位置所引起之臨界尺寸差之變化的圖面。Fig. 21 is a view showing a change in critical dimension difference due to the in-plane position of the wafer when the flow rate of O 2 is changed.

1‧‧‧腔室(處理容器)1‧‧‧chamber (processing container)

2‧‧‧支撐盤(電極)2‧‧‧Support plate (electrode)

3‧‧‧絕緣板3‧‧‧Insulation board

4‧‧‧支撐台4‧‧‧Support table

5‧‧‧聚焦環5‧‧‧ Focus ring

7‧‧‧滾珠螺桿7‧‧‧Ball screw

8‧‧‧波紋管8‧‧‧ Bellows

9‧‧‧波紋管蓋9‧‧‧Corrugated tube cover

10‧‧‧障板10‧‧ ‧Band

11‧‧‧排氣埠11‧‧‧Exhaust gas

12‧‧‧排氣系統12‧‧‧Exhaust system

14‧‧‧匹配器14‧‧‧matcher

15‧‧‧高頻電源15‧‧‧High frequency power supply

17‧‧‧冷煤室17‧‧‧Cold coal room

18‧‧‧氣體導入機構18‧‧‧ gas introduction mechanism

19‧‧‧氣體供給管19‧‧‧ gas supply pipe

20‧‧‧噴淋頭20‧‧‧Sprinkler

21‧‧‧空間21‧‧‧ Space

22‧‧‧氣體吐出孔22‧‧‧ gas discharge hole

23‧‧‧閥23‧‧‧Valves

24‧‧‧氣體供給配管24‧‧‧ gas supply piping

25‧‧‧處理氣體供給系統25‧‧‧Processing gas supply system

30‧‧‧偶極環磁石30‧‧‧ Dipole ring magnet

W‧‧‧晶圓W‧‧‧ wafer

Claims (6)

一種電漿蝕刻方法,其特徵為:包含對具有以矽為主成分之矽層,和在較該矽層更上層,至少被疊層之氧化矽膜、氮化矽以及事先被圖案形成之光阻膜的被處理體,使用自包含有氟碳化物(fluorocarbon)氣體、氫氟碳化物(Hydrofluorocarbon)氣體、稀有氣體及O2 氣體之處理氣體所生成之電漿,將上述光阻膜當作罩幕而蝕刻上述氧化矽膜及上述氮化矽膜之工程,將上述氟碳化物氣體控制在20~40mL/min,並將上述O2 氣體控制在6~15mL/min。A plasma etching method comprising: a tantalum layer having a tantalum-based composition, and an uppermost layer of the tantalum layer, at least a laminated tantalum oxide film, tantalum nitride, and light patterned in advance The object to be treated of the resist film is a plasma generated from a processing gas containing a fluorocarbon gas, a hydrofluorocarbon gas, a rare gas, and an O 2 gas, and the photoresist film is used as the resist film. The ruthenium oxide film and the tantalum nitride film are etched by a mask, and the fluorocarbon gas is controlled at 20 to 40 mL/min, and the O 2 gas is controlled at 6 to 15 mL/min. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,上述氟碳化物氣體為CF4 氣體、C2 F6 氣體、C3 F8 氣體或是C4 F8 氣體。The plasma etching method according to claim 1, wherein the fluorocarbon gas is CF 4 gas, C 2 F 6 gas, C 3 F 8 gas or C 4 F 8 gas. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,上述氫氟碳化物氣體為CHF3 氣體、CH2 F2 氣體或是CH3 F氣體。The plasma etching method according to claim 1, wherein the hydrofluorocarbon gas is CHF 3 gas, CH 2 F 2 gas or CH 3 F gas. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,上述氫氟碳化物氣體和上述稀有氣體之流量比(氫氟碳化物氣體流量/稀有氣體流量)為0.019~0.173。 The plasma etching method according to the first aspect of the invention, wherein the flow ratio of the hydrofluorocarbon gas to the rare gas (hydrofluorocarbon gas flow rate/rare gas flow rate) is from 0.019 to 0.173. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,處理壓力為8~12Pa。 The plasma etching method according to the first aspect of the invention, wherein the processing pressure is 8 to 12 Pa. 如申請專利範圍第1項所記載之電漿蝕刻方法,其中,上述矽層是以多晶矽或是單晶矽為主成分。 The plasma etching method according to claim 1, wherein the tantalum layer is mainly composed of polycrystalline germanium or single crystal germanium.
TW96109942A 2006-03-23 2007-03-22 Plasma etching method TWI401741B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006080464A JP4877747B2 (en) 2006-03-23 2006-03-23 Plasma etching method

Publications (2)

Publication Number Publication Date
TW200746293A TW200746293A (en) 2007-12-16
TWI401741B true TWI401741B (en) 2013-07-11

Family

ID=38632365

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96109942A TWI401741B (en) 2006-03-23 2007-03-22 Plasma etching method

Country Status (3)

Country Link
JP (1) JP4877747B2 (en)
CN (1) CN100521105C (en)
TW (1) TWI401741B (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5102653B2 (en) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 Plasma etching method, plasma etching apparatus and computer storage medium
JP5264231B2 (en) * 2008-03-21 2013-08-14 東京エレクトロン株式会社 Plasma processing equipment
JP5064319B2 (en) * 2008-07-04 2012-10-31 東京エレクトロン株式会社 Plasma etching method, control program, and computer storage medium
JP5457021B2 (en) * 2008-12-22 2014-04-02 東京エレクトロン株式会社 Mixed gas supply method and mixed gas supply device
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
WO2012098759A1 (en) * 2011-01-17 2012-07-26 住友電気工業株式会社 Method for producing silicon carbide semiconductor device
JP5719648B2 (en) * 2011-03-14 2015-05-20 東京エレクトロン株式会社 Etching method and etching apparatus
JP5968130B2 (en) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
CN103489757A (en) * 2013-10-16 2014-01-01 信利半导体有限公司 Etching method for laminated insulating film
JP6315809B2 (en) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 Etching method
JP6541439B2 (en) * 2015-05-29 2019-07-10 東京エレクトロン株式会社 Etching method
JP6494424B2 (en) * 2015-05-29 2019-04-03 東京エレクトロン株式会社 Etching method
CN105206525A (en) * 2015-09-28 2015-12-30 上海华力微电子有限公司 Method for overcoming defects of grid vertex corner in germanium-silicon growing process
JP6670672B2 (en) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 Etching method
JP6929148B2 (en) * 2017-06-30 2021-09-01 東京エレクトロン株式会社 Etching method and etching equipment
JP6817168B2 (en) * 2017-08-25 2021-01-20 東京エレクトロン株式会社 How to process the object to be processed
JP7061941B2 (en) * 2018-08-06 2022-05-02 東京エレクトロン株式会社 Etching method and manufacturing method of semiconductor device
JP2022032467A (en) 2020-08-12 2022-02-25 東京エレクトロン株式会社 Etching method and plasma processing method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160077A (en) * 1991-12-05 1993-06-25 Sharp Corp Plasma etching method
JPH11214651A (en) * 1998-01-23 1999-08-06 Toshiba Corp Manufacture of semiconductor device
TW451395B (en) * 1999-06-30 2001-08-21 Lam Res Corp Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62154627A (en) * 1985-12-26 1987-07-09 Matsushita Electric Ind Co Ltd Dry etching method
JPH05217954A (en) * 1992-02-05 1993-08-27 Sharp Corp Detection method of dryetching end point
JP2001274141A (en) * 2000-03-27 2001-10-05 Sony Corp Method for manufacturing semiconductor device
JP2001358061A (en) * 2000-04-12 2001-12-26 Mitsubishi Electric Corp Method for manufacturing semiconductor device
JP3946724B2 (en) * 2004-01-29 2007-07-18 シャープ株式会社 Manufacturing method of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160077A (en) * 1991-12-05 1993-06-25 Sharp Corp Plasma etching method
JPH11214651A (en) * 1998-01-23 1999-08-06 Toshiba Corp Manufacture of semiconductor device
TW451395B (en) * 1999-06-30 2001-08-21 Lam Res Corp Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue

Also Published As

Publication number Publication date
JP4877747B2 (en) 2012-02-15
CN100521105C (en) 2009-07-29
CN101043004A (en) 2007-09-26
JP2007258426A (en) 2007-10-04
TW200746293A (en) 2007-12-16

Similar Documents

Publication Publication Date Title
TWI401741B (en) Plasma etching method
TWI508164B (en) Manufacturing method of semiconductor device
TWI503881B (en) A plasma etch method, a plasma etch apparatus, and a computer memory medium
JP4912907B2 (en) Plasma etching method and plasma etching apparatus
TWI618145B (en) Plasma etching method and plasma etching device
US7794617B2 (en) Plasma etching method, plasma processing apparatus, control program and computer readable storage medium
US20070184657A1 (en) Etching method
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
US20060021704A1 (en) Method and apparatus for etching Si
JP2011192718A (en) Plasma etching method, plasma etching apparatus, and computer-readable storage medium
JP5064319B2 (en) Plasma etching method, control program, and computer storage medium
US7902078B2 (en) Processing method and plasma etching method
KR20140021610A (en) Method for patterning a full metal gate structure
TW202213505A (en) Etching method and plasma processing apparatus
TW202209481A (en) Etching method and plasma processing apparatus
US10651077B2 (en) Etching method
JP2008172184A (en) Plasma etching method, plasma etching device, control program and computer storage medium
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
TW202403876A (en) Cryogenic atomic layer etch with noble gases
JP5804978B2 (en) Plasma etching method and computer recording medium
US20070197040A1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
TW201937593A (en) Plasma etching method and plasma etching apparatus
KR101139189B1 (en) Plasma etching method, plasma processing apparatus, control program and computer redable storage medium
US20070218691A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
US9460897B2 (en) Plasma etching method and plasma etching apparatus