JP5804978B2 - Plasma etching method and computer recording medium - Google Patents

Plasma etching method and computer recording medium Download PDF

Info

Publication number
JP5804978B2
JP5804978B2 JP2012046050A JP2012046050A JP5804978B2 JP 5804978 B2 JP5804978 B2 JP 5804978B2 JP 2012046050 A JP2012046050 A JP 2012046050A JP 2012046050 A JP2012046050 A JP 2012046050A JP 5804978 B2 JP5804978 B2 JP 5804978B2
Authority
JP
Japan
Prior art keywords
plasma etching
processing gas
gas
etching method
mask layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2012046050A
Other languages
Japanese (ja)
Other versions
JP2012195582A (en
Inventor
顕 中川
顕 中川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US13/410,432 priority Critical patent/US8679358B2/en
Priority to JP2012046050A priority patent/JP5804978B2/en
Publication of JP2012195582A publication Critical patent/JP2012195582A/en
Application granted granted Critical
Publication of JP5804978B2 publication Critical patent/JP5804978B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、プラズマエッチング方法及びコンピュータ記録媒体に関する。   The present invention relates to a plasma etching method and a computer recording medium.

従来から、半導体装置の製造工程においては、処理チャンバー内に配置した基板(例えば、半導体ウエハ)にプラズマを作用させてエッチングを行うプラズマエッチング方法が使用されている。例えば、半導体装置の製造工程において、二酸化シリコン膜にコンタクトホールを形成する場合などにおいてこのプラズマエッチング方法が使用されている。なお、コンタクトホールにおいては、高アスペクト比のコンタクトホール(HARC(High Aspect Ratio Contact))が要求されるようになっており、ボーイングの発生を抑制し、側壁形状を垂直に維持しつつこのようなコンタクトホールを形成することが困難になりつつある。   Conventionally, in a manufacturing process of a semiconductor device, a plasma etching method is used in which etching is performed by applying plasma to a substrate (for example, a semiconductor wafer) disposed in a processing chamber. For example, this plasma etching method is used when a contact hole is formed in a silicon dioxide film in a manufacturing process of a semiconductor device. The contact hole is required to have a high aspect ratio contact (HARC), which suppresses the occurrence of bowing and keeps the side wall shape vertical. It is becoming difficult to form contact holes.

このようなプラズマエッチング方法では、高い堆積性を有するガス条件のプラズマを作用させて保護膜を形成する期間と、低い堆積性を有するガス条件のプラズマを作用させてエッチングを進行させる期間とをエッチングの途中で切り替えて、複数ステップのエッチングを行う技術が知られている(例えば、特許文献1参照。)。   In such a plasma etching method, etching is performed during a period in which a protective film is formed by applying plasma under a gas condition having high deposition properties and a period in which etching is performed by applying plasma under gas conditions having low deposition properties. There is known a technique of performing a multi-step etching by switching in the middle of the process (for example, see Patent Document 1).

特開2006−278436号公報JP 2006-278436 A

上述したとおり、半導体装置の製造工程においては、高アスペクト比のコンタクトホールが要求されるようになっており、プラズマエッチングによって、ボーイングの発生を抑制し、側壁形状を垂直に維持しつつ高アスペクト比のコンタクトホールを形成することが困難になっている。   As described above, a contact hole with a high aspect ratio is required in the manufacturing process of a semiconductor device, and by plasma etching, the occurrence of bowing is suppressed and the side wall shape is kept vertical while maintaining a high aspect ratio. It is difficult to form a contact hole.

本発明は、かかる従来の事情に対処してなされたもので、ボーイングの発生を抑制し、側壁形状を垂直に維持しつつ高アスペクト比のコンタクトホールを形成することのできるプラズマエッチング方法及びコンピュータ記録媒体を提供することを目的とする。   The present invention has been made in response to such a conventional situation, and a plasma etching method and computer recording capable of forming a high aspect ratio contact hole while suppressing the occurrence of bowing and maintaining a vertical sidewall shape. The purpose is to provide a medium.

本発明のプラズマエッチング方法の一態様は、炭素(C)とフッ素(F)とを含む処理ガスのプラズマにより、マスク層を介してシリコン酸化膜にホールを形成するプラズマエッチング方法であって、炭素(C)とフッ素(F)の比率(C/F)が第1の値である第1処理ガスを含む処理ガスを用いたプラズマエッチングにより、前記マスク層の残量と、前記ホールのボーイングCDとの相関関係を調べ、ボーイングCDの変化量が増大する変化点に相当する前記マスク層の残量を求める準備工程と、前記第1処理ガスを含む処理ガスを用い、前記マスク層の残量が前記変化点となる手前までプラズマエッチングを行う第1プラズマエッチング工程と、前記第1プラズマエッチング工程の後に行う、第2プラズマエッチング工程と、を具備し、前記第2プラズマエッチング工程は、少なくとも、前記第1の値より炭素(C)とフッ素(F)の比率(C/F)が小さい第2処理ガスを含む処理ガスを用いたプラズマエッチングを行う期間を含むことを特徴とする。   One aspect of the plasma etching method of the present invention is a plasma etching method in which holes are formed in a silicon oxide film through a mask layer by plasma of a processing gas containing carbon (C) and fluorine (F). (C) and fluorine (F) ratio (C / F) is a first value by plasma etching using a processing gas containing a first processing gas, the remaining amount of the mask layer, and the bowing CD of the hole The remaining amount of the mask layer using a preparation gas for obtaining the remaining amount of the mask layer corresponding to the changing point at which the amount of change in the Boeing CD increases, and a processing gas containing the first processing gas Comprising: a first plasma etching step for performing plasma etching until the change point becomes a point before the change point; and a second plasma etching step for performing after the first plasma etching step. In the second plasma etching step, at least a period of performing plasma etching using a processing gas containing a second processing gas having a smaller ratio (C / F) of carbon (C) to fluorine (F) than the first value. It is characterized by including.

本発明によれば、ボーイングの発生を抑制し、側壁形状を垂直に維持しつつ高アスペクト比のコンタクトホールを形成することのできるプラズマエッチング方法及びコンピュータ記録媒体を提供することができる。   ADVANTAGE OF THE INVENTION According to this invention, the plasma etching method and computer recording medium which can suppress the generation | occurrence | production of a bow and can form a contact hole of a high aspect ratio, maintaining a side wall shape perpendicular | vertical can be provided.

本発明の一実施形態に用いるプラズマエッチング装置の概略構成を模式的に示す図。The figure which shows typically schematic structure of the plasma etching apparatus used for one Embodiment of this invention. 本発明の一実施形態に係るプラズマエッチング方法に用いる半導体ウエハの構造を模式的に示す図。The figure which shows typically the structure of the semiconductor wafer used for the plasma etching method which concerns on one Embodiment of this invention. 本発明の一実施形態に係るプラズマエッチング方法の工程を示すフローチャート。The flowchart which shows the process of the plasma etching method which concerns on one Embodiment of this invention. ボーイングCDとマスク残量の関係を示すグラフ。A graph showing the relationship between the Boeing CD and the remaining amount of mask. ボーイングCDの増加量とマスク残量との関係を説明するための図。The figure for demonstrating the relationship between the increase amount of Boeing CD, and a mask residual amount. エッチングガス種とデポの状態を調べた結果を示す図。The figure which shows the result of having investigated the etching gas seed | species and the state of a deposit. 実施例におけるボーイングCDとマスク残量の関係を示すグラフ。The graph which shows the relationship between the Boeing CD and mask remaining amount in an Example. 半導体ウエハ断面の状態を写した電子顕微鏡写真。An electron micrograph showing the state of a cross section of a semiconductor wafer. マスクトップCDとエッチング時間との関係を示すグラフ。The graph which shows the relationship between mask top CD and etching time. 本発明の他の実施形態に係るプラズマエッチング方法に用いる半導体ウエハの構造を模式的に示す図。The figure which shows typically the structure of the semiconductor wafer used for the plasma etching method which concerns on other embodiment of this invention. ボーイングCDとマスク残量の関係を示すグラフ。A graph showing the relationship between the Boeing CD and the remaining amount of mask. ボーイングCDとエッチング深さの関係を示すグラフ。A graph showing the relationship between the Boeing CD and the etching depth. エッチングガスの流量の変化を示すグラフ。The graph which shows the change of the flow volume of etching gas. ホール内の側壁形状の変化を模式的に示す図。The figure which shows typically the change of the side wall shape in a hall | hole.

以下、本発明の一実施形態について図面を参照して説明する。図1は、本実施形態に使用するプラズマエッチング装置の構成を示すものである。まず、プラズマエッチング装置の構成について説明する。   Hereinafter, an embodiment of the present invention will be described with reference to the drawings. FIG. 1 shows the configuration of a plasma etching apparatus used in this embodiment. First, the configuration of the plasma etching apparatus will be described.

プラズマエッチング装置は、気密に構成され、電気的に接地電位とされた処理チャンバー1を有している。この処理チャンバー1は、円筒状とされ、例えば表面に陽極酸化被膜を形成されたアルミニウム等から構成されている。処理チャンバー1内には、被処理基板である半導体ウエハWを水平に支持する載置台2が設けられている。   The plasma etching apparatus has a processing chamber 1 that is airtight and electrically grounded. The processing chamber 1 has a cylindrical shape, and is made of, for example, aluminum having an anodized film formed on the surface thereof. In the processing chamber 1, a mounting table 2 that horizontally supports a semiconductor wafer W as a substrate to be processed is provided.

載置台2は、その基材2aが導電性の金属、例えばアルミニウム等で構成されており、下部電極としての機能を有する。この載置台2は、絶縁板3を介して導体の支持台4に支持されている。また、載置台2の上方の外周には、例えば単結晶シリコンで形成されたフォーカスリング5が設けられている。さらに、載置台2及び支持台4の周囲を囲むように、例えば石英等からなる円筒状の内壁部材3aが設けられている。   The mounting table 2 has a base 2a made of a conductive metal, such as aluminum, and has a function as a lower electrode. The mounting table 2 is supported by a conductor support 4 via an insulating plate 3. A focus ring 5 made of, for example, single crystal silicon is provided on the outer periphery above the mounting table 2. Further, a cylindrical inner wall member 3 a made of, for example, quartz is provided so as to surround the periphery of the mounting table 2 and the support table 4.

載置台2の基材2aには、第1の整合器11aを介して第1の高周波電源10aが接続され、また、第2の整合器11bを介して第2の高周波電源10bが接続されている。第1の高周波電源10aは、プラズマ発生用のものであり、この第1の高周波電源10aからは所定周波数(27MHz以上例えば40MHz)の高周波電力が載置台2の基材2aに供給されるようになっている。また、第2の高周波電源10bは、イオン引き込み用(バイアス用)のものであり、この第2の高周波電源10bからは第1の高周波電源10aより低い所定周波数(13.56MHz以下、例えば3.2MHz)の高周波電力が載置台2の基材2aに供給されるようになっている。一方、載置台2の上方には、載置台2と平行に対向するように、上部電極としての機能を有するシャワーヘッド16が設けられており、シャワーヘッド16と載置台2は、一対の電極(上部電極と下部電極)として機能するようになっている。   A first high frequency power source 10a is connected to the base material 2a of the mounting table 2 via a first matching unit 11a, and a second high frequency power source 10b is connected via a second matching unit 11b. Yes. The first high frequency power supply 10a is for generating plasma, and high frequency power of a predetermined frequency (27 MHz or more, for example, 40 MHz) is supplied from the first high frequency power supply 10a to the base material 2a of the mounting table 2. It has become. The second high-frequency power source 10b is for ion attraction (bias), and the second high-frequency power source 10b has a predetermined frequency (13.56 MHz or less, for example, 3.5 MHz or lower) than that of the first high-frequency power source 10a. 2 MHz) is supplied to the base material 2 a of the mounting table 2. On the other hand, a shower head 16 having a function as an upper electrode is provided above the mounting table 2 so as to face the mounting table 2 in parallel. The shower head 16 and the mounting table 2 have a pair of electrodes ( Upper electrode and lower electrode).

載置台2の上面には、半導体ウエハWを静電吸着するための静電チャック6が設けられている。この静電チャック6は絶縁体6bの間に電極6aを介在させて構成されており、電極6aには直流電源12が接続されている。そして電極6aに直流電源12から直流電圧が印加されることにより、クーロン力によって半導体ウエハWが静電吸着されるよう構成されている。   An electrostatic chuck 6 for electrostatically attracting the semiconductor wafer W is provided on the upper surface of the mounting table 2. The electrostatic chuck 6 is configured by interposing an electrode 6a between insulators 6b, and a DC power source 12 is connected to the electrode 6a. The semiconductor wafer W is configured to be electrostatically attracted by the Coulomb force when a DC voltage is applied to the electrode 6a from the DC power source 12.

支持台4の内部には、冷媒流路2bが形成されており、冷媒流路2bには、冷媒入口配管2c、冷媒出口配管2dが接続されている。そして、冷媒流路2bの中に適宜の冷媒、例えば冷却水等を循環させることによって、支持台4及び載置台2を所定の温度に制御可能となっている。また、載置台2等を貫通するように、半導体ウエハWの裏面側にヘリウムガス等の冷熱伝達用ガス(Heガス等のバックサイドガス)を供給するためのバックサイドガス供給配管30が設けられており、このバックサイドガス供給配管30は、図示しないバックサイドガス供給源に接続されている。これらの構成によって、載置台2の上面に静電チャック6によって吸着保持された半導体ウエハWを、所定の温度に制御可能となっている。   A refrigerant channel 2b is formed inside the support base 4, and a refrigerant inlet pipe 2c and a refrigerant outlet pipe 2d are connected to the refrigerant channel 2b. The support 4 and the mounting table 2 can be controlled to a predetermined temperature by circulating an appropriate refrigerant such as cooling water in the refrigerant flow path 2b. Further, a backside gas supply pipe 30 for supplying cold transfer gas such as helium gas (backside gas such as He gas) to the back side of the semiconductor wafer W is provided so as to penetrate the mounting table 2 and the like. The backside gas supply pipe 30 is connected to a backside gas supply source (not shown). With these configurations, the semiconductor wafer W attracted and held on the upper surface of the mounting table 2 by the electrostatic chuck 6 can be controlled to a predetermined temperature.

上記したシャワーヘッド16は、処理チャンバー1の天壁部分に設けられている。シャワーヘッド16は、本体部16aと電極板をなす上部天板(シャワープレート)16bとを備えており、絶縁性部材45を介して処理チャンバー1の上部に支持されている。本体部16aは、導電性材料、例えば表面が陽極酸化処理されたアルミニウムからなり、その下部に上部天板16bを着脱自在に支持できるように構成されている。   The shower head 16 described above is provided on the top wall portion of the processing chamber 1. The shower head 16 includes a main body portion 16 a and an upper top plate (shower plate) 16 b forming an electrode plate, and is supported on the upper portion of the processing chamber 1 via an insulating member 45. The main body portion 16a is made of a conductive material, for example, aluminum whose surface is anodized, and is configured such that the upper top plate 16b can be detachably supported at the lower portion thereof.

本体部16aの内部には、ガス拡散室16c,16dが設けられ、このガス拡散室16c,16dの下部に位置するように、本体部16aの底部には、多数のガス通流孔16eが形成されている。ガス拡散室は、複数分割され、例えば、中央部に設けられたガス拡散室16cと、周縁部に設けられたガス拡散室16dとに2分割されており、中央部と周縁部とで独立に処理ガスの供給状態を変更できるようになっている。   Gas diffusion chambers 16c and 16d are provided inside the main body portion 16a, and a large number of gas flow holes 16e are formed at the bottom of the main body portion 16a so as to be positioned below the gas diffusion chambers 16c and 16d. Has been. The gas diffusion chamber is divided into a plurality of parts, for example, a gas diffusion chamber 16c provided in the central part and a gas diffusion chamber 16d provided in the peripheral part, and the central part and the peripheral part are independently provided. The supply state of the processing gas can be changed.

また、上部天板16bには、当該上部天板16bを厚さ方向に貫通するようにガス導入孔16fが、上記したガス通流孔16eと重なるように設けられている。このような構成により、ガス拡散室16c,16dに供給された処理ガスは、ガス通流孔16e及びガス導入孔16fを介して処理チャンバー1内にシャワー状に分散されて供給されるようになっている。なお、本体部16a等には、冷媒を循環させるための図示しない配管が設けられており、プラズマエッチング処理中にシャワーヘッド16を所望温度に温度制御できるようになっている。   The upper top plate 16b is provided with a gas introduction hole 16f so as to penetrate the upper top plate 16b in the thickness direction so as to overlap the gas flow hole 16e. With such a configuration, the processing gas supplied to the gas diffusion chambers 16c and 16d is dispersed and supplied into the processing chamber 1 through the gas flow holes 16e and the gas introduction holes 16f. ing. The main body 16a and the like are provided with a pipe (not shown) for circulating the refrigerant so that the temperature of the shower head 16 can be controlled to a desired temperature during the plasma etching process.

上記した本体部16aには、ガス拡散室16c,16dへ処理ガスを導入するための2つのガス導入口16g,16hが形成されている。これらのガス導入口16g,16hにはガス供給配管15a,15bが接続されており、このガス供給配管15a,15bの他端には、エッチング用の処理ガスを供給する処理ガス供給源15が接続されている。ガス供給配管15aには、上流側から順にマスフローコントローラ(MFC)15c、及び開閉弁V1が設けられている。また、ガス供給配管15bには、上流側から順にマスフローコントローラ(MFC)15d、及び開閉弁V2が設けられている。   In the main body 16a, two gas inlets 16g and 16h for introducing the processing gas into the gas diffusion chambers 16c and 16d are formed. Gas supply pipes 15a and 15b are connected to the gas inlets 16g and 16h, and a processing gas supply source 15 for supplying a processing gas for etching is connected to the other ends of the gas supply pipes 15a and 15b. Has been. The gas supply pipe 15a is provided with a mass flow controller (MFC) 15c and an on-off valve V1 in order from the upstream side. The gas supply pipe 15b is provided with a mass flow controller (MFC) 15d and an on-off valve V2 in order from the upstream side.

そして、処理ガス供給源15からプラズマエッチングのための処理ガスが、ガス供給配管15a,15bを介してガス拡散室16c,16dに供給され、このガス拡散室16c,16dから、ガス通流孔16e及びガス導入孔16fを介して処理チャンバー1内にシャワー状に分散されて供給される。   Then, a processing gas for plasma etching is supplied from the processing gas supply source 15 to the gas diffusion chambers 16c and 16d via the gas supply pipes 15a and 15b, and the gas flow holes 16e are supplied from the gas diffusion chambers 16c and 16d. In addition, they are dispersed and supplied into the processing chamber 1 through the gas introduction holes 16f.

上記した上部電極としてのシャワーヘッド16には、ローパスフィルタ(LPF)51を介して可変直流電源52が電気的に接続されている。この可変直流電源52は、オン・オフスイッチ53により給電のオン・オフが可能となっている。可変直流電源52の電流・電圧ならびにオン・オフスイッチ53のオン・オフは、後述する制御部60によって制御されるようになっている。なお、後述のように、第1の高周波電源10a、第2の高周波電源10bから高周波が載置台2に印加されて処理空間にプラズマが発生する際には、必要に応じて制御部60によりオン・オフスイッチ53がオンとされ、上部電極としてのシャワーヘッド16に所定の直流電圧が印加される。   A variable DC power source 52 is electrically connected to the shower head 16 as the upper electrode through a low-pass filter (LPF) 51. The variable DC power supply 52 can be turned on / off by an on / off switch 53. The current / voltage of the variable DC power supply 52 and the on / off of the on / off switch 53 are controlled by a control unit 60 described later. As will be described later, when a high frequency is applied from the first high frequency power supply 10a and the second high frequency power supply 10b to the mounting table 2 to generate plasma in the processing space, the control unit 60 turns on as necessary. The off switch 53 is turned on, and a predetermined DC voltage is applied to the shower head 16 as the upper electrode.

処理チャンバー1の側壁からシャワーヘッド16の高さ位置よりも上方に延びるように円筒状の接地導体1aが設けられている。この円筒状の接地導体1aは、その上部に天壁を有している。   A cylindrical grounding conductor 1 a is provided so as to extend upward from the side wall of the processing chamber 1 above the height position of the shower head 16. The cylindrical ground conductor 1a has a top wall at the top.

処理チャンバー1の底部には、排気口71が形成されており、この排気口71には、排気管72を介して排気装置73が接続されている。排気装置73は、真空ポンプを有しており、この真空ポンプを作動させることにより処理チャンバー1内を所定の真空度まで減圧することができるようになっている。一方、処理チャンバー1の側壁には、半導体ウエハWの搬入出口74が設けられており、この搬入出口74には、当該搬入出口74を開閉するゲートバルブ75が設けられている。   An exhaust port 71 is formed at the bottom of the processing chamber 1, and an exhaust device 73 is connected to the exhaust port 71 via an exhaust pipe 72. The exhaust device 73 has a vacuum pump, and the inside of the processing chamber 1 can be depressurized to a predetermined degree of vacuum by operating the vacuum pump. On the other hand, a loading / unloading port 74 for the semiconductor wafer W is provided on the side wall of the processing chamber 1, and a gate valve 75 for opening and closing the loading / unloading port 74 is provided at the loading / unloading port 74.

図中76,77は、着脱自在とされたデポシールドである。デポシールド76は、処理チャンバー1の内壁面に沿って設けられ、処理チャンバー1にエッチング副生物(デポ)が付着することを防止する役割を有している。このデポシールド76の半導体ウエハWと略同じ高さ位置には、直流的にグランドに接続された導電性部材(GNDブロック)79が設けられており、これにより異常放電が防止される。   In the figure, reference numerals 76 and 77 denote depot shields that are detachable. The deposition shield 76 is provided along the inner wall surface of the processing chamber 1, and has a role of preventing etching by-products (deposition) from adhering to the processing chamber 1. A conductive member (GND block) 79 connected to the ground in a DC manner is provided at substantially the same height as the semiconductor wafer W of the deposition shield 76, thereby preventing abnormal discharge.

上記構成のプラズマエッチング装置は、制御部60によって、その動作が統括的に制御される。この制御部60には、CPUを備えプラズマエッチング装置の各部を制御するプロセスコントローラ61と、ユーザインターフェース62と、記憶部63とが設けられている。   The operation of the plasma etching apparatus having the above configuration is comprehensively controlled by the control unit 60. The control unit 60 includes a process controller 61 that includes a CPU and controls each unit of the plasma etching apparatus, a user interface 62, and a storage unit 63.

ユーザインターフェース62は、工程管理者がプラズマエッチング装置を管理するためにコマンドの入力操作を行うキーボードや、プラズマエッチング装置の稼働状況を可視化して表示するディスプレイ等から構成されている。   The user interface 62 includes a keyboard that allows a process manager to input commands in order to manage the plasma etching apparatus, a display that visualizes and displays the operating status of the plasma etching apparatus, and the like.

記憶部63には、プラズマエッチング装置で実行される各種処理をプロセスコントローラ61の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記憶されたレシピが格納されている。そして、必要に応じて、ユーザインターフェース62からの指示等にて任意のレシピを記憶部63から呼び出してプロセスコントローラ61に実行させることで、プロセスコントローラ61の制御下で、プラズマエッチング装置での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読取り可能なコンピュータ記録媒体(例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等)などに格納された状態のものを利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。   The storage unit 63 stores a recipe in which a control program (software) for realizing various processes executed by the plasma etching apparatus under the control of the process controller 61 and processing condition data are stored. Then, if necessary, an arbitrary recipe is called from the storage unit 63 by an instruction from the user interface 62 and executed by the process controller 61, so that a desired process in the plasma etching apparatus is performed under the control of the process controller 61. Processing is performed. Also, recipes such as control programs and processing condition data may be stored in a computer-readable computer recording medium (for example, hard disk, CD, flexible disk, semiconductor memory, etc.), or It is also possible to transmit the data from other devices as needed via a dedicated line and use it online.

次に、上記構成のプラズマエッチング装置で、半導体ウエハWに形成された二酸化シリコン層等をプラズマエッチングする手順について説明する。まず、ゲートバルブ75が開かれ、半導体ウエハWが図示しない搬送ロボット等により、図示しないロードロック室を介して搬入出口74から処理チャンバー1内に搬入され、載置台2上に載置される。この後、搬送ロボットを処理チャンバー1外に退避させ、ゲートバルブ75を閉じる。そして、排気装置73の真空ポンプにより排気口71を介して処理チャンバー1内が排気される。   Next, a procedure for plasma etching the silicon dioxide layer and the like formed on the semiconductor wafer W by the plasma etching apparatus having the above configuration will be described. First, the gate valve 75 is opened, and the semiconductor wafer W is loaded into the processing chamber 1 from the loading / unloading port 74 via a load lock chamber (not shown) by a transfer robot (not shown) and mounted on the mounting table 2. Thereafter, the transfer robot is retracted out of the processing chamber 1 and the gate valve 75 is closed. Then, the inside of the processing chamber 1 is exhausted through the exhaust port 71 by the vacuum pump of the exhaust device 73.

処理チャンバー1内が所定の真空度になった後、処理チャンバー1内には処理ガス供給源15から所定の処理ガス(エッチングガス)が導入され、処理チャンバー1内が所定の圧力に保持される。この時、処理ガス供給源15からの処理ガスの供給状態を、中央部と周縁部とで異ならせることができ、また、処理ガスの全体の供給量のうち、中央部からの供給量と周縁部からの供給量との比率を所望の値に制御することができる。   After the inside of the processing chamber 1 reaches a predetermined degree of vacuum, a predetermined processing gas (etching gas) is introduced from the processing gas supply source 15 into the processing chamber 1 and the inside of the processing chamber 1 is maintained at a predetermined pressure. . At this time, the supply state of the processing gas from the processing gas supply source 15 can be made different between the central portion and the peripheral portion, and the supply amount from the central portion and the peripheral portion of the entire processing gas supply amount. The ratio with the supply amount from the section can be controlled to a desired value.

そして、この状態で第1の高周波電源10aから載置台2の基材2aに、周波数が例えば40MHzの高周波電力が供給される。また、第2の高周波電源10bからは、イオン引き込みのため、載置台2の基材2aに周波数が例えば3.2MHzの高周波電力(バイアス用)が供給される。このとき、直流電源12から静電チャック6の電極6aに所定の直流電圧が印加され、半導体ウエハWはクーロン力により静電チャック6に吸着される。   In this state, high-frequency power having a frequency of, for example, 40 MHz is supplied from the first high-frequency power source 10a to the base material 2a of the mounting table 2. Further, from the second high frequency power supply 10b, high frequency power (for bias) having a frequency of, for example, 3.2 MHz is supplied to the base material 2a of the mounting table 2 for ion attraction. At this time, a predetermined DC voltage is applied from the DC power source 12 to the electrode 6a of the electrostatic chuck 6, and the semiconductor wafer W is attracted to the electrostatic chuck 6 by Coulomb force.

上述のようにして下部電極である載置台2に高周波電力が印加されることにより、上部電極であるシャワーヘッド16と下部電極である載置台2との間には電界が形成される。この電界により、半導体ウエハWが存在する処理空間には放電が生じ、それによって形成された処理ガスのプラズマにより、半導体ウエハW上に形成されたに二酸化シリコン層等がエッチング処理される。   As described above, an electric field is formed between the shower head 16 as the upper electrode and the mounting table 2 as the lower electrode by applying high-frequency power to the mounting table 2 as the lower electrode. Due to this electric field, a discharge is generated in the processing space where the semiconductor wafer W exists, and the silicon dioxide layer and the like formed on the semiconductor wafer W are etched by the plasma of the processing gas formed thereby.

また、前述したとおり、プラズマ処理中にシャワーヘッド16に直流電圧を印加することができるので次のような効果がある。すなわち、プロセスによっては、高い電子密度でかつ低いイオンエネルギーであるプラズマが要求される場合がある。このような場合に直流電圧を用いれば、半導体ウエハWに打ち込まれるイオンエネルギーが抑えられつつプラズマの電子密度が増加されることにより、半導体ウエハWのエッチング対象となる膜のエッチングレートが上昇すると共にエッチング対象の上部に設けられたマスクとなる膜へのスパッタレートが低下して選択性が向上する。   Further, as described above, a direct current voltage can be applied to the shower head 16 during the plasma processing, and therefore the following effects are obtained. That is, depending on the process, a plasma having a high electron density and low ion energy may be required. If a DC voltage is used in such a case, the ion energy injected into the semiconductor wafer W is suppressed, the plasma electron density is increased, and the etching rate of the film to be etched of the semiconductor wafer W is increased. The sputter rate to the film serving as a mask provided on the upper part of the etching target is lowered, and the selectivity is improved.

そして、上記したエッチング処理が終了すると、高周波電力の供給、直流電圧の供給及び処理ガスの供給が停止され、上記した手順とは逆の手順で、半導体ウエハWが処理チャンバー1内から搬出される。   When the etching process described above is completed, the supply of high-frequency power, the supply of DC voltage, and the supply of processing gas are stopped, and the semiconductor wafer W is unloaded from the processing chamber 1 by a procedure reverse to the procedure described above. .

次に、本発明の一実施形態に係るプラズマエッチング方法について、高アスペクト比のコンタクトホールを形成する場合について説明する。図2は、プラズマエッチングされる半導体ウエハWの断面構成を模式的に示すものである。また、図3は、本発明の一実施形態に係るプラズマエッチング方法の工程を示すフローチャートである。   Next, the plasma etching method according to an embodiment of the present invention will be described in the case where a high aspect ratio contact hole is formed. FIG. 2 schematically shows a cross-sectional configuration of a semiconductor wafer W to be plasma etched. FIG. 3 is a flowchart showing the steps of the plasma etching method according to one embodiment of the present invention.

図2に示すように、被処理基板としての半導体ウエハWには二酸化シリコン(SiO)層201(厚さ2500nm)が形成されている。二酸化シリコン(SiO)層201の上には、カーボン層202(厚さ900nm)が形成されており、カーボン層202の上には、SiON層203、反射防止層(BARC)204が形成されている。反射防止層(204)の上には、所定形状にパターニングされ、複数(図2には1つのみ示す。)のホール状の開口206が形成されたフォトレジスト層205が形成されている。 As shown in FIG. 2, a silicon dioxide (SiO 2 ) layer 201 (thickness 2500 nm) is formed on a semiconductor wafer W as a substrate to be processed. A carbon layer 202 (thickness 900 nm) is formed on the silicon dioxide (SiO 2 ) layer 201, and an SiON layer 203 and an antireflection layer (BARC) 204 are formed on the carbon layer 202. Yes. On the antireflection layer (204), a photoresist layer 205 is formed which is patterned into a predetermined shape and has a plurality of (only one is shown in FIG. 2) hole-shaped openings 206.

本実施形態では、フォトレジスト層205をマスクとして、反射防止層204、SiON層203、カーボン層202をプラズマエッチングする。そして、実質的にカーボン層202をマスクとして、二酸化シリコン層201をプラズマエッチングし、高アスペクト比のホール201aを形成する。   In this embodiment, the antireflection layer 204, the SiON layer 203, and the carbon layer 202 are plasma etched using the photoresist layer 205 as a mask. Then, using the carbon layer 202 as a mask, the silicon dioxide layer 201 is plasma-etched to form a high aspect ratio hole 201a.

二酸化シリコン層201のプラズマエッチングでは、炭素(C)とフッ素(F)とを含む処理ガス、例えば、Cガス、Cガス、Cガス等を用いる。この二酸化シリコン層201のプラズマエッチング工程では、図3のフローチャートに示すように、まず準備工程を行って、後述する変化点(屈曲点)のマスク残量を求める(図3に示す工程301)。そして、この後、第1プラズマエッチング工程(図3に示す工程302)と、この第1プラズマエッチング工程の後に行う、第2プラズマエッチング工程と(図3に示す工程304)を行う。 In the plasma etching of the silicon dioxide layer 201, a processing gas containing carbon (C) and fluorine (F), for example, C 4 F 6 gas, C 4 F 8 gas, C 3 F 8 gas, or the like is used. In the plasma etching process of the silicon dioxide layer 201, as shown in the flowchart of FIG. 3, a preparatory process is first performed to determine the remaining amount of mask at a change point (bending point) described later (process 301 shown in FIG. 3). Thereafter, a first plasma etching step (step 302 shown in FIG. 3) and a second plasma etching step (step 304 shown in FIG. 3) performed after the first plasma etching step are performed.

上記第1プラズマエッチング工程では、炭素(C)とフッ素(F)の比率(C/F)が第1の値である第1処理ガスを含む処理ガスを用い、第2プラズマエッチング工程では、炭素(C)とフッ素(F)の比率(C/F)が第1の値より小さい第2処理ガスを含む処理ガスを用いる。第1処理ガスとしては、例えば、Cガス(C/F=2/3)又はCガス(C/F=1/2)を用いる。一方、第2処理ガスとしては、例えば、Cガス(C/F=3/8)等を用いる。 In the first plasma etching step, a processing gas containing a first processing gas having a first ratio of carbon (C) to fluorine (F) (C / F) is used, and in the second plasma etching step, carbon is used. A processing gas containing a second processing gas in which the ratio (C / F) of (C) to fluorine (F) is smaller than the first value is used. For example, C 4 F 6 gas (C / F = 2/3) or C 4 F 8 gas (C / F = 1/2) is used as the first processing gas. On the other hand, as the second processing gas, for example, C 3 F 8 gas (C / F = 3/8) or the like is used.

準備工程では、上記した第1処理ガスを含む処理ガスを用いたプラズマエッチングにより、マスク層の残量(マスク残量)と、ホールのボーイングCDとの相関関係を調べ、ボーイングCDの変化量が増大する変化点(屈曲点)に相当するマスク残量を求める(図3に示す工程301)。例えば、第1処理ガスとしてCガスを用い、Cガス/Ar/Oのガス系で準備工程を行う。この場合、例えば縦軸をボーイングCD(nm)、横軸をマスク残量(nm)とした図4のグラフに示すように、マスク残量が一定の値(図4の例では400nm付近)以下となると、ボーイングCDの変化量が増大する。この時のマスク残量の値が変化点(屈曲点)となる。 In the preparation step, the correlation between the remaining amount of the mask layer (the remaining amount of the mask) and the boeing CD of the hole is examined by plasma etching using the process gas including the first process gas, and the change amount of the bowing CD is determined. The remaining mask amount corresponding to the increasing change point (bending point) is obtained (step 301 shown in FIG. 3). For example, C 4 F 6 gas is used as the first processing gas, and the preparation process is performed in a gas system of C 4 F 6 gas / Ar / O 2 . In this case, for example, as shown in the graph of FIG. 4 where the vertical axis represents the bowing CD (nm) and the horizontal axis represents the remaining mask amount (nm), the remaining mask amount is equal to or less than a certain value (in the example of FIG. Then, the amount of change in the Boeing CD increases. The value of the remaining amount of mask at this time becomes a change point (bending point).

上記のように、マスク残量とボーイングCDの変化量との関係において、ボーイングCDの変化量が増大する変化点となるマスク残量があるのは、以下のような理由であると推測される。すなわち、図5(a)に示すように、マスク残量が多い場合は、マスク(カーボン層202)の入口付近(マスク側壁にデポが張り出すように堆積した張出し部)に衝突して斜めに進行方向を曲げられたイオンが、マスク(カーボン層202)の側壁部分に衝突する。しかし、図5(b)に示すように、マスク残量が少なくなった場合は、マスク(カーボン層202)の入口付近で斜め方向に曲げられたイオンが、二酸化シリコン層201のホール201a内の側壁に衝突してエッチングされ、ホール201a内にボーイングが発生する。このようなメカニズムにより、マスク残量が一定値以下になると、急激にボーイング量が増加する。   As described above, in the relationship between the remaining amount of the mask and the amount of change in the Boeing CD, it is assumed that there is a remaining amount of the mask that is a changing point at which the amount of change in the Boeing CD increases. . That is, as shown in FIG. 5A, when the remaining amount of the mask is large, it collides with the vicinity of the entrance of the mask (carbon layer 202) (the overhanging portion deposited so that the depot overhangs the mask side wall) and obliquely. The ions whose traveling direction is bent collide with the side wall portion of the mask (carbon layer 202). However, as shown in FIG. 5B, when the remaining amount of the mask is reduced, ions bent obliquely in the vicinity of the entrance of the mask (carbon layer 202) are in the holes 201a of the silicon dioxide layer 201. Etching is performed by colliding with the side wall, and bowing occurs in the hole 201a. With such a mechanism, when the remaining amount of the mask falls below a certain value, the bowing amount increases rapidly.

このため、上記の準備工程で求めたボーイングCDの変化量が増大する変化点(屈曲点)に相当するマスク層の残量となった時点において(図3に示す工程303)、第1プラズマエッチング工程(図3に示す工程302)から、第2プラズマエッチング工程(図3に示す工程304)に切り替える。なお、上記の変化点(屈曲点)は、初期のマスク(フォトレジスト層205)の上端の開口径(マスクトップCD)によって変動し、初期のマスクの上端の開口径が50nmより大きい場合には、400nmより大きくなり、初期のマスクの上端の開口径が50nmより小さい場合には、400nmより小さくなる。このような変化点(屈曲点)となるマスク層の残量の範囲は、種々のプロセス条件やマスクCDやマスク材料により変化するが、100〜500nm程度の範囲である。好ましくは100〜400nm程度の範囲、さらには200〜400nm程度の範囲である。   For this reason, when the remaining amount of the mask layer corresponding to the change point (bending point) at which the change amount of the bowing CD obtained in the above preparation step increases (step 303 shown in FIG. 3), the first plasma etching is performed. The step (step 302 shown in FIG. 3) is switched to the second plasma etching step (step 304 shown in FIG. 3). The above change point (bending point) varies depending on the opening diameter (mask top CD) of the upper end of the initial mask (photoresist layer 205), and when the opening diameter of the upper end of the initial mask is larger than 50 nm. When the opening diameter at the upper end of the initial mask is smaller than 50 nm, it is smaller than 400 nm. The range of the remaining amount of the mask layer serving as such a change point (bending point) varies depending on various process conditions, the mask CD, and the mask material, but is in the range of about 100 to 500 nm. Preferably it is the range of about 100-400 nm, Furthermore, it is the range of about 200-400 nm.

第1プラズマエッチング工程(図3に示す工程302)では、炭素(C)とフッ素(F)の比率(C/F)が第2処理ガスに比べて相対的に高い第1処理ガスを用いたプラズマエッチングを行う。例えばCガス/Ar/Oのガス系等を用いてプラズマエッチングを行う。炭素(C)とフッ素(F)の比率(C/F)が高い第1処理ガスは、所謂デポ系のガスであり、カーボンに対して高選択比で二酸化シリコンをエッチングすることができる。 In the first plasma etching step (step 302 shown in FIG. 3), the first processing gas having a relatively high carbon (C) to fluorine (F) ratio (C / F) as compared to the second processing gas was used. Plasma etching is performed. For example, plasma etching is performed using a gas system of C 4 F 6 gas / Ar / O 2 or the like. The first processing gas having a high ratio of carbon (C) to fluorine (F) (C / F) is a so-called deposition gas, and can etch silicon dioxide at a high selectivity with respect to carbon.

第2プラズマエッチング工程(図3に示す工程304)では、炭素(C)とフッ素(F)の比率(C/F)が第1処理ガスに比べて相対的に小さい第2処理ガスを用いたプラズマエッチングを行う期間を含んでいる。この第2処理ガスを用いたプラズマエッチングは、例えばCガス/Ar/Oのガス系等を用いる。炭素(C)とフッ素(F)の比率(C/F)が低い第2処理ガスは、デポの少ないガスであり、カーボンに対する二酸化シリコンの選択比は低くなる。 In the second plasma etching step (step 304 shown in FIG. 3), a second processing gas having a relatively small ratio of carbon (C) to fluorine (F) (C / F) as compared to the first processing gas was used. A period for performing plasma etching is included. The plasma etching using the second processing gas uses, for example, a C 3 F 8 gas / Ar / O 2 gas system. The second processing gas having a low ratio of carbon (C) to fluorine (F) (C / F) is a gas having a small amount of deposit, and the selectivity of silicon dioxide to carbon is low.

このため、第2プラズマエッチング工程(図3に示す工程304)では、第2処理ガスを用いた2−1プラズマエッチング工程を行う期間(図3に示す工程305)と、第1処理ガスを用いた2−2プラズマエッチング工程を行う期間(図3に示す工程306)とを交互に短時間(例えば10秒程度)で複数回、エッチングが終了するまで繰り返すようにしてもよい(図3に示す工程307)。これによって、ボーイングCDの増加を抑制しつつ必要な選択比を確保することができる。   For this reason, in the second plasma etching step (step 304 shown in FIG. 3), the period for performing the 2-1 plasma etching step using the second processing gas (step 305 shown in FIG. 3) and the first processing gas are used. The period of performing the 2-2 plasma etching step (step 306 shown in FIG. 3) may be alternately repeated a plurality of times in a short time (eg, about 10 seconds) until the etching is completed (shown in FIG. 3). Step 307). As a result, a necessary selection ratio can be secured while suppressing an increase in the Boeing CD.

図6は、Cガスを用いた場合と、Cガスを用いた場合のデポの状態の相違を調べた結果を模式的に示したものである。図6(a)に示すように、Cガスを用いた場合、ホールの入口付近に多くデポする傾向があり、Cガスの流量が増大するに従い、デポがホールの内側に多く張り出した状態となる。一方、図6(b)に示すように、Cガスを用いた場合、ホールの入口付近のデポが少なく、ホールの内側への張り出し量も少ない。つまり、デポのし易い条件でエッチングし、デポの張り出しとマスクの残量とからの変化点(屈曲点)に達したらデポの少ない条件でエッチングすることでボーイングを抑制できる。 FIG. 6 schematically shows the results of examining the difference in the state of the deposit when using C 4 F 6 gas and when using C 3 F 8 gas. As shown in FIG. 6 (a), when C 4 F 6 gas is used, there is a tendency to deposit a lot near the entrance of the hole. As the flow rate of C 4 F 6 gas increases, the depot moves to the inside of the hole. Many overhangs. On the other hand, as shown in FIG. 6B, when C 3 F 8 gas is used, the deposit near the entrance of the hole is small, and the amount of protrusion to the inside of the hole is small. In other words, etching is performed under conditions that facilitate deposition, and bowing can be suppressed by performing etching under conditions with less deposition when reaching a change point (bending point) between the deposition of the deposition and the remaining amount of the mask.

実施例として、まず、図1に示した構造のエッチング装置を使用し、図2に示した構造の半導体ウエハWに対して以下の条件で準備工程を実施した。   As an example, first, an etching apparatus having the structure shown in FIG. 1 was used, and a preparation process was performed on the semiconductor wafer W having the structure shown in FIG. 2 under the following conditions.

圧力:3.99Pa(30mTorr)
処理ガス:C/Ar/O=50/600/47sccm
高周波電力(高い周波数/低い周波数):1700W/4500W
直流電圧:−300V
中央部のガス流量比:50%
ヘリウムガス圧力(中央部/周縁部):2.0kPa/5.32kPa(15Torr/40Torr)
温度(上部/側壁部/下部):150/150/10℃
Pressure: 3.99 Pa (30 mTorr)
Processing gas: C 4 F 6 / Ar / O 2 = 50/600/47 sccm
High frequency power (high frequency / low frequency): 1700W / 4500W
DC voltage: -300V
Gas flow ratio in the center: 50%
Helium gas pressure (center / periphery): 2.0 kPa / 5.32 kPa (15 Torr / 40 Torr)
Temperature (upper / side wall / lower): 150/150/10 ° C.

縦軸をボーイングCD(nm)、横軸をマスク残量(nm)とした図7のグラフに上記の準備工程におけるボーイングCDとマスク残量との関係を示す。同図に示す実線Bのように、この準備工程では、マスク残量が420nm付近未満になると、ボーイングCDの増加量が増大した。したがって、マスク残量420nm付近が変化点(屈曲点)であることが分かった。なお、この場合、フォトレジスト層205の初期の開口径が53nmであるのに対して、最終的なボーイングCDは68nmとなった。この場合の電子顕微鏡写真を図8(b)に示す。   FIG. 7 is a graph in which the vertical axis represents the bowing CD (nm) and the horizontal axis represents the remaining mask amount (nm), and the relationship between the bowing CD and the remaining mask amount in the above preparation process is shown. As indicated by the solid line B shown in FIG. 6, in this preparation step, the amount of increase in the bowing CD increased when the remaining amount of the mask was less than about 420 nm. Therefore, it was found that the vicinity of the mask remaining amount of 420 nm is the changing point (bending point). In this case, the initial opening diameter of the photoresist layer 205 is 53 nm, whereas the final bowing CD is 68 nm. An electron micrograph in this case is shown in FIG.

上記の準備工程の結果から、マスク残量420nmとなるまでは、第1プラズマエッチング工程として、上記の準備工程と同一の処理条件でプラズマエッチングを実施した。この場合のエッチング時間は8分程度であった。この後、第2プラズマエッチング工程として、以下の条件でプラズマエッチングを行った。   From the result of the above preparation process, plasma etching was performed as the first plasma etching process under the same processing conditions as the above preparation process until the remaining amount of the mask reached 420 nm. In this case, the etching time was about 8 minutes. Thereafter, as a second plasma etching step, plasma etching was performed under the following conditions.

(2−1工程)
圧力:3.99Pa(30mTorr)
処理ガス:C/Ar/O=60/600/10sccm
高周波電力(高い周波数/低い周波数):1700W/4500W
直流電圧:−300V
中央部のガス流量比:50%
ヘリウムガス圧力(中央部/周縁部):2.0kPa/5.32kPa(15Torr/40Torr)
温度(上部/側壁部/下部):150/150/10℃
時間:10秒
(2−2工程)
圧力:3.99Pa(30mTorr)
処理ガス:C/Ar/O=50/600/47sccm
高周波電力(高い周波数/低い周波数):1700W/4500W
直流電圧:−300V
中央部のガス流量比:50%
ヘリウムガス圧力(中央部/周縁部):2.0kPa/5.32kPa(15Torr/40Torr)
温度(上部/側壁部/下部):150/150/10℃
時間:10秒
(Step 2-1)
Pressure: 3.99 Pa (30 mTorr)
Process gas: C 3 F 8 / Ar / O 2 = 60/600 / 10sccm
High frequency power (high frequency / low frequency): 1700W / 4500W
DC voltage: -300V
Gas flow ratio in the center: 50%
Helium gas pressure (center / periphery): 2.0 kPa / 5.32 kPa (15 Torr / 40 Torr)
Temperature (upper / side wall / lower): 150/150/10 ° C.
Time: 10 seconds (2-2 process)
Pressure: 3.99 Pa (30 mTorr)
Processing gas: C 4 F 6 / Ar / O 2 = 50/600/47 sccm
High frequency power (high frequency / low frequency): 1700W / 4500W
DC voltage: -300V
Gas flow ratio in the center: 50%
Helium gas pressure (center / periphery): 2.0 kPa / 5.32 kPa (15 Torr / 40 Torr)
Temperature (upper / side wall / lower): 150/150/10 ° C.
Time: 10 seconds

上記の2−1工程と2−2工程とを交互に複数回繰り返して第2プラズマエッチング工程を実施した。この結果、図7のグラフに点線Aで示すように、マスク残量420nm付近の変化点(屈曲点)以降におけるボーイングCDの増加量を抑制することができた。この場合の電子顕微鏡写真を図8(a)に示す。本実施例では、フォトレジスト層205の初期の開口径が53nmであるのに対して、最終的なボーイングCDは58nmとなった。   The above-described 2-1 step and 2-2 step were alternately repeated a plurality of times to carry out the second plasma etching step. As a result, as shown by the dotted line A in the graph of FIG. 7, the increase amount of the bowing CD after the changing point (bending point) near the remaining mask amount of 420 nm could be suppressed. An electron micrograph in this case is shown in FIG. In this example, the initial opening diameter of the photoresist layer 205 was 53 nm, whereas the final bowing CD was 58 nm.

また、図8(a)の電子顕微鏡写真に示されるとおり、この実施例によれば、準備工程における図8(b)に示す場合に比べてマスク層であるカーボン層202の上端部の開口径(マスクトップCD)を大きな状態に維持することができた。なお、別のサンプルを用い、エッチング時間とマスクトップCDとの関係を調べた結果を図9に示す。この図9のグラフに示されるように、第1プラズマエッチング工程では、次第にマスクトップCDが小さくなるが、第2プラズマエッチング工程では、僅かであるが次第にマスクトップCDが大きくなる。したがって、第1プラズマエッチング工程の終了時より第2プラズマエッチング工程終了時点の方がマスクトップCDが大きくなっている。   Further, as shown in the electron micrograph of FIG. 8A, according to this example, compared with the case shown in FIG. 8B in the preparation process, the opening diameter of the upper end portion of the carbon layer 202 which is a mask layer. The (mask top CD) could be maintained in a large state. In addition, the result of having investigated the relationship between etching time and mask top CD using another sample is shown in FIG. As shown in the graph of FIG. 9, the mask top CD is gradually reduced in the first plasma etching step, but the mask top CD is gradually increased in the second plasma etching step. Therefore, the mask top CD is larger at the end of the second plasma etching process than at the end of the first plasma etching process.

上記実施形態及び実施例では、カーボン層202をマスクとして、二酸化シリコン層201をプラズマエッチングし、高アスペクト比のホール201aを形成する場合について説明した。しかし、本発明はこのような構成の半導体ウエWハに限らず、他の構造の半導体ウエハWにおける高アスペクト比のホールの形成についても適用できる。例えば、図10に示すように、ポリシリコン層401をマスクとして、ポリシリコン層401の下層に形成された二酸化シリコン層402と、窒化シリコン膜403と、二酸化シリコン層404とが積層された構造の半導体ウエハWに、高アスペクト比のホール405を形成する場合についても同様にして適用することができる。   In the above embodiment and examples, the case where the silicon dioxide layer 201 is plasma-etched using the carbon layer 202 as a mask to form the high-aspect-ratio hole 201a has been described. However, the present invention is not limited to the semiconductor wafer W having such a configuration, but can also be applied to the formation of a high aspect ratio hole in the semiconductor wafer W having another structure. For example, as shown in FIG. 10, a structure in which a silicon dioxide layer 402 formed under the polysilicon layer 401, a silicon nitride film 403, and a silicon dioxide layer 404 is laminated using the polysilicon layer 401 as a mask. The same can be applied to the case where the hole 405 having a high aspect ratio is formed in the semiconductor wafer W.

上記構造の半導体ウエハWに高アスペクト比のホール405を形成する場合、上側の二酸化シリコン層402の部分にボーイングが生じるとともに、マスクの内壁の張出し部に生成したイオンが衝突する方向により入射が深く傾斜すると、下側の二酸化シリコン層404の上部側がエッチングされてボーイングが生じる。但し、上側の二酸化シリコン層402の部分にボーイングの方が顕著となる。   When the high aspect ratio hole 405 is formed in the semiconductor wafer W having the above structure, bowing occurs in the upper silicon dioxide layer 402, and the incident is deeper depending on the direction in which ions generated on the overhanging portion of the inner wall of the mask collide. When tilted, the upper side of the lower silicon dioxide layer 404 is etched and bowing occurs. However, bowing becomes more prominent in the upper silicon dioxide layer 402.

図11のグラフは、縦軸をボーイングCD(nm)、横軸をマスク残量(nm)として、上側の二酸化シリコン層402のボーイングCDとマスク残量との関係を測定した結果を示している。この場合においても、図7に示した場合と同様に、マスク残量が一定値(220〜230nm程度)より減少すると、ボーイングCDの増加量が増大した。したがって、マスク残量220〜230nm付近が変化点(屈曲点)であることが分かった。そして、この変化点(屈曲点)の前後で、第1プラズマエッチング工程から第2プラズマエッチング工程に切り替えることによって、前述した実施形態及び実施例と同様に、ボーイングCDの増加を抑制しつつ必要な選択比を確保することができる。   The graph of FIG. 11 shows the results of measuring the relationship between the bowing CD of the upper silicon dioxide layer 402 and the remaining mask amount with the vertical axis as the bowing CD (nm) and the horizontal axis as the remaining mask amount (nm). . Also in this case, as in the case shown in FIG. 7, when the remaining amount of the mask decreases from a certain value (about 220 to 230 nm), the increase amount of the bowing CD increases. Therefore, it was found that the vicinity of the mask remaining amount of 220 to 230 nm is the changing point (bending point). Then, before and after the change point (bending point), switching from the first plasma etching step to the second plasma etching step is necessary while suppressing an increase in the bowing CD as in the above-described embodiments and examples. A selection ratio can be ensured.

また、その変化点(屈曲点)は、種々のプロセス条件やマスクCDやマスク材料により変化するが、マスク層の残量の範囲は、100〜500nm程度の範囲である。好ましくは150〜300nm程度の範囲、さらには200〜250nm程度の範囲である。   The change point (bending point) varies depending on various process conditions, mask CD, and mask material, but the remaining range of the mask layer is in the range of about 100 to 500 nm. Preferably it is the range of about 150-300 nm, Furthermore, it is the range of about 200-250 nm.

なお、マスク残量とエッチング深さとは、これらの選択比(エッチング層(酸化膜)のエッチングレート/マスクのエッチングレート)に依存して、エッチング深さが深くなるとマスク残量が減少する関係にある。このため、縦軸をボーイングCD(nm)、横軸をエッチング深さとした図12のグラフに示すように、ボーイングCD(nm)をエッチング深さと関係付けて考えることもできる。この場合、エッチング深さが所定深さ1500〜1600nm程度となる時にボーイングCDの増加量が増大する変化点(屈曲点)が現れることになる。   Note that the remaining amount of the mask and the etching depth depend on the selection ratio (etching rate of the etching layer (oxide film) / etching rate of the mask) and the remaining amount of the mask decreases as the etching depth increases. is there. Therefore, as shown in the graph of FIG. 12 in which the vertical axis represents the bowing CD (nm) and the horizontal axis represents the etching depth, the bowing CD (nm) can be considered in relation to the etching depth. In this case, when the etching depth reaches a predetermined depth of about 1500 to 1600 nm, a changing point (bending point) where the amount of increase in the bowing CD increases appears.

また、上記実施形態では、図3に示したように、第2プラズマエッチング工程を、第2処理ガスを用いた2−1プラズマエッチング工程を行う期間(図3に示す工程305)と、第1処理ガスを用いた2−2プラズマエッチング工程を行う期間(図3に示す工程306)とを交互に短時間(例えば10秒程度)で複数回、エッチングが終了するまで繰り返すようにした場合について説明したが、このようにエッチングガスを交互に切り替えたが、徐々にエッチングガスのガス成分を切り換えるようにしてもよい。   In the above embodiment, as shown in FIG. 3, the second plasma etching step is performed during the period of performing the 2-1 plasma etching step using the second processing gas (step 305 shown in FIG. 3). Description will be given of a case where a period of performing a 2-2 plasma etching process using a process gas (process 306 shown in FIG. 3) is alternately repeated a plurality of times in a short time (for example, about 10 seconds) until etching is completed. However, although the etching gas is alternately switched in this way, the gas component of the etching gas may be gradually switched.

例えば、図13のグラフに示すように、第1プラズマエッチング工程では、エッチングガスとして、
/C/Ar/O=0/80/400/77sccm
を使用し、第2プラズマエッチング工程では、Cを徐々に増加させるとともに、Cを徐々に減少させ、さらにOも若干減少させ最終的には、例えば、エッチングガスを、
/C/Ar/O=100/0/400/50sccm
とする。このように、徐々にエッチングガスのガス成分を切り換えるようにしても、前述した実施形態及び実施例と同様な効果を得ることができる。
For example, as shown in the graph of FIG. 13, in the first plasma etching process, as an etching gas,
C 4 F 8 / C 4 F 6 / Ar / O 2 = 0/80/400 / 77sccm
In the second plasma etching step, C 4 F 8 is gradually increased, C 4 F 6 is gradually decreased, and O 2 is also slightly decreased.
C 4 F 8 / C 4 F 6 / Ar / O 2 = 100/0/400/50 sccm
And As described above, even if the gas components of the etching gas are gradually switched, the same effects as those of the above-described embodiments and examples can be obtained.

図14は、図10に示した構造の半導体ウエハWをプラズマエッチングして高アスペクト比のホール405を形成した場合のホール405内の側壁形状の変化を、各エッチング深さ毎にSEMによって観察し、その輪郭を抽出した結果を示している。図14(a)は、最初から最後まで1種のエッチングガスを用い、以下の条件でプラズマエッチングした場合を示している。
圧力:2.66Pa(20mTorr)
処理ガス:C/C/Ar/O=40/40/400/48sccm
高周波電力(高い周波数/低い周波数):1700W/6600W
直流電圧:−150〜―900V
中央部のガス流量比:50%
ヘリウムガス圧力(中央部/周縁部):2.0kPa/5.32kPa(15Torr/40Torr)
温度(上部/側壁部/下部):150/150/40℃
FIG. 14 shows the change in the shape of the sidewall in the hole 405 when the semiconductor wafer W having the structure shown in FIG. 10 is plasma etched to form the high aspect ratio hole 405 by SEM at each etching depth. The result of extracting the contour is shown. FIG. 14A shows a case where plasma etching is performed under the following conditions using one kind of etching gas from the beginning to the end.
Pressure: 2.66 Pa (20 mTorr)
Process gas: C 4 F 8 / C 4 F 6 / Ar / O 2 = 40/40/400 / 48sccm
High frequency power (high frequency / low frequency): 1700W / 6600W
DC voltage: -150 to -900V
Gas flow ratio in the center: 50%
Helium gas pressure (center / periphery): 2.0 kPa / 5.32 kPa (15 Torr / 40 Torr)
Temperature (upper / side wall / lower): 150/150/40 ° C

図14(b)は、変化点において第1プラズマエッチング工程から第2プラズマエッチング工程に切り替えてプラズマエッチングを行ったものであり、以下の条件でプラズマエッチングした場合を示している。
(第1プラズマエッチング工程)
圧力:2.66Pa(20mTorr)
処理ガス:C/Ar/O=80/400/77sccm
高周波電力(高い周波数/低い周波数):1700W/6600W
直流電圧:−150〜―900V
中央部のガス流量比:50%
ヘリウムガス圧力(中央部/周縁部):2.0kPa/5.32kPa(15Torr/40Torr)
温度(上部/側壁部/下部):150/150/40℃
(第2プラズマエッチング工程)
圧力:2.66Pa(20mTorr)
処理ガス:
(初期)
/C/Ar/O=40/40/400/50sccm
を徐々に増加させるとともに、Cを徐々に低下させ、さらにOも若干低下させ最終的には、
(最終)
/C/Ar/O=0/80/400/35sccm
とした。
高周波電力(高い周波数/低い周波数):1700W/6600W
直流電圧:−150〜―900V
中央部のガス流量比:50%
ヘリウムガス圧力(中央部/周縁部):2.0kPa/5.32kPa(15Torr/40Torr)
温度(上部/側壁部/下部):150/150/40℃
FIG. 14B shows the case where the plasma etching is performed by switching from the first plasma etching process to the second plasma etching process at the change point, and the plasma etching is performed under the following conditions.
(First plasma etching process)
Pressure: 2.66 Pa (20 mTorr)
Process gas: C 4 F 6 / Ar / O 2 = 80/400 / 77sccm
High frequency power (high frequency / low frequency): 1700W / 6600W
DC voltage: -150 to -900V
Gas flow ratio in the center: 50%
Helium gas pressure (center / periphery): 2.0 kPa / 5.32 kPa (15 Torr / 40 Torr)
Temperature (upper / side wall / lower): 150/150/40 ° C
(Second plasma etching process)
Pressure: 2.66 Pa (20 mTorr)
Processing gas:
(initial)
C 4 F 6 / C 4 F 8 / Ar / O 2 = 40/40/400/50 sccm
C 4 F 8 is gradually increased, C 4 F 6 is gradually decreased, and O 2 is also slightly decreased.
(Last)
C 4 F 6 / C 4 F 8 / Ar / O 2 = 0/80/400 / 35sccm
It was.
High frequency power (high frequency / low frequency): 1700W / 6600W
DC voltage: -150 to -900V
Gas flow ratio in the center: 50%
Helium gas pressure (center / periphery): 2.0 kPa / 5.32 kPa (15 Torr / 40 Torr)
Temperature (upper / side wall / lower): 150/150/40 ° C

また、図14(a)は、エッチング深さが1511nm、1592nm、1639nm、1757nm、オーバーエッチング時(2点)における側壁の輪郭を示しており、図14(b)は、エッチング深さが1395nm、1596nm、1741nm、1883nm、オーバーエッチング時(2点)における側壁の輪郭を示している。図14から、エッチングガスの切り換えを行っていない図14(a)の場合に比べて、第1プラズマエッチング工程と第2プラズマエッチング工程でエッチングガスの切り換えを行っている図14(b)の方が、特に、上側の二酸化シリコン層402のボーイングが抑制されていることが分かる。   FIG. 14A shows the contours of the sidewalls when the etching depth is 1511 nm, 1592 nm, 1639 nm, and 1757 nm and overetching (two points). FIG. 14B shows the etching depth of 1395 nm, The outlines of the side walls at the time of over-etching (2 points) are shown at 1596 nm, 1741 nm, and 1883 nm. From FIG. 14, compared with the case of FIG. 14A in which the etching gas is not switched, the direction of FIG. 14B in which the etching gas is switched in the first plasma etching process and the second plasma etching process. However, it can be seen that bowing of the upper silicon dioxide layer 402 is particularly suppressed.

以上説明したとおり、本実施形態及び実施例によれば、ボーイングの発生を抑制し、側壁形状を垂直に維持しつつ高アスペクト比のコンタクトホールを形成することができる。なお、本発明は上記の実施形態及び実施例に限定されるものではなく、各種の変形が可能である。   As described above, according to the present embodiment and examples, it is possible to suppress the occurrence of bowing and form a contact hole with a high aspect ratio while maintaining the side wall shape vertical. In addition, this invention is not limited to said embodiment and Example, Various deformation | transformation are possible.

W……半導体ウエハ、201…………二酸化シリコン層、202……カーボン層、203……SiON層、204……反射防止層、205……フォトレジスト層、206……開口。   W ... Semiconductor wafer, 201 ... Silicon dioxide layer, 202 ... Carbon layer, 203 ... SiON layer, 204 ... Antireflection layer, 205 ... Photoresist layer, 206 ... Opening.

Claims (11)

炭素(C)とフッ素(F)とを含む処理ガスのプラズマにより、マスク層を介してシリコン酸化膜にホールを形成するプラズマエッチング方法であって、
炭素(C)とフッ素(F)の比率(C/F)が第1の値である第1処理ガスを含む処理ガスを用いたプラズマエッチングにより、前記マスク層の残量と、前記ホールのボーイングCDとの相関関係を調べ、ボーイングCDの変化量が増大する変化点に相当する前記マスク層の残量を求める準備工程と、
前記第1処理ガスを含む処理ガスを用い、前記マスク層の残量が前記変化点となるまでプラズマエッチングを行う第1プラズマエッチング工程と、
前記第1プラズマエッチング工程の後に行う、第2プラズマエッチング工程と、
を具備し、
前記第2プラズマエッチング工程は、少なくとも、前記第1の値より炭素(C)とフッ素(F)の比率(C/F)が小さい第2処理ガスを含む処理ガスを用いたプラズマエッチングを行う期間を含む
ことを特徴とするプラズマエッチング方法。
A plasma etching method for forming holes in a silicon oxide film through a mask layer by plasma of a processing gas containing carbon (C) and fluorine (F),
The remaining amount of the mask layer and the bowing of the holes are performed by plasma etching using a processing gas containing a first processing gas having a first ratio of carbon (C) to fluorine (F) (C / F). A step of examining the correlation with the CD and determining the remaining amount of the mask layer corresponding to the changing point at which the amount of change in the Boeing CD increases;
A first plasma etching step of performing plasma etching using a processing gas containing the first processing gas until the remaining amount of the mask layer reaches the change point;
A second plasma etching step performed after the first plasma etching step;
Comprising
In the second plasma etching step, at least a period of performing plasma etching using a processing gas containing a second processing gas having a smaller ratio (C / F) of carbon (C) to fluorine (F) than the first value. A plasma etching method comprising:
請求項1記載のプラズマエッチング方法であって、
前記第2プラズマエッチング工程では、前記第2処理ガスを用いたプラズマエッチングを行う期間と、前記第1処理ガスを用いたプラズマエッチングを行う期間とを交互に複数回繰り返して行う
ことを特徴とするプラズマエッチング方法。
The plasma etching method according to claim 1,
In the second plasma etching step, a period for performing plasma etching using the second processing gas and a period for performing plasma etching using the first processing gas are alternately repeated a plurality of times. Plasma etching method.
請求項1又は2記載のプラズマエッチング方法であって、
前記第1処理ガスは、Cガスであり、前記第2処理ガスは、Cガスである
ことを特徴とするプラズマエッチング方法。
The plasma etching method according to claim 1 or 2,
The plasma processing method, wherein the first processing gas is a C 4 F 6 gas and the second processing gas is a C 3 F 8 gas.
請求項1〜3いずれか1項記載のプラズマエッチング方法であって、
前記マスク層がカーボン層を含む
ことを特徴とするプラズマエッチング方法。
The plasma etching method according to any one of claims 1 to 3,
The plasma etching method, wherein the mask layer includes a carbon layer.
請求項1記載のプラズマエッチング方法であって、
第2プラズマエッチング工程では、前記第2処理ガスの流量を徐々に増加させる
ことを特徴とするプラズマエッチング方法。
The plasma etching method according to claim 1,
In the second plasma etching step, the flow rate of the second processing gas is gradually increased.
請求項5記載のプラズマエッチング方法であって、
前記マスク層がポリシリコン層を含む
ことを特徴とするプラズマエッチング方法。
A plasma etching method according to claim 5,
The plasma etching method, wherein the mask layer includes a polysilicon layer.
請求項5又は6記載のプラズマエッチング方法であって、
前記第1処理ガスは、Cガスであり、前記第2処理ガスは、Cガスである
ことを特徴とするプラズマエッチング方法。
A plasma etching method according to claim 5 or 6,
The plasma processing method, wherein the first processing gas is C 4 F 6 gas and the second processing gas is C 4 F 8 gas.
請求項1〜7いずれか1項記載のプラズマエッチング方法であって、
前記第1プラズマエッチング工程では、前記マスク層の開口寸法が初期寸法より小さくなり、
前記第2プラズマエッチング工程では、前記マスク層の開口寸法が、前記第1プラズマエッチング工程終了時の前記マスク層の開口寸法より大きくなる
ことを特徴とするプラズマエッチング方法。
The plasma etching method according to any one of claims 1 to 7,
In the first plasma etching step, an opening size of the mask layer is smaller than an initial size,
In the second plasma etching step, the opening size of the mask layer is larger than the opening size of the mask layer at the end of the first plasma etching step.
請求項1〜8いずれか1項記載のプラズマエッチング方法であって、
前記変化点に相当する前記マスク層の残量は、前記マスク層の初期の開口寸法が50nmより大きい場合には400nmより大きくなり、前記マスク層の初期の開口寸法が50nmより小さい場合には400nmより小さくなる
ことを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 1 to 8,
The remaining amount of the mask layer corresponding to the change point is larger than 400 nm when the initial opening dimension of the mask layer is larger than 50 nm, and is 400 nm when the initial opening dimension of the mask layer is smaller than 50 nm. A plasma etching method characterized by being smaller.
被処理基板を収容する処理チャンバーと、
前記処理チャンバー内に処理ガスを供給する処理ガス供給機構と、
前記処理ガスのプラズマを発生させるプラズマ発生機構と
を具備したプラズマエッチング装置を制御する制御プログラムが記録されたコンピュータ記録媒体であって、
前記制御プログラムは、請求項1〜9いずれか1項記載のプラズマエッチング方法が実行されるように前記プラズマエッチング装置を制御する
ことを特徴とするコンピュータ記録媒体。
A processing chamber for accommodating a substrate to be processed;
A processing gas supply mechanism for supplying a processing gas into the processing chamber;
A computer recording medium on which a control program for controlling a plasma etching apparatus having a plasma generation mechanism for generating plasma of the processing gas is recorded,
The computer control medium controls the plasma etching apparatus so that the plasma etching method according to any one of claims 1 to 9 is executed.
請求項1〜9いずれか1項記載のプラズマエッチング方法であって、
前記変化点に相当する前記マスク層の残量は、100〜500nmである
ことを特徴とするプラズマエッチング方法。
A plasma etching method according to any one of claims 1 to 9,
The plasma etching method, wherein the remaining amount of the mask layer corresponding to the change point is 100 to 500 nm.
JP2012046050A 2011-03-03 2012-03-02 Plasma etching method and computer recording medium Expired - Fee Related JP5804978B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US13/410,432 US8679358B2 (en) 2011-03-03 2012-03-02 Plasma etching method and computer-readable storage medium
JP2012046050A JP5804978B2 (en) 2011-03-03 2012-03-02 Plasma etching method and computer recording medium

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011046771 2011-03-03
JP2011046771 2011-03-03
JP2012046050A JP5804978B2 (en) 2011-03-03 2012-03-02 Plasma etching method and computer recording medium

Publications (2)

Publication Number Publication Date
JP2012195582A JP2012195582A (en) 2012-10-11
JP5804978B2 true JP5804978B2 (en) 2015-11-04

Family

ID=47087150

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012046050A Expired - Fee Related JP5804978B2 (en) 2011-03-03 2012-03-02 Plasma etching method and computer recording medium

Country Status (1)

Country Link
JP (1) JP5804978B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6327970B2 (en) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 Method for etching an insulating film
JP6494424B2 (en) * 2015-05-29 2019-04-03 東京エレクトロン株式会社 Etching method

Also Published As

Publication number Publication date
JP2012195582A (en) 2012-10-11

Similar Documents

Publication Publication Date Title
JP5839689B2 (en) Plasma etching method, semiconductor device manufacturing method, and computer storage medium
US8679358B2 (en) Plasma etching method and computer-readable storage medium
JP5102653B2 (en) Plasma etching method, plasma etching apparatus and computer storage medium
JP6035117B2 (en) Plasma etching method and plasma etching apparatus
JP5608384B2 (en) Semiconductor device manufacturing method and plasma etching apparatus
JP4912907B2 (en) Plasma etching method and plasma etching apparatus
US20100224587A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP6141855B2 (en) Plasma etching method and plasma etching apparatus
JP5568340B2 (en) Plasma etching method and plasma etching apparatus
JP6255187B2 (en) Method for etching a silicon oxide film
JP5934523B2 (en) Semiconductor device manufacturing method and computer recording medium
JP2009193988A (en) Plasma-etching method and computer storage medium
JP6017928B2 (en) Plasma etching method and plasma etching apparatus
KR101067222B1 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP2017011127A (en) Etching method
KR101068014B1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP5804978B2 (en) Plasma etching method and computer recording medium
JP5840973B2 (en) Semiconductor device manufacturing method and computer recording medium
KR102441115B1 (en) Plasma processing method and plasma processing apparatus
JP2008181996A (en) Method of manufacturing semiconductor device, apparatus of manufacturing semiconductor device, control program, and computer storage medium

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150804

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150806

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150901

R150 Certificate of patent or registration of utility model

Ref document number: 5804978

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees