TWI313509B - Manufacturing method for phase change ram with electrode layer process - Google Patents

Manufacturing method for phase change ram with electrode layer process Download PDF

Info

Publication number
TWI313509B
TWI313509B TW095133975A TW95133975A TWI313509B TW I313509 B TWI313509 B TW I313509B TW 095133975 A TW095133975 A TW 095133975A TW 95133975 A TW95133975 A TW 95133975A TW I313509 B TWI313509 B TW I313509B
Authority
TW
Taiwan
Prior art keywords
electrode
layer
pair
dielectric
contact window
Prior art date
Application number
TW095133975A
Other languages
Chinese (zh)
Other versions
TW200723520A (en
Inventor
Erh-Kun Lai
Chia-Hua Ho
Yi-Chou Chen
Kuang-Yeu Hsieh
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Publication of TW200723520A publication Critical patent/TW200723520A/en
Application granted granted Critical
Publication of TWI313509B publication Critical patent/TWI313509B/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • H10N70/026Formation of switching materials, e.g. deposition of layers by physical vapor deposition, e.g. sputtering
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/041Modification of switching materials after formation, e.g. doping
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/823Device geometry adapted for essentially horizontal current flow, e.g. bridge type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8836Complex metal oxides, e.g. perovskites, spinels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)

Description

084 叫35呦 17261twf.doc/〇〇6 九、發明說明: 【發明所屬之技術領域】 本發明涉及基於可鞋彳卜干 變的材料和其他材料材料(包括基於相 些裳置的方法。 ^讀⑽裳置’且涉及製造這 【先前技術】 基於相變的記憶材料廣 相。雷射脈衝用於讀00 般晶狀固 相變之後讀取材料==在相位之間進行轉換,並在 基^目變的記憶_ (域於㈣化物 也可通過施加適於在積體電路中實施的位準^似 目變。—般非晶態的特徵在於比 電 的電阻率,其可被容易地感測以顯示資料。這;:特=高 發性記憶體電路,可用隨機存取形成非揮 攸非晶恕到晶態的改變通常是較低電流操作。從曰能 =態二變二文稱為重置,通常是較 :: 其包括-1金μ雜度_錄084 is called 35呦17261twf.doc/〇〇6 IX. DESCRIPTION OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention relates to materials and other material materials based on dryness of the shoe (including methods based on the same.) Read (10) skirting 'and related to manufacturing this [previous technique] phase change based memory material wide. Laser pulse is used to read 00-like crystalline solid phase change after reading material == conversion between phases, and in The memory of the change is also possible by applying a level suitable for implementation in the integrated circuit. The amorphous state is characterized by a specific electrical resistivity, which can be easily Ground sensing to display data. This; special = high-intensity memory circuit, random access can be used to form non-volatile amorphous state until the change of crystalline state is usually lower current operation. From 曰 energy = state two change two Called reset, usually compared to:: It includes -1 gold μ noise _ recorded

這之後相變材料迅速冷卻,抑制 J :部分相變結?穩定在非晶態。使用於引起相 悲轉k為重置電流的量值最小化是 減小料巾錢材料元件的尺杯t極與 接觸區域的尺寸來減小重置所需的重置電流的量才值,: I31350S〇84 17261twf.doc/006 通過相變材料元件使用較小的絕對電流值可達到較高的電 流密度。 一個發展方向已傾向於在積體電路結構中形成小孔, 並使用少i可紅式化電阻材料來填充所述小孔。說明朝小 孔發展的專利包括:1997年11月11日頒發的美國專利第 5,687,112 號 ’ Ovshinsky 的 “Multibit Single Cell MemoryAfter this, the phase change material rapidly cools, suppressing J: part of the phase change junction and stabilizing in the amorphous state. Minimizing the magnitude of the current used to cause the phase change k is the reduction of the size of the t-cup and the contact area of the material of the tissue material to reduce the amount of reset current required for resetting. : I31350S〇84 17261twf.doc/006 Higher current densities can be achieved with smaller absolute current values through phase change material components. A development trend has tended to form small holes in the integrated circuit structure and to fill the small holes with less i-redformable resistive material. The patents for the development of the small hole include: US Patent No. 5,687,112 issued on November 11, 1997. 'Ovshinsky' Multibit Single Cell Memory

Element Having Tapered Contact” ; 1998 年 8 月 4 日頒發 的美國專利第5,789,277號,Zahorik等人的“Method of Making Chalogenide [sic] Memory Device” ; 2000 年 11 月 21曰頒發的美國專利第6,150,253號,Doan等人的 Controllable Ovonic Phase-Change SemiconductorElement Having Tapered Contact"; U.S. Patent No. 5,789,277, issued to A.S. Patent No. 5, 789, 277, issued to A.S. Pat. Controllable Ovonic Phase-Change Semiconductor by Doan et al.

Memory Device and Methods of Fabricating the Same” ;矛口 2004年11月9日頒發的美國專利第6,815,704 B1號,Chen 的 “Phase Change Memory Device Employing ThermallyMemory Device and Methods of Fabricating the Same"; US Patent No. 6,815,704 B1, issued on November 9, 2004, "Phase Change Memory Device Employing Thermally" by Chen

Insulating Voids” 。其他技術包括形成小電極以用於和相 變材料較大主體相接觸,例如2004年9月28日頒發的美 國專利第 6,797,979 B2 號,Chiang 等人的 “Metal Structure for a Phase-Change Memory Device” 中所描述。 在具有很小尺寸和具有滿足大規权s己丨,¾裝置所需的嚴 格規範的製程變化的這些裝置的製造中存在若干問題。因 此需要提供一種具有小尺寸和低重置電流的記憶單元結 構,和一種製造此種結構的方法,所述結構滿足大規模記 憶裝置所需的嚴格製程變化規範。進一步需要提供一種製 造製程和結構,所述製程和結構與相同積體電路上的周邊 丨4 17261twf.doc/006 電路的製造相容。 在2005年6月17曰申請的共同待決美國專利申請案 第 11/155,067 號,Hsiang-Lan Lung 和 Shih-Hung Chen 的 標題為 “THIN FILM FUSE PHASE CHANGE RAM AND MANUFACTURING METHOD”( Lung 等人中請案)中描 述了一種用於實施極小相變記憶裝置的結構,所述申請案 現在且在發明時由本發明相同受讓人所有’並以如同本文 完全陳述的引用方式併入本文中。Insulating Voids". Other techniques include the formation of small electrodes for contact with larger bodies of phase change materials, such as U.S. Patent No. 6,797,979 B2, issued September 28, 2004, and "Metal Structure for a Phase-" by Chiang et al. "Change Memory Device" is described. There are several problems in the manufacture of these devices with very small dimensions and process variations that meet the strict specifications required for large-scale devices. Therefore, it is necessary to provide a small size and A memory cell structure with a low reset current, and a method of fabricating such a structure that meets the stringent process variation specifications required for large-scale memory devices. It is further desirable to provide a fabrication process and structure that is identical to the process and structure Compatible with the 丨4 17261 twf.doc/006 circuit on the integrated circuit. Hsiang-Lan Lung and Shih-Hung Chen, co-pending U.S. Patent Application Serial No. 11/155,067, filed June 17, 2005 A title is described in "THIN FILM FUSE PHASE CHANGE RAM AND MANUFACTURING METHOD" (Lung et al.) Minimum configuration for implementing the phase change memory device, the application now and at the same assignee of the invention 'and in a fully herein by reference as if set forth herein incorporated by this invention.

^ Lung等人申睛案中描述的結構包含具有小尺寸的相 j料的-薄膜橋’其從第—電極跨越到由—絕緣壁分隔 二=二其中所述第—電極和第二電極形成於積體電 層巾。提供製造·^有效實顧述電極層以用 於小尺寸相變橋單元是需要的。 【發明内容】^ The structure described in the Lung et al. application comprises a thin film bridge having a small size of phase material, which spans from the first electrode to the second insulating layer by two walls, wherein the first electrode and the second electrode are formed. In the integrated electrical layer towel. It is desirable to provide a fabrication layer that is effective for a small size phase change bridge unit. [Summary of the Invention]

大型存Γ憶體PCRAM裂置描述為適用於製造 含第括-種記憶裝置,其包 -電極與所述第二電極之間的絕緣m 電極之間界定跨越所述絕緣部件=二 徑長度。為說明性目的緣部件寬度界定的路 的結構1㈣於相變辦 ^想像為具有類祕絲 橋包含具有至少兩種可逆:尸於炫絲,所述 己材料,例如基於疏族 I3135〇a 丨084 17261t\vf.d〇c/006 Γ:料通過施加電流穿過材料或在 /、弟一电極上施加電壓實現所述可逆。 卞戎在 相變記憶材料主體的體積可很 厚度(X方向上的路徑長度)、用卿緣部件的 來決定。在峨輯方向) 元的微影製程限制的薄膜厚度來決定_:=記憶單 記憶材料的_的厚度。在本發^ 中寬度可小於最小特徵尺寸F,所述最 曰疋用於在材料層圖案化中使用的微 乂 $ —、 =二使用光阻修整技術來界定橋的寬度’、其中 向同性崎修整所述光阻的 窄修整的光阻結構隨後用於以微影方式將更 積體電路上的二^ ’可使用其他技術在 構的相㈣乍材枓線。因此’具有簡單結 且容易到很小的重置電流和較低功率消耗, 在本文贿的技術时補巾,提供 =所述陣列中’多個電極部件和其間的、‘ 成上的電極層。所述電極層具有頂部表面。跨越 Η牛之間絕緣部件的對應多個薄膜橋包含在所述 ^穿記憶'元#°建立從電極層中第一電 牙匕所逃電極層_部表面上的薄膜橋到達所述電極層 13135flfl)84 17261twf.doc/006 13135flfl)84 17261twf.doc/006 電極的電响,《用於__中的每 中第二 記憶單 可使用用於邏輯電路和 :CM〇S技術)來實現本文描衧(例 的电路。在一實施例中, 、电路上电極層以下 電極對㈣一個第二電極下的J例::,)具有在 ==所述第二電極之間形成連接以用於所= 路』電極層上的電 件摇徂贲& ^ 疋/、用的,從而皁個電極部 件巧電_祕所料_—行巾的兩個記憶單元。 在勺種製造記财置的方法。所述方法包含: 用前段製程所製成電路的基底上形成電極層。使 充技術在電極層中製成電極,所述技術還使用層 —於金屬化層’以便改進以金屬化層的縮短的臨界 尺寸定標的製程。 在所述製造方法的貫施例中,通過以下製程來製造電 木層所述製程是基於在基底上形成多層電介質層,並餘 刻所述多層電介質層以形成用於電極料賊下方電路的 通孔。隨後,絕緣間隙壁形成於所述通孔的側壁上。接著, 在所述絕緣間隙壁之間移除所述多層電介質層中的頂部 層,以在通孔之間形成溝渠,所述通孔通過所述絕緣間隙 I31350fi〇84 17261twf.doc/006 渠用於形成接觸上方電路的電極部The large memory cell PC cleavage is described as being suitable for fabricating a quaternary memory device in which an insulating m electrode between the package electrode and the second electrode is defined across the insulating member = two-path length. The structure of the road defined by the width of the edge member for illustrative purposes (4) in the phase change device is imagined to have a class-like silk bridge comprising at least two types of reversible: the corpse in the genus, the material, for example based on the sparse I3135〇a 丨084 17261t\vf.d〇c/006 Γ: The reversible is achieved by applying a current through the material or applying a voltage across the electrode.体积 The volume of the phase change memory material body can be very thick (path length in the X direction), determined by the edge of the device. In the direction of the ) ) 元 微 微 限制 限制 限制 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ In the present invention, the width may be smaller than the minimum feature size F, and the last used for the material layer patterning is to use the photoresist trimming technique to define the width of the bridge, and the isotropic The narrowly trimmed photoresist structure that trims the photoresist is then used to lithography the other integrated circuits on the integrated circuit (4). Therefore, 'has a simple junction and is easy to achieve a small reset current and a lower power consumption. In the technique of bribery, it is provided that the 'multiple electrode members in the array and the upper electrode layer therebetween' . The electrode layer has a top surface. Corresponding plurality of film bridges spanning the insulating members between the yak comprise: establishing a film bridge on the surface of the first electrode from the electrode layer of the electrode layer to reach the electrode layer 13135flfl)84 17261twf.doc/006 13135flfl)84 17261twf.doc/006 Electrode's audible, "Every second memory list for __ can be used for logic circuits and: CM〇S technology" to achieve this article The circuit of the example. In one embodiment, the electrode pair below the electrode layer on the circuit (4) J case under a second electrode::,) has a connection between == the second electrode for use The electric parts on the electrode layer of the = road are shaken & ^ 疋 /, so that the electrode parts of the soap are _ secret material _ - two memory cells of the towel. In the spoon, the method of making money is set. The method includes: forming an electrode layer on a substrate of a circuit fabricated by a front-end process. The charging technique is used to form electrodes in the electrode layer, and the technique also uses a layer-to-metallization layer to improve the process of scaling the shortened critical dimension of the metallization layer. In the embodiment of the manufacturing method, the bakelite layer is fabricated by the following process. The process is based on forming a multilayer dielectric layer on the substrate, and engraving the multilayer dielectric layer to form a circuit for the electrode thief. Through hole. Subsequently, an insulating spacer is formed on the sidewall of the through hole. Then, the top layer of the plurality of dielectric layers is removed between the insulating spacers to form a trench between the via holes, and the through holes pass through the insulating gap I31350fi〇84 17261twf.doc/006 In forming an electrode portion contacting the upper circuit

LrL:: 填充所述通孔和溝渠。通過化學機 對所形成結構進行研磨,移除所述導電 ^料的頂孩巧在絕緣間隙壁的任—側社界定第一和 :一电極二其中第—與第二電極和絕緣間隙壁暴露於頂部 表面上’並充當絕緣部件、第—電極和第二電極。LrL:: fills the through holes and trenches. Grinding the formed structure by a chemical machine, removing the top of the conductive material, defining the first and the first side of the insulating spacer: the first and the second electrode and the insulating spacer It is exposed on the top surface' and acts as an insulating member, a first electrode and a second electrode.

所述導電材料包含塊狀導體(例如,適於填充通孔和 溝渠的銅或銅合金)製·第—層,和接解體(例如, 適於接觸相變橋的™)製成的第二層。所述製程盘常規 CMOS製造技術相容,由於用Cu填充,所以可用縮短的 臨界尺寸簡單並容易地定標。The conductive material comprises a block conductor (for example, a copper or copper alloy suitable for filling through holes and trenches), a first layer, and a second body made of a junction body (for example, TM suitable for contacting the phase change bridge). Floor. The process disk is conventionally CMOS fabrication technology compatible, and since it is filled with Cu, it can be easily and easily scaled with a shortened critical dimension.

一種製造包含本文描述的可程式化電阻材料的記憶裝 置的方法包括在具有頂部表面的基底中形成電路,所^電 路包括所述基底的頂部表面上的接觸窗陣列。通過所述接 觸窗陣列在所述基底上形成多層結構。所述多層結構包括 至少第一電介質填充層,所述第一電介質填充層上的蝕刻 停止層,和所述蝕刻停止層上的第二電介質填充層。隨後, 在包括接觸窗通孔的圖案中蝕刻所述多層結構,所述接觸 窗通孔暴露了所述基底的頂部表面上的接觸窗陣列中的選 定接觸窗。側壁電介質間隙壁形成於接觸窗通孔的側壁 上,且用犧牲材料覆盖所述多層結構,從而填充所述接觸 窗通孔。在包括開口的圖案中選擇性蝕刻所述犧牲材料, 所述開口暴露了所述多層結構上的電極區域和側壁電介質 間隙壁,停止於第二電介質填充層的頂部表面水準附近= 10 13135⑽ 084 丨 7261 twf.doc/006 ^在電極區域中移除第二電介質填充層,停止 ϋ’以在多層結構中形成電極溝渠,並使側壁電介 隨後,從接觸窗通孔移^ 在開口對:間,所;開壁留 、充斤述接觸窗通孔和電極溝渠 =。 下的水平⑽成電=除:==壁的頊部《 材料相容的電極材料填充極=古、可私式化電阻 :=_二 =暴槽露= 第二電極:填極的個別填充接觸窗通孔和充當 對陣列的電極層的頂部=電^^並提供包括電極 於所述電極對陣列中面上,所述橋陣列包括用 接觸並在側壁電介質辟沾间’與個別第一和第二電極 橋在第-與第的個別頂部表面上延伸。所述 徑,所逑路押具右間界定跨越絕緣部件的電掩間路 度。圖案化導電層形成的寬;所界定的路徑長 中的所述第二電極電連通。这橋上,亚與所述電極對陣列 易懂,?的、特徵和優點能更明顯 下。 ^例’亚配合所附圖式’作詳細說明如 11 >84 17261twf.d〇c/006 【實施方式】 麥看圖1至圖18,提供薄膜相變記憶 ^ 草元的陣列,和製造這些記憶單元的方法的=、故些記憶 圖1說明包括在一電極層上的記憶制田描述。 ^憶單元的基本結構,其包含第成的橋^ 極13,和位於第一電極12與第二電極13之 弟一琶 14。如圖示,第—和第二電極12、13 =絕緣部件 和B。同樣,絕緣部件14具有頂部表面14貝4表面仏 鱗構的了員部表面12a、⑸W界定所 ^電極層中 廣的—大體上平坦的頂部表面。記憶材貧知例中電極 戶斤述電極層的平坦頂部表面上,使得在橋^的橋U位於A method of fabricating a memory device comprising a programmable resistive material as described herein includes forming a circuit in a substrate having a top surface, the circuit comprising an array of contact windows on a top surface of the substrate. A multilayer structure is formed on the substrate by the array of contact windows. The multilayer structure includes at least a first dielectric fill layer, an etch stop layer on the first dielectric fill layer, and a second dielectric fill layer on the etch stop layer. Subsequently, the multilayer structure is etched in a pattern comprising contact vias that expose selected contact windows in the array of contact windows on the top surface of the substrate. A sidewall dielectric spacer is formed on the sidewall of the contact via, and the multilayer structure is covered with a sacrificial material to fill the contact via. Selectively etching the sacrificial material in a pattern including an opening exposing an electrode region and a sidewall dielectric spacer on the multilayer structure, stopping near a top surface level of the second dielectric filling layer = 10 13135(10) 084 丨7261 twf.doc/006 ^Removing the second dielectric fill layer in the electrode region, stopping ϋ' to form electrode trenches in the multilayer structure, and then channeling the sidewalls, then moving from the contact vias to the opening pairs: , open the wall, fill the contact window through hole and electrode ditch =. Lower level (10) into electricity = except: = = wall of the "material compatible electrode material filling pole = ancient, private resistance: = _ two = storm groove dew = second electrode: filling the individual filling The contact window via and the top of the electrode layer serving as an array are provided and include electrodes on the face of the array of electrodes, the bridge array comprising contacts and dielectrics between the sidewalls and the individual first And the second electrode bridge extends over the first and the first individual top surfaces. The path, the right side of the roadway fixture defines the electrical pathway between the insulating members. The patterned conductive layer is formed to be wide; the second electrode of the defined path length is in electrical communication. On this bridge, the sub-array and the array of electrodes are easy to understand, and the features, advantages and advantages can be more obvious. ^Example 'Sub-combination of the drawing' is described in detail as 11 > 84 17261 twf.d 〇 c / 006 [Embodiment] Mai see Figure 1 to Figure 18, providing an array of thin film phase change memory, and manufacturing The method of these memory cells =, therefore, the memory Figure 1 illustrates a memory field description including on an electrode layer. The basic structure of the cell, which includes the first bridge electrode 13, and the younger one of the first electrode 12 and the second electrode 13. As shown, the first and second electrodes 12, 13 = insulating members and B. Similarly, the insulating member 14 has a top surface 14 of the surface 4 of the surface of the surface 12a, and (5) defines a wide, substantially flat top surface of the electrode layer. In the poor memory case, the electrode is on the flat top surface of the electrode layer, so that the bridge U is located at the bridge

形=弟1極與橋11之間的接觸和第二電=底部側面上 之間的接觸。在JL他杂f Μ 士 —電極13與橋U 與,是4面:部表面-更逖離電極材料。 侍橋中的主動區 廣I )尸一Shape = contact between the 1st pole and the bridge 11 and the contact between the second electric = bottom side. In JL, he is the same as the bridge U. The electrode 13 and the bridge U are four sides: the surface is more detached from the electrode material. Active area in the bridge, wide I)

盘第〜不由兄憶單元結構形成的第一電極12捧11 ,、弟〜電極13之間的带化牧"1C 电極12、橋U 存取電如接觸第可用多種配置來實現 記憶果亓6Α ° 和弟一電極丨3,以用於#制 兩個cr:得可對其進行程式化以編 個固相。例ΓϊΓ可使用記憶材料來可逆地實現所述兩 記憶I牙使用基於硫族化物的相變記憶材料,可將 °又疋在相對較高的電阻率狀態,豆中 T橋的至少—部分 干^T在電流路徑 率狀態,1中悲,也可設定在相對較低的電阻 /、中在電'4徑中橋的大部分處於晶態。 131350)S〇84 17261twf.doc/006 圖3展示橋U中的主動通道16,其中主 材料=感應而在至少兩種固相之間改變的區域。可^解, 在所說明結構中可將主動通道16製 常 而 了引起相變所需的電流的量值。 …、攸而減小 第-^^^元㈣重要財。由第―電極12與 二:Γ 的絕緣部件14 (圖中稱為通道電介質) ^見度巧主動通道的長度L(x方向)。通過己 單兀的實_中絕緣部件14的寬度來控 I二 中’可使用薄膜沈積技術在1極=的= 上1 4侧壁電介質來建立絕緣部件14的寬度。因此, 記憶單元的實施例具有小於咖nm的通 ^ ,具有約40_或更小的通道長度L。在另一此實= 二通道長度小於2。nm。將暸解,根據特定應用;;需要, 使用賴沈積技術(例如原子層沈積及其類似技術)可使 通道長度[甚至小於20mn。 同樣,在記憶單元的實施例中,橋厚度T (y方向) 可很小。在第—電極12、絕緣部件14和第二電極13的頂 面上使用薄膜沈積技術可建立此橋厚度τ。因此,記 憶单疋的實施例具有、約5〇 nm或更小的橋厚度丁。記憶單 =其他實施例具有約20 nm或更小的橋厚度。在另二些 二厚度τ為約i〇 nm或更小。將瞭解,根據特 兀件的目的’使用薄膜沈積技術(例如原子層沈積:其類 似技術)可使橋厚度T甚至小於⑴⑽,具有至少兩種固 13 13 1 3 5 θ9>〇Β4 17261twf.d〇c/〇〇6 =逆二通過料-和第二電極上施加^㈣壓而使其為 一如圖4中所示’橋寬度w(z方向 選實施例中實現此橋寬度w,使得其罝樣很小。在優 寬度。在某些實施例中,橋寬度wV為J、於1〇0 的 記憶單元的實施例包括基於相變的=或更小。The first electrode 12 is formed by the cell structure, and the first electrode 12 is not formed by the structure of the brother, and the banding and the 1C electrode 12 between the electrode and the electrode 13 are connected to each other.亓6Α ° and the first electrode 丨3, for # two cr: can be programmed to make a solid phase. For example, a memory material can be used to reversibly implement the use of a chalcogenide-based phase change memory material for the two memory I teeth, which can be at a relatively high resistivity state, at least partially dry of the T-bridge in the bean. ^T is in the current path rate state, 1 is sad, and can also be set in a relatively low resistance /, in the electric '4 diameter, most of the bridge is in a crystalline state. 131350) S〇84 17261twf.doc/006 Figure 3 shows the active channel 16 in the bridge U, where the primary material = the area that is sensed to change between at least two solid phases. It can be appreciated that the active channel 16 can be made to account for the magnitude of the current required to cause a phase change in the illustrated construction. ..., 攸 and reduce the first - ^ ^ ^ yuan (four) important wealth. The insulating member 14 (referred to as a channel dielectric in the figure) of the first electrode 12 and the second electrode is shown in the length L (x direction) of the active channel. The width of the insulating member 14 can be established by using the width of the solid-in-insulating member 14 of the single-turn, which can be used at 1 pole = = upper 14 sidewall dielectric using a thin film deposition technique. Thus, embodiments of the memory cell have a pass length less than the coffee nm and have a channel length L of about 40 mm or less. In another case, the actual = two channel length is less than 2. Nm. It will be appreciated that, depending on the particular application;; the use of Lai deposition techniques (such as atomic layer deposition and similar techniques) can result in channel lengths [even less than 20 nm. Also, in the embodiment of the memory unit, the bridge thickness T (y direction) can be small. This bridge thickness τ can be established using a thin film deposition technique on the top surfaces of the first electrode 12, the insulating member 14, and the second electrode 13. Thus, the embodiment of the memory unit has a bridge thickness of about 5 〇 nm or less. Memory Sheet = Other embodiments have a bridge thickness of about 20 nm or less. In the other two, the thickness τ is about i 〇 nm or less. It will be appreciated that the use of thin film deposition techniques (eg atomic layer deposition: a similar technique) may result in a bridge thickness T of even less than (1) (10), with at least two solids 13 13 1 3 5 θ9 > 〇Β 4 17261 twf.d, depending on the purpose of the component. 〇c/〇〇6 = inverse two through the material - and the second electrode is applied ^ (four) pressure to make it a bridge width w as shown in Figure 4 (the z-direction is chosen to achieve this bridge width w, so that It is small in size. In some embodiments, embodiments of the memory cell having a bridge width wV of J at 1 〇 0 include phase change based = or less.

1卜其中包括基於硫族化物的材料和发他2枓以用於橋 包括形成週期表的VI族的部分的氧(、树。硫族元素 (Se)和碲(Te)四種元素中的任一種。^⑻、石西 =正電元素或自由基的硫族元素化合物 包各具有其他物質(例如過渡金屬)的硫 的 , 硫族化物合金通常含有—個或—㈣上來自纟週^勿 六行的元素,例域(Ge)和錫(Sn)。硫族化物合^ 包括含有錄(sb)、鎵(Ga)、铜(ϊη)和銀(Ag)的一 種或一種以上的組合物。在技術文獻中已描述許多基於相 變的記憶材料’包括 Ga/Sb、In/Sb、In/Se、Sb/Te、Ge/Te、1 including among the chalcogenide-based materials and the other materials for the bridge including the oxygen (the tree, the chalcogen (Se) and the cerium (Te)) forming part of the group VI of the periodic table. Any one of ^(8), shixi = positively charged element or free radical chalcogenide compound each containing sulfur of other substances (such as transition metals), chalcogenide alloy usually contains - or - (d) from the week ^ Elements of the six rows, such as the domain (Ge) and tin (Sn). The chalcogenide compound includes one or more combinations containing (sb), gallium (Ga), copper (ϊη), and silver (Ag). Many phase change based memory materials have been described in the technical literature 'including Ga/Sb, In/Sb, In/Se, Sb/Te, Ge/Te,

Ge/Sb/Te、In/Sb/Te、Ga/Se/Te、Sn/Sb/Te、In/Sb/Ge、 Ag/In/Sb/Te、Ge/Sn/Sb/Te、Ge/Sb/Se/Te 和 Te/Ge/Sb/S 的 5孟。在Ge/Sb/Te合金族中’各種合金組合物是可用的。 所述組合物的特徵為TeaGebSblO〇-(a+b)。 一研究員已描述最有用的合金,在沈積物質中具有Te 的平均濃度適當低於70%,通常約低於60%,且一般在從 低至約23%到58% Te的範圍内變動,更優選的為約48% 到58% Te°Ge的濃度約在5%以上,並在物質中平均從低 14 Ι3135Θ»84 17261twf.doc/006 至約8%到約30%的範圍内變動,一般保持在50%以下。 更優選地,Ge的濃度在從約8%到約40%的範圍内變動。 在此組合物中,主要組成元素的剩餘物為Sb。這些百分比 為以構成元素的原子為總100%計的原子百分比。 (Ovshinsky ‘ 112專利,l〇-l 1行。)另一研究員估測的 特疋合金包括 Ge2Sb2Te5、GeSb2Te4 和 GeSb4Te7。(NoboruGe/Sb/Te, In/Sb/Te, Ga/Se/Te, Sn/Sb/Te, In/Sb/Ge, Ag/In/Sb/Te, Ge/Sn/Sb/Te, Ge/Sb/ 5 Meng of Se/Te and Te/Ge/Sb/S. Various alloy compositions are available in the Ge/Sb/Te alloy family. The composition is characterized by TeaGebSblO〇-(a+b). A researcher has described the most useful alloys with an average concentration of Te in the deposited material suitably below 70%, typically below about 60%, and generally ranging from as low as about 23% to 58% Te, and more Preferably, the concentration of Te°Ge is about 5% or more, and the average value in the material ranges from the lower 14 Ι 3135 Θ » 84 17261 twf. doc / 006 to about 8% to about 30%, generally Keep below 50%. More preferably, the concentration of Ge varies from about 8% to about 40%. In this composition, the remainder of the main constituent elements is Sb. These percentages are atomic percentages based on the total 100% of the atoms constituting the element. (Ovshinsky ‘112 patent, l〇-l 1 line.) Another researcher estimated that the special alloys include Ge2Sb2Te5, GeSb2Te4, and GeSb4Te7. (Noboru

Yamada Potential of Ge-Sb-Te Phase-Change OpticalYamada Potential of Ge-Sb-Te Phase-Change Optical

Disks for High-Data-Rate Recording" ,SPIE ν·3109,28-37Disks for High-Data-Rate Recording" ,SPIE ν·3109,28-37

頁(1997)。)更一般地,例如鉻(Cr)、鐵(Fe)、鎳 (Νι)、錕()、鈀(pd)、鉑(Pt)等過渡金屬和其 混合物或合金可與Ge/Sb/Te組合而形成一具有可程式化 電阻特性的相變合金。在〇vshinsky ‘11211_13行中給出可 ,用的記憶材料的特定實例,這些實例以引用的方式併入 本文中。Page (1997). More generally, transition metals such as chromium (Cr), iron (Fe), nickel (Νι), ruthenium (), palladium (pd), platinum (Pt), and mixtures or alloys thereof can be combined with Ge/Sb/Te A phase change alloy having a programmable resistance characteristic is formed. Specific examples of usable memory materials are given in 〇vshinsky '11211_13, which are incorporated herein by reference.

換,相變ί金可在第—結構狀態與第二結構狀態之間轉 -、二f述結構狀態中材料—般處於非晶固相,所述第 上卢Μ狀巾在單元的絲通道區域巾材料在其局部次序 晶處晶狀固相。這些合金至少為雙穩態的。術語‘‘非 序,:相對較無次序的結構,比一單晶體更無 術語“晶體,:例如比晶體相更高的電阻率。 結構更有序,复罝怜目對較有次序的結構’比-非晶 電阻率。通當二、有可^測的特徵,例如比非晶相更低的 -間光譜心部==:越完全非晶態與完全晶態 不间可檢測狀態之間進行電轉換。 Ι3135θ9〇84 17261twf.doc/0〇6 其他受非晶相與晶相夕 序、自由影響的材料特徵包括原子次 轉換為兩種或兩種以上固相心二t:;侧相或 與完全晶態之間提供—灰度級 =在(全非晶態 變化。 口此,材料中的電特性可 通過施加電脈衝,可使相變合 一種。已觀察到,—較短、_古二 ',文種相態改變為另 材料改變為大體非曰^ 一:::的振幅脈衝趨向於使相變 相變材料改變為‘二較低振幅脈衝趨向於使 量足夠高以允許破:二構:::較=衝中的能 子重新排列為晶態且^夠短以防止原 在,又有不適當實驗的情況下,可確定 脈衝的適當輪扉,尤其適合於特定相變合 f的以下部分中,相變材料指咖,ϋ將瞭解 1、他可㈣化電阻記憶材料可用於本發明的其他實施 t =tN2摻雜的GST、GexSby,或使用不同晶體相 缓以確疋电阻的其他材料;PrxCayMn〇3、p&Mn〇3、In other words, the phase change ί金 can be transferred between the first structural state and the second structural state, and the material in the structural state is generally in an amorphous solid phase, and the first upper velvet towel is in the wire passage of the unit. The regional towel material has a crystalline solid phase in its local order. These alloys are at least bistable. The term ''non-ordered': a relatively unordered structure, has no more term than a single crystal. "Crystals: for example, higher resistivity than crystal phases. Structure is more orderly, recking for more ordered structures' Ratio-amorphous resistivity. Generally, there are measurable characteristics, such as lower than the amorphous phase - the inter-spectral core ==: the more completely amorphous and the completely crystalline, between the detectable states Electrical conversion is carried out. Ι3135θ9〇84 17261twf.doc/0〇6 Other material characteristics affected by the amorphous phase and the crystal phase, including atomic subconversion to two or more solid phases, two t:; side phase Or provide a complete gray state - gray level = in (all amorphous state changes. The electrical properties in the material can be made by applying electrical pulses, the phase can be combined into one. It has been observed that - shorter, _古二', the change of the state of the language to another material changed to a general non-曰^::: The amplitude pulse tends to change the phase change phase change material to 'two lower amplitude pulses tend to make the amount high enough to allow breaking :Two structures:::The energy of the punch is rearranged to a crystalline state and ^ is short enough to prevent the original, and In the case of a suitable experiment, the appropriate rim of the pulse can be determined, especially suitable for the following part of the specific phase change f, the phase change material refers to 1, the other can be used for the present invention. Other implementations of t = tN2 doped GST, GexSby, or other materials that use different crystal phases to retard the electrical resistance; PrxCayMn〇3, p&Mn〇3,

Zr〇x’或使用電脈衝以改魏阻絲 四氛基對苯釀二WTCNQ)、亞甲基富勒6,6_苯基⑽ 丁 酸甲醋(PCBM )、TCNQ-PCBM、Cu-TCNQ、Ag-TCNQ、 C60TCNQ摻雜有其他金屬的TCNq,或具有由電脈衝 控制的雙穩態❹穩態電阻狀態的任何其他聚合物材料。 以下為描述四種類型的電阻記憶材料的簡短概述。第 16 13135 〇9°084 17261tvvf.doc/006 -類型為硫族化物材料’例如 2:2:5,或X為0〜5、y為〇〜ς : ^其中x:y:z = 可替代使用(例如)摻雜N I、,Q〜1Q的其他組合物。 GeSbTe 〇 ^雜N'、Sl_、^-或摻雜其他元素的 形成硫族化物材料的示範性 控管濺鑛方法,其巾來㈣Μ A制 麟或磁 丁水你乳體為Ar、N2和/或He耸笙,晚 力為1毫托至1〇〇亳托m 2才寻,壓 ^ ] 5 <6^7 m * 吊在至,皿下完成沈積。可使用 =田、比為1至5的準直儀來改善填充性能。為改善填充性 月b ’也可使用幾十伏特至總石处^ 、 叹1 π荷主歲百伏特的DC偏壓。另一方面, 可同犄使用DC偏壓與準直儀的組合。 可選擇執行在真空中式u 也丄 m , 甲4叫ί:^兄中的沈積後的退火處 S (post-deposition annealino t + 、 ahng treatment),以改善硫族化 物材料的結晶狀態。退火溫度通常在i〇〇 〇c至_ %的 範圍内變動’其中退火時間小於3〇分鐘。 〜、T族化物材料的厚度取決於單元結構的設計。一般來 況’厚度大於8 nm的硫魏物材料可具有相變特徵,從 而使材料展現至少兩種穩定電阻狀態。 “適用,於實施例的第二類型的記憶材料為巨磁電阻 (“CMR”)材料,例如 PrxCayMn〇3,其中 χχ5:〇 5, 或X為G〜卜y為〇〜1的其他組合物。可替代使用包括 錳氧化物的CMR材料。 形成CMR材㈣示紐^法制pvD雜或磁控管 濟:鍍方法’其中來源氣體為Ar、n2、〇2和/或He等等, 壓力為1毫托至100毫托。沈積溫度可在室溫至6〇〇 〇c的 17 13135ΘΘ〇84 17261twf.doc/006 動、,取决於沈積後處理條件。可使用縱橫比為1 用幾儀來改善填充性能。為改善填充性能,也可使 至幾百伏特的DC偏壓。另-方面,可同時使 餅如^與^直儀的組合。可施加幾十高斯至多達一特 a ^OOGthj斯)的磁場來改善磁性結晶相。 、、+拉1遠擇執仃在真空中或N2環境或〇義混合環境中的 退火處理,以改善CMR材料的結晶狀態。退火Zr〇x' or use electric pulse to change Wei 阻 四 氛 氛 WT WT WT WT WTCNQ), methylene fuller 6,6 phenyl (10) butyric acid methyl vinegar (PCBM), TCNQ-PCBM, Cu-TCNQ , Ag-TCNQ, C60TCNQ are doped with TCNq of other metals, or any other polymeric material having a bistable steady state resistive state controlled by electrical pulses. The following is a brief overview depicting four types of resistive memory materials. No. 16 13135 〇9°084 17261tvvf.doc/006 - Type is chalcogenide material 'for example 2:2:5, or X is 0~5, y is 〇~ς : ^ where x:y:z = can be substituted Other compositions doped with, for example, NI, Q~1Q are used. An exemplary controlled splashing method for the formation of chalcogenide materials by GeSbTe NN, Sl_, ^- or other elements, the towel (4) Μ A or magnetic butyl water, your milk is Ar, N2 and / or He shrug, the late force is 1 m to 1 〇〇亳 m 2 to find, press ^ ] 5 < 6 ^ 7 m * hang in, to complete the deposition under the dish. A collimator with a field ratio of 1 to 5 can be used to improve the filling performance. In order to improve the filling property, the monthly bias b ′ can also use a DC bias of several tens of volts to the total stone, and the singer of 1 π is 100 volts. Alternatively, a combination of a DC bias and a collimator can be used. It is optional to perform a post-deposition annealino t + , ahng treatment in a vacuum in the form u, 甲 m, 44, ί:^, to improve the crystallization state of the chalcogenide material. The annealing temperature generally fluctuates within the range of i 〇〇 〇 c to _ % where the annealing time is less than 3 〇 minutes. ~ The thickness of the T-based material depends on the design of the cell structure. In general, a sulfur-wet material having a thickness greater than 8 nm may have a phase change characteristic, thereby causing the material to exhibit at least two stable resistance states. "Applicable, the second type of memory material of the embodiment is a giant magnetoresistance ("CMR") material, such as PrxCayMn〇3, wherein χχ5: 〇5, or X is G~Bu y is 〇~1 other composition An alternative is to use a CMR material including manganese oxide. Forming a CMR material (4) showing a pvD impurity or a magnetron tube: a plating method in which the source gas is Ar, n2, 〇2, and/or He, etc., the pressure is 1 mTorr to 100 mTorr. The deposition temperature can be increased from 17 13135 ΘΘ〇 84 17261 twf.doc/006 at room temperature to 6 ° C depending on the post-deposition treatment conditions. The aspect ratio can be used as 1 Improve the filling performance. In order to improve the filling performance, it can also make the DC bias to several hundred volts. On the other hand, it can make the combination of the cake and the straightener at the same time. It can apply dozens of Gauss to as many as one a ^OOGthj The magnetic field of the magnetic field to improve the magnetic crystalline phase., + pull 1 remote control annealing in vacuum or N2 environment or ambiguous mixed environment to improve the crystal state of CMR material. Annealing

’皿又在400 C 600 0C的範圍内變動,退火時間小 於2小時。 CMR材料的厚度取決於單元結構的設計。10 nm至 200 nm厚度的CMR可用作核心材料。一 ybc〇 (YBaCu03 ’ 一類咼溫超導體材料)緩衝層常用於改善 CMR材料的結晶狀態。在CMR材料沈積之前,YBC〇沈 積。YBCO的厚度在3〇 nm至2〇〇 nm的範圍内變動。 第二類5己憶材料為雙元素化合物,例如Nix〇y、TixOy、 AlxOy、WxOy、Znx〇y、Zrx〇y、CUx〇y 等等,其巾 x:y = 〇 5:〇】,The dish was changed within the range of 400 C 600 0C, and the annealing time was less than 2 hours. The thickness of the CMR material depends on the design of the unit structure. CMR with a thickness of 10 nm to 200 nm can be used as the core material. A ybc〇 (YBaCu03's type of superconducting superconductor material) buffer layer is often used to improve the crystalline state of CMR materials. YBC〇 is deposited before the CMR material is deposited. The thickness of YBCO varies from 3 〇 nm to 2 〇〇 nm. The second type of 5 memory material is a two-element compound, such as Nix〇y, TixOy, AlxOy, WxOy, Znx〇y, Zrx〇y, CUx〇y, etc., and its towel x:y = 〇 5:〇],

或x為〇〜i、y為0〜1的其他組合物。示範性形成方法 使用PVD鱗或磁控管雜方法,其巾反應氣體為Ar、 N2、〇2和/或He等等,壓力為1毫托至丨毫托,並使用 金屬氧化物的靶,例如 NixOy、TixOy、Alx〇y、Wx〇y、Znx〇y、 ZrxOy、Cux〇y等等。通常在室溫下進行沈積。可使用縱橫 比為1至5的準直儀來改善填充性能。為改善填充性能, 也可使用幾十伏特至幾百伏特的DC偏壓。如果需要,可 同日守使用DC偏壓與準直儀的組合。 18 13135&9〇84 17261twf.doc/006 可選擇執行在真空中或NZ環境或〇ζ/Ν2混合環境中的 沈積後的退火處理,以改善金屬氧化物的氧分佈。退火溫 度通常在400 °C至600 °C的範圍内變動,退火時間小於2 小時。 替代形成方法使用PVD濺鍵或磁控管濺鑛方法,其中 反應氣體為 Ar/02、Ar/N2/02、純 〇2、He/02、He/ N2/〇 等等,壓力為1毫托至100毫托,使用金屬氧化物的乾,2 例如Ni、Ti、Al、W、Zn、Zr或Cu等等。通常在室溫下 進行沈積。可使用縱橫比為1至5的準直儀來改善填充性 能。為改善填充性能’也可使用幾十伏特至幾百伏特的 偏壓。如果需要,可同時使用DC偏壓與準直儀的組合。 可選擇執行在真空中或N2環境或〇2/N2混合環^中 的沈積後的退火處理,以改善金屬氧化物的氧分佈。退火 溫度通常在400 〇C至600。(:的範圍内變動,退火時間小 於2小時。 又-形成方法使用高溫氧化系統(例如炼爐或快速熱 脈衝(“RTP”)系統)進行氧化。溫度在2〇〇〇c至7〇〇〇c 的範圍内變動’其中純〇2或n2/〇2混合氣體壓力為幾毫托 至i標準大氣Μ。時間可在幾分鐘至幾小時的範圍内變 動:另-氧化方法為電漿氧化。制具有丨毫托至ι〇〇毫 托壓力的純〇2或;昆人$触斗、 ㈣DC源電藥來屬口 =或:广/〇2混合氣體的 +羊u匕孟屬表面’例如Ni、Ti、Al、W、 乳度在室溫至 的範圍内變動,取決於電 19 Ι3135Θ9〇84 1726Itwf.doc/006 漿氧化的程度。 第四類記憶材料為聚合物材料,例如摻雜Cu、、 Ag等等的TCNQ ’或PCBM_TCNQ混合聚合物。 成方法使用熱蒸發、電子束蒸發或分子束外延(“細/ f統進行。在單室t共蒸卵g τ 述固態TCNQ和摻雜劑小球放入w舟或= f舟中°施加高電流或電子束轉化所述源,使得材料混 :亚沈積在晶片上。不存在反触化學物f或氣體。在^ 。。二〇鬥的壓力下進行沈積。晶片溫度在室溫至200 C的把圍内變動。 可選擇執行在真空中或n2環境中的沈積後的退火處 聚合物材料的組合物分佈。退火溫度在室溫至 〇 〇的_内變動’退火時間小於1小時。 辦其,If田述PCRAM單元的結構。所述單元形成於半導 齙估上。例如淺溝渠隔離STI電介質(未圖示)的隔 己憶單元存取電晶體的列成對隔離。通過充當共 二,V" : 26的n型端子和充當p型基底20中的汲極區25 2^鱼子來形成所述存取電晶體。乡晶秒字元線 m2 Ϊ取電晶體的閑極。電介質填充層(未圖示) 同源二線上。圖案化層與導電的結構,包括共 鎢或、商用於i场成插塞結構2 9與3 G。所述導電材料可為 ^ 〇σ並充虽沿著陣列中列的共同源極線。 插塞、·、。構29與3G分別接觸雜區25與26。填充層(未 20 I313509〇84 17261twf.d〇c/006 源極線28和插塞結構29與鮮有大體平坦 的頂σ卩表面,適於形成電極層31。 Γ包括電極料32、33、Μ和基底部件39, 精使所述電極料分離,所述絕緣部件包括例 如通過以下描述的側壁處理而形% :的實施例中,基底部件39可比圍搁执、说厚,並 從剌源極線28分離,,基底部件可 = 而圍搁窄得多,因為需要減小共同源 木線28與電極部件33之間的電容性。在所說明 =J攔35a、35b包含在電極部件%側^Or x is another composition of 〇~i and y of 0~1. An exemplary formation method uses a PVD scale or a magnetron hybrid method in which the reaction gas of the towel is Ar, N2, 〇2, and/or He, etc., at a pressure of 1 mTorr to 丨 mTorr, and a target of a metal oxide is used. For example, NixOy, TixOy, Alx〇y, Wx〇y, Znx〇y, ZrxOy, Cux〇y, and the like. The deposition is usually carried out at room temperature. A collimator with an aspect ratio of 1 to 5 can be used to improve filling performance. To improve the filling performance, a DC bias of several tens of volts to several hundred volts can also be used. If necessary, use a combination of DC bias and collimator on the same day. 18 13135 &9〇84 17261twf.doc/006 It is optional to perform post-deposition annealing treatment in a vacuum or in a NZ environment or a 〇ζ/Ν2 mixed environment to improve the oxygen distribution of the metal oxide. The annealing temperature is usually varied from 400 ° C to 600 ° C and the annealing time is less than 2 hours. The alternative formation method uses a PVD splash bond or magnetron sputtering method in which the reaction gas is Ar/02, Ar/N2/02, pure ruthenium 2, He/02, He/N2/〇, etc., and the pressure is 1 mTorr. To 100 mTorr, a dry metal oxide is used, such as Ni, Ti, Al, W, Zn, Zr or Cu, and the like. The deposition is usually carried out at room temperature. A collimator with an aspect ratio of 1 to 5 can be used to improve fill performance. To improve the filling performance, a bias voltage of several tens of volts to several hundreds of volts can also be used. A combination of DC bias and collimator can be used at the same time if desired. Annealing after deposition in a vacuum or in an N2 environment or a 〇2/N2 mixing ring can be optionally performed to improve the oxygen distribution of the metal oxide. The annealing temperature is usually between 400 〇C and 600. The range of (: varies, the annealing time is less than 2 hours. Also - the formation method uses a high temperature oxidation system (such as a furnace or rapid heat pulse ("RTP") system) for oxidation. The temperature is between 2 〇〇〇 c and 7 〇〇. 〇c varies within the range of 'the pure 〇2 or n2/〇2 mixed gas pressure is a few millitorr to i standard atmosphere Μ. The time can vary from a few minutes to a few hours: another - oxidation method is plasma oxidation Pure 〇 2 or 丨 托 〇〇 ; ; ; ; 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆 昆For example, Ni, Ti, Al, W, the emulsion varies from room temperature to temperature, depending on the degree of plasma oxidation of the electricity 19 Ι 3135 Θ 9 〇 84 1726Itwf. doc / 006. The fourth type of memory material is a polymer material, such as doping. Cu, Ag, etc. TCNQ ' or PCBM_TCNQ mixed polymer. The method uses thermal evaporation, electron beam evaporation or molecular beam epitaxy ("fine / f system. In a single chamber t co-evaporated egg g τ described solid TCNQ and blended The dopant ball is placed in a boat or a boat, and a high current or electron beam is applied to convert the source, so that Material mixing: Sub-deposit on the wafer. There is no anti-touch chemical f or gas. Deposition is carried out under the pressure of the two-hopper. The wafer temperature varies from room temperature to 200 C. The composition of the polymer material at the annealing after deposition in a vacuum or in an n2 environment. The annealing temperature is less than 1 hour from room temperature to 内 within the anneal. The structure of the Iffield PCRAM cell. The cell is formed on a semi-conductance estimator. For example, a shallow trench isolation STI dielectric (not shown) is separated by a pair of memory cell access transistors in pairs. By acting as a common two, V": 26 n-type terminal And forming the access transistor as a bungee region 25 2^ in the p-type substrate 20. The eutectic second word line m2 draws the idle pole of the transistor. The dielectric filled layer (not shown) On-line, patterned layer and conductive structure, including co-tungsten or quotient for i-field plug structures 2 9 and 3 G. The conductive material can be ^ 〇 σ and fill the common source along the columns in the array Line. Plug, ·, .29 and 3G contact the miscellaneous areas 25 and 26, respectively. 20 I313509〇84 17261twf.d〇c/006 Source line 28 and plug structure 29 with a substantially flat top σ卩 surface suitable for forming electrode layer 31. ΓIncluding electrode material 32, 33, crucible and base member 39. Separating the electrode material, the insulating member includes, for example, by the sidewall treatment described below. In the embodiment, the base member 39 can be stacked, thickened, and separated from the source line 28 The base member can be made to be much narrower because the capacitance between the common source wood wire 28 and the electrode member 33 needs to be reduced. In the description =J block 35a, 35b is included in the electrode part % side ^

= :=:其中通過侧壁上的一定電極J 攔m (例如⑽)製成的薄膜橋36位在橫跨圍 的電極層31上,形成第—記憶單元,且『己 ΓΓ=二了成的薄膜橋37位在橫跨圍攔说 的另-側上的電極層31上,形成第二記憶單元。 電介質填充層(未圖示)位在薄膜橋36、 電介質填充層包含二氧化石夕、聚酿亞胺 2 介質填充材料。在實施例中,電介質二充他電 =熱和電的絕緣體,為所述橋提供熱和;二::: 38接觸電極部件33。包含金屬或其他 鳥插基 結構中包括位元線的圖案化導電^ 4〇 在雷陣列 層上’並接觸插塞38赠立對質填充 的記憶單元的存取。域、於賴橋36和薄膜橋37 21 1313508⑽ 1726ltwf.d〇c/〇〇6 ^以饰局圖展示圖5的半導體基底 此,沿者記憶單元陣列中的.共同源極㈣的'、 口構。因 所述共同源極線28來佈局字元線 大歧上平行於 t極3;:Γ導體基底“獅電晶體的端子 吏戶^32、33和34上,且絕緣圍攔35a、35t 使所述電極部件分離。在_ 關=3 b 觸薄膜橋36與37之間的電極_ =人居中插塞38接 6中為透明的)的下側。在屬位元物 透明)以加強結構的陣列饰局。魏月孟屬位兀線42(不 在操作中,通過對字元線23 膜橋36對庫的印情罝㈣饴就不凡成與缚 25、杆卖沾:、的匕的存取’字元線23通過汲極區 膜# 3rf29和電極部件32將共同源極線28輕合到薄 ^ 40 Φ接觸插塞38將電極部件33輕合到圖案化導 ί ill的f讀。同樣,通輯字元線24施加控制信 U來儿成㈣難37對應的記憶單元的存取。 摄瞭解’各種材料可用於實現圖5和圖6中所示的結 二可使用銅金屬化。也可利用其他類裂的金屬化, =、氮化鈦和鎢基材料。同樣,可使用例如摻雜多晶 H金屬導電材料。電極間圍搁祝、说可為二氧化 氧氮切、氮化;^、Al2(33 ’或其他低介電常數電介質。 ° 電極間絕緣層可包含從由Si、Ti、Al、Ta、N、〇 和c組成的群組中選出的—種或—種以上元素。 圖7為記憶體陣列的示意性說明,可參考圖5和圖6 22 13135 Ω9. 084 】726】 fwf.doc/006 插述來實現所述記憶體陣 月中,在Y方向上大體平行配 圖7的不意性說 幻和字元線24。在χ方置^f源極、線28、字元線 因此,區塊45中的丫解^^亍配置位元線41和 :元線23、24。區塊46中的兀:驅動器,合到 合到位元線41和42。共 馬。。和傳感放大器組耦 :、5卜咖的源極端子原:=^^ 取;:=。存取電晶體51的閉極轉:到字:,合 取電晶體52的閘極耗合到字】子兀線24。存 極輕合到字元線Μ。存取電曰曰 子電晶體53的閘 件Μ用於薄臈橋36,薄體^及極耦合到電極部 樣,存取電曰m 麵合到電極部件33。同 37,θ7 ^的没軸合到電極部件34用於薄_ 賴橋37又耗合到電極部件。電 專,橋 〜線41。出於示意性目的,電;牛3:合到 :線41上的分離位置處。將瞭解,在並他4?丨了 電極部件可用於單㈣憶單’單獨 42 元共用共同源極線28,其中在所說明示意圖見中單 同樣’在陣列中的行中,兩個記憶單元共二 件4,其中在所說明示意圖中在X方向德紅 ° 積體發明實施例的積體電路的簡化方丁框圖。 來每現的”匕括在半導體基底上使用薄膜相變記憶單元 貝見的6己憶體陣列6〇。列解碼器61 _合到多條字元線 23 13135¾¾ 084 17261twf.doc/006 6多6G中的列來配置。行解㈣63搞合到 ^条位兀線64,沿記憶_ 6()中的行綠置, 種Γ中的多閘極記憶單元㈣料進行讀取和 瑪ί 將位址供應到行解碼器63和列解 料匯产排67㈣入感測放大器和資料登錄結構通過資 來自積Ϊ電:7=:=來=_錄線-將 在所說明實施例中,所述積體電路上包括貝it= 如^處理器或專用應用電路,或可提供薄膜相變 = 上系統功能性的模組= 内部或外部的其他資料目=阜,或供應到積體電路75 控制= : = = :而實現的控制器 除、抹除校驗和程式化校、n如樣、程式化、抹 述控制器=;::=。在替一 ^ 通用處理器,其執行電腦積體電路上實現所述 =例中,專用邏輯電的,作°在其他 所述控制器。 通用處理為的組合可用於實現 圖9說明在前段製程之 中形成與圖7所示陣 ^的:構在所說明實施例 的子讀、源極線和存取 24 13 1 3 5 Ofi)〇84 17261twf.d〇c/〇〇6 對應的常用CMOS組件。在圖9中,源極線106位在半導 體基底中的格雜區域103上,其中摻雜區域1〇3對應於圖 中左邊的第一存取電晶體的源極端子和圖中右邊的第二存 取電晶體的源極端子。在此實施例中,源極線106延伸至 結構99的頂部表面上。在其他實施例中,源極線不一直延 伸到表面。掺雜區域1〇4對應於第一存取電晶體的汲極端 子。包括多晶矽107和矽化物罩(silicidecap) 1〇8的字元 線充當第一存取電晶體的閘極。電介質層1〇9位在多晶矽 107和破化物罩1〇8上。插塞no接觸捧雜區域1〇4,並提 ,到結構99表面的導電路徑以用於接觸如下所述的記憶 單元電極。摻雜區域105提供第二存取電晶體的汲極端 子。包括多晶石夕線111和石夕化物罩(未標出)的字元線充 當第二存取電晶體的閘極。插塞112接觸摻雜區域105並 提供到結構99頂部表面的導電路徑以用於接觸如下所述 的記憶單元電極。隔離溝渠1〇1和1〇2將耦合到插塞11〇 和112的雙電晶體結構與相鄰雙電晶體結構相隔離。在左 ,顯示字元線多晶矽117和插塞U4。在右邊顯示字元線 多晶矽118和插塞in。圖9中說明的結構99提供用於形 成圮憶單元元件的基底,包括第一和第二電極,和記憶材 料製成的橋’如以下更詳細描述。 ^圖10至18說明使用以多層電介質所實現的電極層的 ^置的製造中的相變橋裝置和階段。圖1〇說明在形成多層 電介質填充之後製造過程中的階段。圖1〇展示由斷面33曰〇 分離的陣列區域31〇和周邊區域320,以用於說明方式的 25 0084 17261 twf.doc/006 目的,其中用於形成記憶裝置的製造步驟與形成周邊電路 相結合。在圖10至18中全部保留此斷面33〇。在陣列區 域310,形成有多層電介質填充的基底包括由導電插塞 110、112的頂部表面和裝置上其他類似插塞所界定的接觸 窗陣列。這些接觸窗用於存取如下所述的記憶單元。在此 貫施例中,多層電介質填充包括底部蝕刻停止層2〇1、第 一電介質填充層202、第二蝕刻停止層2〇3、第二電介質填 充層204和第二電介質填充層2〇4上的保護層2〇5。在代 表性貫施例中,底部蝕刻停止層2〇1、第二钮 ^ 205 202、204包含二氧化碎。根據與以下描述製造步驟相容性 的需要’可選擇在多層電介f填充巾⑽底部侧停止層 201、第—電介質填充層2〇2、第二則停止層2〇3、第丄 電介質填充層204和保護層205的材料。同樣,如果在以 下描述的製造步驟巾輯的㈣不是必驗定,那麼實施 例中可去除底部钮刻停止層2〇1和保護層205。 圖11說明製造過程巾的下―階段。此階段發生於微影 步驟之後’所述微影步驟界定驗接觸基底中接觸窗陣列 的開口,且與接觸窗插塞110、112對準。在所述開口内應 用-個侧製程或多個侧製程(例如,用於二氧化石夕^ 氮化矽材料的基於CFX或CxFy的反應性離子蝕刻)以 除溝渠206、207中多層填充的底部蝕刻停止層2〇卜第一 電介質填充層202、第二钮刻停止層2〇3、第二電 層204和保護層205 ’並暴露所述接觸窗的頂部表面,包 26 131350a 〇δ4 17261 twf.doc/006 括接觸窗插塞11G _部表面。在此實施财,使用底部 ,·Μτ止層201來達到防止過钮刻到導電插塞11〇周圍的 电”質填充内。在其他實施例中,如果不可能發生這種過 蝕刻,那麼可去除底部蝕刻停止層。 在钮,溝渠206、207之後’電介質層共形地沈積在結 構上,並等向性地侧為所界定的溝渠2〇 細、,和溝渠斯中的側壁結構⑽、21^在 =例中,側壁結構至211包含氮切。側壁結構的 代表性厚度約在3G至5G奈采的範圍内變動。在某些實施 ^如如果可能的財相用於本文所描述結構或周圍結 構的‘造過程’那射能甚至更薄_壁結構是優選的。 可使用其他材料,其在薄财包含良好電絕緣體,且可在 以下描述的製造過程中選擇性蝕刻所述材料。 215 ^說明製造過程中的下—階段。在執行犧牲材料 、216的沈積之後出現此階段,犧牲材料2i5、216如 =Γίί射塗層職(類似於光阻劑材料)的聚合 ㈣枓’或其他材料’所述其他㈣ 於相對於側壁結構細至211、第二電介質填=: =二Γ1爾酬。在沈積犧牲材料21恤 '218'219J^使電極區域(例 =冓=51)和側壁結構謝、210暴露的開口。使用基於 :回:並,八,填充的頂部上,例如;:護= 或第一電;|貝填充層204的頂部上。隨後,應用_ 27 I3135Q9〇84 1726liwf.doc/006 層205和開口内的第二電介質填充層204,使 溝朱251内的側壁間隙壁2〇9、21〇暴霖。 圖13 5兒明在應用乾式/濕式剝離之後的下一階段,例 =用氧灰化的乾式剝離,隨後為應用咖265關化學 貝或其他化學物質的濕式剝離,所述其他化學物質通常 用於在使用光阻·形成通孔的_之後移除這種材料, 以移除光阻217、218、219和犧牲材料215、216,其中所 述犧牲材料包含與用相同方式_的雜相類似的聚合 物。所形成結構包括溝渠206和207以用於下層存取結構 的第一電極接觸窗,所述下層存取結構包括導電插塞11〇 的頂部表面,和用於第二電極接觸窗的溝渠251,側壁結 構209、210位於其間。 圖14展示將導電材料填入溝渠206、2〇7、251的工序 的結果。例如,可使用通常應用的銅或銅合金金屬化以用 於使用導電材料填充小的通孔。所應用技術可與用於以下 所述金屬化層的技術相同,以改善在用於金屬化製程的臨 界尺寸縮短時所述製程的可量測性。替代方法的實例包括 鎢或铭金屬化。在沈積導電材料之後,應用回蝕或化學機 械研磨技術以使結構平坦化,用侧壁結構209、210隔離導 電部件220、221、222。在銅金屬化的情況中利用多層電 介質填充中的保護層205來防止銅擴散到結構中。對於其 他金屬化技術來說,可去除保護層205。用於沈積銅的製 程包括電化學、機械沈積技術,其使用可從California的 Milpitas 的 NuTool, Inc 獲得的技術。 28 13135 θδ)〇84 17261t\vf.doc/006 圖15說明在應用-工序以移除表面附近導 -部分之後的下-階段。例如,在所述導料包含^ 可利用濕式製程來移除所述材料頂部表面的(例、 5〇奈米,使側壁結構209、21〇保持自所形成表面突出。 γ使用尚度、化學低應力電化學研磨·化學機 jECMD)來回仙’從而在溝渠中留下凹槽。例如,在 ^階段中(通過平坦化)移除鋼,其中通過檢測氧❹ =一電介質填充層204)或SiN層(保護層2〇5)(如 子在)來產生端點信號。隨後,在第二階段中,在改 ^不同配或具有銅對氧化物絲n的較高選擇性 的,磨頭之後’對通孔内的銅進行選擇性㈣ 的銅呈碟狀(dish)。 偏/ft,沈積電極材料(例如加或™)以填充由回 心二^槽:選擇所述電極材料以用於與可程式化電阻 U辟各性、並充當導電材料與相變材料之間的擴 二二,^ ,所述電極材料可為TiAIN或TaAIN,或可 包含(對於進一步實例來說)由mm 一一k La、Nl與Ru及其合金組成的群組中選擇的 ;磨=:二i元素。在沈積電極材料之後,如果需要, 妹椹+幾械研磨或另外移除保護層 205並暴露側壁 部表面咖和篇來使結構平坦化。 22/出/辟玉部件包括電極材料製成的薄膜223、224、 圖TIT _ ' 210隔離所述薄膜。 ""明在可程式化電阻材料製成的薄膜隨後為保 29 1313 5β9)84 17261twf.doc/006 護材料(例如氮化石夕)製成的磕腊、士拉、, 補片的可程式化===圖案化以界定由 八化电阻材枓製成的橋220之後的製 程中=二階段’其中補片227由保護可程式化電阻材料 刻化學物質影響的材料製成。在此實例 中兩個記憶單元並在此階段處從第—記憶單 7L左邊的* -電極部件(_ 223)延相帛二 邊的第-電極部件(_ 225),跨越在所述第—與^二單元 之間共用的第二電極部件(薄膜224)。可使用一個或一個以 上常規微影步驟對橋226進行圖案化以界定矩形補片。可 ===技術來減小補片的寬度。在共同待決 的美國專利申凊案弟11/155,〇67號中描述了減小 的代表性技術,所述申請案以引用的方式併入本文中。、又 圖17說明在陣列區域中和在周邊區域中在記憶單元 結構上沈積金屬間電介質23〇之後的結構。如圖 驟來打開到電極部件(薄臈224)的通孔,隨 if2;充,例如銅填充和化學機械研磨以形成插塞, 所述的ecmp。在處理的實施射,使用銅合全鑲 在圖案化導電層,將_破璃 (G)沈積在暴鉻的表面上’並隨後在 =。應用_除暴露的FSG,並隨二: 2襯^種晶層。隨後應用鋼電鑛來填充圖案。在電鑛 立後應用退火步驟,隨後為研磨製程。在形成接^ 邊跨越電介質側㈣構2G9的薄膜橋屬、補t為= 30 1313 5氣 17261twf.d〇c/〇〇6 在右邊跨越電介質側壁結構21〇的薄膜橋226β、補片 227B。在化學機械研磨之後,界定圖案化導電層,包括在 列區域中的位元線232,和周邊區域中的其他金屬化 一所形成裝置通過一電流路徑運行,所述電流路徑從位 几線232穿過電極部件(薄膜和薄膜橋m 部件(薄膜223)、導電部件22〇,進入導電插塞11〇 =結構上穿過到共同源極線1〇6下方。在可程式化電阻 =$相變,一(例如_時’當所述相變材料處於 阳U、’ έ己憶單元記憶一位元,例如邏輯〇,且當 =材料處於多晶態時,記憶單元記憶另—位元,例如邏 社人本文描述的處理步驟容易與標準Cm〇s製造技術相 了:並可職小金屬化的臨界尺寸來較好地定標,尤盆 電極部件(導電部件⑽、22i 1 222)中用於填充^ 2^ 3^配於用於上部層的金屬化,例如用於位元線 屬化。可使用不需要與針對多層金屬化技術中 ^ 内金屬層接觸窗而開發的金屬化技術一 。成的ί i,横比通孔良好運作的技術來沈積電極材 科衣^㈣膜,^括電極部件(薄膜223、224、225)。 於奸文15早7°包含兩個底部電極,電介質間隙壁位 跨所= = =相變材料製成的橋橫 段制程CMOS邏輯^^和電介質_壁形成於在前 構或其他功能電路結構上的電極層 131350¾ 084 17261 twf.doc/006 而提供了容易支援在單個^上⑽人式妙 功月P路的結構,所述單個晶片為(例 片二 統(SOC)裝置的晶片。 马日日片上糸 雖穌發明實關減如上,财並㈣以 本毛明,任何熟習此技藝者,在 ===:本發明之= 【圖式簡單說明】 圖1說明-薄臈橋相變記憶元件的實施例。 徑。圖2說明圖!中所示薄膜橋相變記憶元件中的電流路 的主=說明圖1中所示薄膜橋相變記憶元件中用於相變 圖4說明圖1中所示薄膜橋相變記憶元件的尺寸。 圖5說明-對相變記憶元件的結構,其中存取 一電極層下方且位元線在所述電極層上方。 在 圖6展示圖5中所說明結構的佈局或平面圖。 圖7為包含相變記憶元件的記鱗_示竟圖。 路裝薄膜相變記憶陣列和其他電路的積體電 圖9為包括由前端製程形成的存 圖’所述存取電路在基於圖”所示結=== 的製造過程中製成。 ”日以 圖10至圖18說明使用基於多層絕緣體的電極層的記 32 BISSON 17261twf.doc/006 憶裝置製造方法中的裝置和階段。 【主要元件符號說明】 10 :記憶單元 1卜226 :橋 12 :第一電極 12a、13a、14a、209A、210A :頂部表面 13 第二電極 14 絕緣部件 15 電流路徑 16 主動通道 20 基底 23、24、62 :字元線 25、27 :汲極區 2 6 ·源極區 28 :共同源極線 29、30 :插塞結構 31 :電極層 32、33、34 :電極部件 35a、35b :圍欄 36、37、226A、226B :薄膜橋 38、110、112、113、114 :插塞 39 :基底部件 40 :圖案化導電層 41、42、64、232 :位元線 33 1313m 17261 twf.doc/006 45、46、66 :區塊 50、51、52、53 :電晶體 60 :記憶陣列 61 :列解碼器 63 :行解碼器 65、67 :匯流排 68 :偏壓配置電源電壓 69 :偏壓配置狀態機 # 71 :資料登錄線 72 :資料輸出線 74 :其他電路 75 :積體電路 99 :結構 101、102 :隔離溝渠 103、104、105 :摻雜區域 106 :源極線 • 107、118 ··多晶矽 108 :矽化物罩 109 :電介質層 111 :多晶矽線 117 :字元線多晶矽 201 :底部蝕刻停止層 202 :第一電介質填充層 203 :第二蝕刻停止層 34 I3135Q9〇b4 17261twf.doc/006 204 :第二電介質填充層 205 :保護層 206、207、251 :溝渠 208、209、210、211 ··側壁結構 215、216 :犧牲材料 217、218、219 :光阻 220、22卜222 :導電部件 223、224、225 :薄膜 # 227、227A、227B :補片 230 :金屬間電介質 233、234 :金屬化 310 :陣列區域 320 :周邊區域 330 :斷面 L .長度 T :厚度 赢 W :寬度 35= :=: A film bridge 36 made of a certain electrode J on the side wall (for example, (10)) is positioned on the traversing electrode layer 31 to form a first memory unit, and The film bridge 37 is positioned on the electrode layer 31 on the other side of the enclosure to form a second memory unit. A dielectric fill layer (not shown) is located on the film bridge 36, and the dielectric fill layer comprises a dioxide dioxide and a polyimide II dielectric fill material. In an embodiment, the dielectric is charged with a thermal and electrical insulator to provide heat to the bridge; and the second::: 38 contacts the electrode member 33. A patterned conductive conductor comprising a bit line in a metal or other bird interposer structure is placed on the lightning array layer and contacts the plug 38 to provide access to an oppositely filled memory cell. Domain, Yulai Bridge 36 and film bridge 37 21 1313508 (10) 1726ltwf.d〇c/〇〇6 ^ show the semiconductor substrate of Figure 5 in a decorative diagram, along the common source (four) of the memory cell array Structure. Because of the common source line 28, the layout word line is largely parallel to the t pole 3; the conductor base "the terminal of the lion transistor is on the households ^32, 33 and 34, and the insulating barriers 35a, 35t enable The electrode members are separated. The lower side of the electrode _OFF = 3 b between the contact film bridges 36 and 37 is transparent (the transparent material in the human center plug 38 is connected to the substrate). Array decoration bureau. Wei Yuemeng is located in the line 42 (not in operation, through the word line 23 film bridge 36 on the library of the 罝 四 (4) 饴 不 成 、 、 、 、 、 、 、 、 、 、 、 、 、 、 The word line 23 passes the common source line 28 through the drain region film #3rf29 and the electrode member 32 to the thin 40 Φ contact plug 38 to lightly match the electrode member 33 to the f-read of the patterned guide. The general character line 24 applies the control signal U to the access of the memory unit corresponding to the (four) difficulty 37. It is understood that the various materials can be used to implement the junction shown in Figures 5 and 6 to use copper metallization. Other crack-like metallization, =, titanium nitride and tungsten-based materials can also be utilized. Similarly, for example, doped polycrystalline H metal conductive materials can be used. It can be cut or nitrided by oxygen dioxide; ^, Al2 (33' or other low dielectric constant dielectric. ° The interelectrode insulating layer can comprise from Si, Ti, Al, Ta, N, 〇 and c. The selected one or more elements in the group. Figure 7 is a schematic illustration of a memory array, which can be implemented by referring to Figure 5 and Figure 6 22 13135 Ω9. 084 726] fwf.doc/006 In the memory array, in the Y direction, the unintentional illusion and word line 24 of Figure 7 are generally parallel. In the square, the source, line 28, and word line are set, so the solution in block 45 is solved. ^^亍Configure bit line 41 and: element line 23, 24. Block in block 46: driver, combined to bit line 41 and 42. Common horse. and sense amplifier group coupled: 5 The source terminal is: =^^ Take;:=. The closed-pole turn of the access transistor 51: to the word:, the gate of the combined transistor 52 is consumed to the word] the sub-twist line 24. To the word line 闸, the gate member for accessing the electric transistor 53 is used for the thin bridge 36, the thin body and the pole are coupled to the electrode portion, and the access electrode m is bonded to the electrode member 33. 37, θ7 ^ is not coupled to the electrode member 34 For the thin _ 赖 bridge 37 is again consuming to the electrode parts. Electrical, bridge ~ line 41. For illustrative purposes, electricity; cattle 3: merging to: the separation position on line 41. will understand, and he 4? 电极 The electrode parts can be used for single (4) memory single 'single 42 yuan sharing common source line 28, which in the illustrated diagram see the same 'in the row in the array, two memory units total two four, of which In the illustrated diagram, a simplified block diagram of the integrated circuit of the embodiment of the invention in the X direction of the red phase is used. Each of the present inventions is included in the semiconductor substrate using a thin film phase change memory cell. Body array 6〇. Column decoder 61 _ combined into multiple word lines 23 131353⁄43⁄4 084 17261twf.doc/006 6 columns in 6G to configure. The line solution (4) 63 is merged into the ^ strip line 64, along the line in the memory _ 6 (), the multi-gate memory unit (4) in the seed is read and the address is supplied to the line decoder. 63 and column deconstruction row 67 (four) into the sense amplifier and data registration structure through the accumulation of electricity: 7 =: = to = _ record line - in the illustrated embodiment, the integrated circuit includes shell It= as a processor or dedicated application circuit, or a module that provides film phase change = system functionality = internal or external data = 阜, or supplied to integrated circuit 75 control = : = = : The implemented controller divides, erases the checksum, and programmatically, n, like, stylize, and narrate the controller =;::=. In the case of a general-purpose processor, which implements a computer integrated circuit, the implementation of the = example, dedicated logic, is performed in the other controllers. The combination of general processing can be used to implement Figure 9 to illustrate the formation of the matrix shown in Figure 7 in the previous stage process: the sub-read, source line and access in the illustrated embodiment 24 13 1 3 5 Ofi) 84 17261twf.d〇c/〇〇6 Corresponding common CMOS components. In FIG. 9, the source line 106 is located on the impurity region 103 in the semiconductor substrate, wherein the doped region 1〇3 corresponds to the source terminal of the first access transistor on the left side of the figure and the right side of the figure. The source terminal of the second access transistor. In this embodiment, the source line 106 extends onto the top surface of the structure 99. In other embodiments, the source lines do not extend all the way to the surface. The doped region 1 〇 4 corresponds to the 汲 terminal of the first access transistor. A word line including a polysilicon 107 and a silicide cap 1 〇 8 serves as a gate of the first access transistor. The dielectric layer 1 〇 9 is on the polysilicon 107 and the breaking cover 1 〇 8. The plug no contacts the holding area 1〇4 and mentions a conductive path to the surface of the structure 99 for contacting the memory cell electrodes as described below. The doped region 105 provides the drain terminal of the second access transistor. A word line including a polycrystalline ridge 111 and a lithograph cover (not shown) serves as a gate of the second access transistor. Plug 112 contacts doped region 105 and provides a conductive path to the top surface of structure 99 for contacting the memory cell electrodes as described below. Isolation trenches 1〇1 and 1〇2 isolate the dual transistor structure coupled to plugs 11〇 and 112 from adjacent dual crystal structures. On the left, the word line polysilicon 117 and the plug U4 are displayed. The word line polysilicon 118 and plug in are shown on the right. The structure 99 illustrated in Figure 9 provides a substrate for forming a memory cell element, including first and second electrodes, and a bridge made of memory material' as described in more detail below. Figures 10 through 18 illustrate phase change bridge devices and stages in the fabrication of electrode layers implemented using multilayer dielectrics. Figure 1 illustrates the stages in the fabrication process after forming a multilayer dielectric fill. Figure 1A shows an array area 31" and a peripheral area 320 separated by a section 33" for the purpose of illustrating the method of forming a memory device and forming a peripheral circuit 25 0084 17261 twf.doc/006. Combine. This section 33〇 is retained in all of Figs. 10 to 18. In array region 310, the substrate formed with the multilayer dielectric fill includes an array of contact windows defined by the top surface of conductive plugs 110, 112 and other similar plugs on the device. These contact windows are used to access memory cells as described below. In this embodiment, the multilayer dielectric fill includes a bottom etch stop layer 2, a first dielectric fill layer 202, a second etch stop layer 2〇3, a second dielectric fill layer 204, and a second dielectric fill layer 2〇4. The upper protective layer 2〇5. In a representative embodiment, the bottom etch stop layer 2〇1, the second button ^205 202, 204 comprise a oxidized ash. According to the need for compatibility with the manufacturing steps described below, the bottom side stop layer 201, the first dielectric filling layer 2, the second stop layer 2〇3, and the second dielectric filling may be selected in the multilayer dielectric f-filled towel (10). The material of layer 204 and protective layer 205. Also, if (4) of the manufacturing step described below is not necessarily determined, the bottom button stop layer 2〇1 and the protective layer 205 can be removed in the embodiment. Figure 11 illustrates the next stage of the manufacturing process towel. This phase occurs after the lithography step. The lithography step defines the opening of the contact array in the contact substrate and is aligned with the contact plugs 110, 112. Applying a side process or a plurality of side processes (eg, CFX or CxFy based reactive ion etching for the dioxide dioxide tantalum nitride material) within the opening to remove multiple layers of the trenches 206, 207 The bottom etch stop layer 2 includes a first dielectric fill layer 202, a second button stop layer 2〇3, a second electrical layer 204, and a protective layer 205' and exposes a top surface of the contact window, package 26 131350a 〇δ4 17261 Twf.doc/006 includes the contact window plug 11G _ surface. In this implementation, the bottom, Μτ stop layer 201 is used to prevent the over-cutting into the electrical "fill" around the conductive plug 11 。. In other embodiments, if such over-etching is not possible, then Removing the bottom etch stop layer. After the button, the trenches 206, 207, the dielectric layer is conformally deposited on the structure, and the isotropic side is defined by the trench 2, and the sidewall structure (10) in the trench 21^ In the example, the sidewall structure to 211 comprises a nitrogen cut. The representative thickness of the sidewall structure varies from about 3G to 5G. In some implementations, if possible, the structure used in the structure described herein. Or the 'creation process' of the surrounding structure is even thinner. Wall structures are preferred. Other materials can be used, which contain good electrical insulators in thin materials, and can be selectively etched in the manufacturing process described below. 215 ^Describe the next stage in the manufacturing process. This stage occurs after the deposition of the sacrificial material, 216, and the sacrificial material 2i5, 216 is polymerized (similar to the photoresist material). or others The material 'the other (4) is as thin as 211 with respect to the sidewall structure, and the second dielectric fill == = Γ1 尔. In the deposition of the sacrificial material 21 shirt '218' 219J ^ the electrode area (example = 冓 = 51) and the side wall Structure Xie, 210 exposed openings. Use based on: back: and, eight, on top of the fill, for example;: protect = or first electric; | shell fill layer 204 on top. Subsequently, application _ 27 I3135Q9 〇 84 1726liwf .doc/006 layer 205 and second dielectric filling layer 204 in the opening, so that the sidewall spacers 2〇9, 21 in the trench 251 are violent. Figure 13 5 shows the application of dry/wet stripping One stage, example = dry stripping with oxygen ashing, followed by wet stripping of chemical shells or other chemicals, which are typically used after the use of photoresist to form vias In addition to this material, the photoresist 217, 218, 219 and the sacrificial material 215, 216 are removed, wherein the sacrificial material comprises a polymer similar to the hetero-phase in the same manner. The resulting structure includes trenches 206 and 207. a first electrode contact window for the lower access structure, the lower access node A top surface including the conductive plug 11A, and a trench 251 for the second electrode contact window with the sidewall structures 209, 210 therebetween. Figure 14 shows the result of the process of filling the conductive material into the trenches 206, 2, 7, and 251. For example, it can be metallized using a commonly applied copper or copper alloy for filling small vias with a conductive material. The applied technique can be the same as that used for the metallization layer described below to improve the use in metallization. The processability of the process is reduced when the critical dimension of the process is shortened. Examples of alternative methods include tungsten or metallization. After deposition of the conductive material, etch back or chemical mechanical polishing techniques are applied to planarize the structure, with sidewall structures 209, 210 isolate the conductive members 220, 221, 222. The protective layer 205 in the multilayer dielectric fill is utilized in the case of copper metallization to prevent copper from diffusing into the structure. For other metallization techniques, the protective layer 205 can be removed. Processes for depositing copper include electrochemical, mechanical deposition techniques using techniques available from NuTool, Inc. of Milpitas, California. 28 13135 θδ) 〇 84 17261t\vf.doc/006 Figure 15 illustrates the lower-stage after the application-process to remove the guide-portion near the surface. For example, the guide material may include a wet process to remove the top surface of the material (eg, 5 nanometers, such that the sidewall structures 209, 21〇 remain protruding from the formed surface. Chemical low-stress electrochemical polishing · chemical machine jECMD) back and forth to leave grooves in the trench. For example, the steel is removed in the ^ stage (by planarization) by detecting oxygen ❹ = a dielectric fill layer 204) or the SiN layer (protective layer 2 〇 5) (as in). Subsequently, in the second stage, after changing the different or having a higher selectivity for copper to oxide wire n, after the grinding head, the copper in the through hole is selectively (four) copper dished (dish) . Offset/ft, depositing electrode material (eg, plus or TM) to fill the trench by the return: selecting the electrode material for use with the programmable resistor and acting as a conductive material and phase change material The electrode material may be TiAIN or TaAIN, or may comprise (for further examples) selected from the group consisting of mm-kLa, Nl and Ru and alloys thereof; : Two i elements. After depositing the electrode material, if necessary, the sister layer + several abrasives or otherwise remove the protective layer 205 and expose the sidewall surfaces to flatten the structure. The 22/out/pear component comprises a film 223, 224 made of an electrode material, and the film TIT_'210 isolates the film. "" A film made of a programmable resistive material is subsequently made of wax, serra, and patch made of a protective material (such as nitriding enamel). Stylization === patterning to define the process after the bridge 220 made of the octagonal resistor material = two stages 'where the patch 227 is made of a material that protects the programmable resistive material from etching chemicals. In this example, the two memory cells and at this stage are extended from the *-electrode part (_223) on the left side of the first memory cell 7L to the first electrode part (_225) on both sides, crossing the first A second electrode member (film 224) shared between the two units. Bridge 226 may be patterned using one or more conventional lithography steps to define a rectangular patch. === technology to reduce the width of the patch. A reduced representative technique is described in co-pending U.S. Patent Application Serial No. 11/155, filed hereby incorporated herein by reference. Further, Fig. 17 illustrates the structure after depositing the inter-metal dielectric 23 on the memory cell structure in the array region and in the peripheral region. The through hole to the electrode member (thin 224) is opened as shown in the figure, followed by if2; charging, such as copper filling and chemical mechanical polishing to form a plug, said ecmp. In the implementation of the treatment, copper is used to pattern the conductive layer, and _glass (G) is deposited on the surface of the chrome chrome' and then at =. Application _ In addition to the exposed FSG, and along with two: 2 lining the seed layer. The steel ore is then applied to fill the pattern. An annealing step is applied after the electromineralization, followed by a grinding process. A thin film bridge 226β and a patch 227B spanning the dielectric sidewall structure 21〇 on the right side are formed on the thin film bridge of the 2G9 across the dielectric side (4), and the complement is = 30 1313 5 gas 17261 twf.d〇c/〇〇6. After chemical mechanical polishing, the patterned conductive layer is defined, including bit lines 232 in the column regions, and other metallization forming devices in the peripheral regions are operated through a current path from the bit lines 232 Through the electrode member (film and film bridge m component (film 223), conductive member 22〇, enter the conductive plug 11 〇 = structurally through below the common source line 1 〇 6. In the programmable resistance = $ phase Change, one (for example, when the phase change material is in the yang U, ' έ recall unit memory one element, such as logic 〇, and when the material is polycrystalline, the memory unit memorizes another bit, For example, the processing steps described in this article are easily related to the standard Cm〇s manufacturing technology: the critical dimension of the small metallization can be better scaled, especially in the electrode parts (conductive parts (10), 22i 1 222). Used for filling metallization for the upper layer, for example for bit line characterization. Metallization techniques that are not required to be developed for contact with metal layers in multilayer metallization technology can be used. One. The ί i, the transverse through hole works well. The technique is to deposit the electrode material coating (4) film, including the electrode parts (films 223, 224, 225). In the case of the essay 15 early 7 ° contains two bottom electrodes, the dielectric gap wall span = = = phase change material The fabricated bridge cross-section process CMOS logic and dielectric_wall are formed on the electrode layer 1335353⁄4 084 17261 twf.doc/006 on the front structure or other functional circuit structure and provide easy support on a single ^ (10) human style The structure of the power circuit P, the single wafer is a chip of the GPU device. Although the film is actually reduced, the financial and (4) to Ben Maoming, anyone familiar with this skill. In ===: The present invention = [Schematic Description] Figure 1 illustrates an embodiment of a thin-bridged phase change memory device. Figure 2. illustrates the current in the thin film bridge phase change memory device shown in Figure! The mains of the road = the phase transition used in the thin film bridge phase change memory element shown in Figure 1. Figure 4 illustrates the dimensions of the thin film bridge phase change memory element shown in Figure 1. Figure 5 illustrates the structure of the phase change memory element, Wherein access is below an electrode layer and bit lines are above the electrode layer. The layout or plan view of the structure illustrated in Fig. 5. Fig. 7 is a diagram showing the phase change memory element. The integrated circuit diagram of the road-mounted thin film phase change memory array and other circuits includes the memory formed by the front end process. The access circuit is made in the manufacturing process based on the junction === shown in the figure. "Development of the use of an electrode layer based on a multilayer insulator in Fig. 10 to Fig. 18 BISSON 17261twf.doc/006 Device and stage in the device manufacturing method. [Main component symbol description] 10: Memory unit 1 226: Bridge 12: First electrode 12a, 13a, 14a, 209A, 210A: Top surface 13 Second electrode 14 Insulation member 15 Current Path 16 Active Channel 20 Substrate 23, 24, 62: Word Line 25, 27: Drain Region 2 6 · Source Region 28: Common Source Line 29, 30: Plug Structure 31: Electrode Layers 32, 33, 34 : Electrode members 35a, 35b: fences 36, 37, 226A, 226B: film bridges 38, 110, 112, 113, 114: plug 39: base member 40: patterned conductive layers 41, 42, 64, 232: bit Line 33 1313m 17261 twf.doc/006 45, 46, 66: Blocks 50, 51, 52, 53: Transistor 60: Memory array 61: Column decoder 63: Row decoder 65, 67: Bus bar 68: Bias configuration Power supply voltage 69: Bias configuration state machine #71: Data registration line 72: Data output line 74: Other circuit 75 Integrated circuit 99: structure 101, 102: isolation trenches 103, 104, 105: doped region 106: source line • 107, 118 · polysilicon 108: germanide mask 109: dielectric layer 111: polysilicon line 117: word Meta-line polysilicon 201: bottom etch stop layer 202: first dielectric fill layer 203: second etch stop layer 34 I3135Q9〇b4 17261twf.doc/006 204: second dielectric fill layer 205: protective layer 206, 207, 251: trench 208, 209, 210, 211 · sidewall structure 215, 216: sacrificial material 217, 218, 219: photoresist 220, 22 222: conductive members 223, 224, 225: film # 227, 227A, 227B: patch 230 : inter-metal dielectric 233, 234: metallization 310: array area 320: peripheral area 330: section L. length T: thickness win W: width 35

Claims (1)

I3135ft9〇84 17261twf.doc/〇〇6 十、申請專利範圍: 1一種用於製造記憶裝置的方法,包含. 括在ίΐΓΓΓ面的—基底中形成一電路’所述電路包 二部表面上的一第-接觸窗陣列; 、· a土底上形成一電極層,所述電極層具有頂 面,所遂電極層包括―電極對陣。、ς 所電極之間形成個別絕緣部件’其中 述第—接觸窗陣列中的對應接觸窗相接 第—電極與所述第二電極和所述絕緣部件 I伸到所述f極層的頂縣面,且所述絕緣部件具 述電極層的頂部表面,八、+,β 斤 之間的-寬度 1於所述苐—電極與所述第二電極 在所述電極層的頂部表面上形成一記憶材料製成的— ㈤陣列,所述橋陣列包括用於所述電極對陣列中的每 述電極對的橋,接觸個別所述第-電極和所述第二電極並 跨越個別所述絕緣部件延伸,所述橋包含所述記憶椅 成的-補片’所述補片具有—第—側和—第二側,龙以戶; 述,一側接觸個別所述第一電極和所述第二電極,所述橋 界定跨越所述絕緣部件在所述第一電極與所述第二電極: 間的電極間路徑’所述電極間路徑具有由所述絕緣部件 的所述足度所界定的一路徑長度,其中所述記憶材料呈 至少兩種固相;以及 、 使用所述金屬化製程在所述橋上形成一圖案化導電 36 I3135〇a〇84 17261 twf.doc/006 層,並在所述電極對陣列中的所述第二電極與所述圖案化 導電層之間形成一第二接觸窗陣列。 2.如申請專利範圍第1項所述之用於製造記憶裝置的 方法,其中形成所述電極層包括: 又 ^形成一多層結構,包括一第一電介質填充層、在所述 第電介質填充層上的一侧停止層、和在所述飯刻停止 層上的一第二電介質填充層; 、、在包括一接觸窗通孔的圖案中蝕刻所述多層結構,所 述接觸窗if絲露了所述基底的麟表面上的所述第一接 觸窗陣列中的一選定接觸窗; 在所述接觸固通孔的側壁上形成一側壁電介質間隙 壁; 、-、 用犧牲材料覆蓋所述多層結構,填充所述接觸窗通 孔; 、、在包括一開口的圖案中選擇性蝕刻所述犧牲材料,所 述開口、暴路所述多層結構上的一電極區域和所述側壁電介 質間隙壁,停止於所述第二電介質填充層的頂部表面水平 附近; 移除所述電極區域中的所述第二電介質填充層,停止 =所述㈣停止層上,以在所述多層結構中形成—電極溝 知,並使所述側壁電介質間隙壁位於所述電極溝渠的側面 上; 攸所述接㈣通孔移除所述犧牲材料,暴賴述選定 觸窗並使所述側壁電介質_壁位於-開口對之間,所 13135(¾¾. 084 17261twf.d〇c/〇〇6 述開口對包括所述接觸窗通孔和所述電極溝渠·, 祖植Ϊ積導電材料’使騎述麵化製程麟述導電絲 構。、所边接觸窗通孔和所述電極溝渠以形成一填充結 方二糊範圍第2項所述之用於製造記憶裝置的 豆中戶斤述多層結構中形成一底部触刻停止層,且 其中在包括所述接觸窗通孔的圖案 I 底部_亭止層1二^ 内的=^=述選定接觸窗的所述接觸窗通孔 專利軸2項所述之用於製造記憶裝置的 方法’ L括在所述多層結構中在所述第二電介質填充層上 形成一保護層,並在用所述導雷鉍 曰 後移除所述保護層。導電材鄉充所述電極溝渠之 方、圍第2項所述之用於製造記憶展置的 方法,其情述犧牲材料包含有機聚合物。 6.如申口月專利祀圍第2項所述之用於製造記憶 方法,其帽述犧牲材料包含抗反崎料。 、7·如申#專利耗圍第2項所述之用於製造記憶裝置的 方法,包括: 將所述接觸窗通孔和所述電極溝渠内的所述導電材料 回银至所賴壁電介質_壁的了Μ部表面 形成一電極凹槽; 用心選擇而與可程式化電崎料相容的—電極材料 38 I3135ft^084 17261twf.doc/006 I3135ft^084 17261twf.doc/006 φI3135ft9〇84 17261twf.doc/〇〇6 X. Patent Application Range: 1 A method for manufacturing a memory device, comprising: forming a circuit in a substrate - a circuit on the two surfaces of the circuit package a first contact window array; an earth electrode layer is formed on the bottom of the soil, the electrode layer has a top surface, and the electrode layer includes an electrode pair. Forming an individual insulating member between the electrodes. The corresponding contact window in the first contact window array is connected to the first electrode and the second electrode and the insulating member I extends to the top of the f-pole layer. And the insulating member has a top surface of the electrode layer, a width Between 8 and +, β jin is formed on the top surface of the electrode layer by the 苐-electrode and the second electrode (5) an array of memory materials, the bridge array including bridges for each of the electrode pairs in the array of electrode pairs, contacting the individual first and second electrodes and spanning the individual insulating members Extendingly, the bridge includes a patch of the memory chair. The patch has a first side and a second side, and the first side contacts the individual first electrode and the first side. a second electrode, the bridge defining an inter-electrode path between the first electrode and the second electrode across the insulating member. The inter-electrode path has a defined extent by the degree of the insulating member a path length, wherein the memory material is at least a solid phase; and, using the metallization process, forming a patterned conductive layer 36 I 135 〇 a 〇 17 17 17 17 doc doc doc doc doc , , , , , , , , , , , , , , , , , , , A second contact window array is formed between the patterned conductive layers. 2. The method for manufacturing a memory device according to claim 1, wherein the forming the electrode layer comprises: forming a multilayer structure including a first dielectric filling layer, filling the dielectric material a side stop layer on the layer, and a second dielectric fill layer on the rice stop layer; etching the multilayer structure in a pattern including a contact via, the contact window a selected one of the first contact arrays on the lining surface of the substrate; a sidewall dielectric spacer formed on a sidewall of the contact via; and - covering the plurality of layers with a sacrificial material a structure for filling the contact via hole; selectively etching the sacrificial material in a pattern including an opening, an opening, an electrode region on the multilayer structure, and the sidewall dielectric spacer, Stopping near the top surface level of the second dielectric fill layer; removing the second dielectric fill layer in the electrode region, stopping = the (4) stop layer, in the Forming an electrode trench in the layer structure, and causing the sidewall dielectric spacer to be located on a side of the electrode trench; 攸 the (four) via removing the sacrificial material, arbitrarily selecting the touch window and making the The sidewall dielectric_wall is located between the pair of openings, 13135 (3⁄43⁄4. 084 17261 twf.d〇c/〇〇6, the opening pair includes the contact window via and the electrode trench, the ancestral conductive material] Illustrating the conductive wire structure, the contact window through hole and the electrode trench to form a filling and filling method, and the method for manufacturing a memory device according to item 2 of the second aspect Forming a bottom etch stop layer in the multi-layer structure, and wherein the contact window through-hole patent axis of the selected contact window is included in the bottom of the pattern I including the contact window via hole The method for manufacturing a memory device according to item 2 includes: forming a protective layer on the second dielectric filling layer in the multilayer structure, and removing the protection after the thunder is used The conductive material is filled in the square of the electrode trench, and the second is The method for manufacturing a memory spread, wherein the sacrificial material comprises an organic polymer. 6. The method for manufacturing a memory according to the second aspect of the Japanese Patent Application Serial No. 2, wherein the capping sacrificial material comprises an anti- The method for manufacturing a memory device according to Item 2 of the Japanese Patent Application No. 2, comprising: returning the contact hole in the contact window and the conductive material in the electrode trench to silver An electrode groove is formed on the surface of the wall of the wall dielectric wall. The electrode material is selected by the heart to be compatible with the programmable electric material. 38 I3135ft^084 17261twf.doc/006 I3135ft^084 17261twf.doc/006 Φ 0 填充所述電極凹槽,直至使所述電極對之間的所述侧壁電 介質間隙壁的個別頂部表面的水平,其中所述電極對包括 充當所述第一電極的一個別填充接觸窗通孔和充當所述第 二電極的填充所述電極溝渠内的所述導電材料。 、8.如申請專職㈣2賴叙崎製造記憶裝置的 方法,其中所述導電材料包含銅。 、9.如申明專利範圍第7項所述之用於製造記憶裝置的 方法’其巾㈣電極㈣&含魏導電㈣與所述可程式 化電阻材料之間的一擴散障壁。 川·如甲Μ辱利範圍第7項所述之用於製造記憶裝置 丄其中所述電極材料為包括Ti#nTa中的一者或兩 者的導電氮化物。 的方請__第1韻狀麟製奴憶楚置 於一 /,、W所述電極對陣列中的兩個所述電極對配置 二;二二個:述電極對的第一對中的所述第 述電極對的第二對中的所述第技=第和2兩個所 通孔。 Α極的U真充接觸窗 ό^ι方^ ^申#專利範㈣1項所述之用於製造記Μ置 的方其中所述記憶材料包含Ge、Sb和Te的組1 凌置 3·如申請專利範圍第1項 的方法,1中所心悟m隣狀用於錢錢裝置 丁. r '中所达匕材料包含來自Ge、Sb、Te、Se、Tti、 a出、Sn、Cu、pd、pb、Ag、s和Au的群組中的 39 I3135Q9〇84 1726ltwf.d〇c/〇〇6 兩種或兩種以上材料的組合。 14· 一種用於製造包含可程 置的方法,包含: ^ 黾阻材料的記憶裝 在具有頂部表面的一基底中 括所述基底的頂部表面上的二接二J路,所述電路包 形成一多層結構,包括一第一 第-電介質填充層上的—_ ;1 &真充層、在所述0 filling the electrode recess until a level of an individual top surface of the sidewall dielectric spacer between the pair of electrodes is included, wherein the pair of electrodes includes a separate fill contact window that acts as the first electrode a hole and a conductive material that fills the electrode trench as a second electrode. 8. A method of manufacturing a memory device by applying full-time (4) 2, wherein the conductive material comprises copper. 9. A method for fabricating a memory device as described in claim 7 of the invention, wherein the towel (four) electrode (four) & contains a diffusion barrier between the conductive (four) and the programmable resistive material. The apparatus for manufacturing a memory device according to the seventh aspect of the invention, wherein the electrode material is a conductive nitride comprising one or both of Ti#nTa. The __1st rhyme-like slave is placed in a /, W, the pair of electrodes in the array is arranged in two pairs; two two: in the first pair of the pair of electrodes The first and second through holes in the second pair of the pair of electrode pairs. Α 的 真 ι ι ι ι ι ι ι 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利 专利In the method of claim 1, the m-neighbor is used for money and money devices. The material of the r's material contains Ge, Sb, Te, Se, Tti, a, Sn, Cu, 39 I3135Q9〇84 1726ltwf.d〇c/〇〇6 combination of two or more materials in the group of pd, pb, Ag, s, and Au. 14. A method for fabricating a process comprising: ??? a memory of a resistive material mounted on a substrate having a top surface comprising two and two J-paths on a top surface of the substrate, the circuit package forming a multilayer structure comprising a -1; 1 & true charge layer on a first first dielectric-filled layer, 上的-第二電介質填充層;τ止層、和所雜刻停止層 述接通孔的圖案中_所述多層結構,所 陣二二:了所述基底的頂部表面上的所述接觸窗 丨平夕』〒的一選定接觸窗; 阁 壁;彳述接觸窗通孔的侧壁上形成—側壁電介質間隙 孔用€牲材料覆盍所述多層結構,填充所述接觸窗通 在包括―開σ的圖案中選擇性㈣所述犧牲材料,所 心口暴露所料層結構上的—電極區域和所述側壁電介 Κ隙壁’停止於所述第二電介質填充層_部表面水平 、移除所述電極區域中的所述第二電介質填充層,停土 於所述钱刻停止層上,以在所述多層結構中形成一電極瀵 渠,並使所述側壁電介質間隙壁位於所述電極溝渠的側面 上; 從所述接觸窗通孔移除所述犧牲材料’暴露所述選定 40 丨084 17261twf.doc/006 接觸窗並使所述_電介質f㈣糾於―開作之間 述開口對包括一開口接觸窗通孔和—開口電極溝罕. 沈積-導電材料,用所述導電材料填充所述接觸窗通 孔和所述電極溝渠以形成一填充結構; 將所述接觸窗通孔和所述電極溝渠内的所述 回健所述側壁電介質間隙壁的购表面以下的水平,= 形成一電極凹槽; ^队擇而與所述可程式化電阻材料相容的—電 2充所述電_槽,直至使_電極對 ^ 表r水平,其中所述電極= 極的一填充電極畫:二、充接觸窗通孔和充當-第二電 二 渠内的所述導電材料,並提供包括-電 極對陣列的一電極層的頂部表面; 料層的頂部表面上形成所述可程式化電阻材 對的一橋,接觸個別所述第-電極和戶丄 界定跨越一絕緣部件的在所述第一= 述絕二‘芯==徑’所述電極間路徑具有由所 、ι度所界疋的一路徑長度;以及 連,5::二=斤述電極對陣列中所述第二酬 程式柄紅祕製造包含可 σ隐破置的方法,包括在所述多層結構 41 Γ3135β§084 1726 ltwf.doc/006 中形成一底部蝕刻停止層,Α 的圖案令師斤述多層:構ί括:2括所述接觸窗通孔 選定接觸,麟於所述 層。自上的所迷接觸窗通孔内的所述底部钱刻停止 程式in申ί專利範圍第14項所述之驗製造包含可 中在所述第去,包括在所述多層結構 電極材料_層’並在用所述 真充所述電極凹槽之後移除所述保護層。 程如申料利_第14項所述之用於製造包含可 含i機料的記‘㈣置的方法’其中所述犧牲材料包 程切如中睛專利範圍第14項所述之用於製造包含可 含料的爾置的方法,其中所述犧牲材料包 程式請專鄕圍第14項所述之鎌製造包含可 含銅。材料的記憶裝置的方法,其中所述導電材料包 如申請專利範圍第14項所述之麟製造包含可 含1所、:5材料的記憶裝置的方法’其中所述電極材料包 障壁。電材料與所述可程式化電阻材料之間的一擴散 21 程式化雷如概圍第14摘述之麟製造包含可 “材料的5己憶裝置的方法’其中所述電極材料為 42 13135做 084 17261 twf.doc/006 包括Ti和Ta中的一者或雨. 22·如申請專利範一圍第4 ’电鼠化物。 程式化電阻材料的記憶^的於製造包含可 ^^7T^^arh^'+' ^ /ir 的方法,其中所述電路包括多 置,且所述圖索“字70線上的信號所控制的一隔離数 置且所述圖案化導電層包含多條位元線。 程:電所述之麟*造包含-陣列令的兩個電極對’其中在將所述電極對 極對的-第—對中的第’包括充當兩個所逑電 ^的所述第一電極的一第一填充接觸窗通 填充^=所述電極對的兩者中的所述第二電極的所述 、十:笛)、、和充當兩個所述電極對的-第二對中的所 衫一電_-第二填充接觸窗通孔。 μΓ、.如巾請專利範圍第14項所述之用於製造包含可 二電阻材料的記憶裝置的方法,其中所述記憶材料包 3 e、Sb和Te的組合。 藉~ν、Γ·+如申請專利範圍第14項所述之用於製造包含可 八1 6 —阻材料的記憶裝置的方法,复中所述記憶材料包 二 A=Sb、Te、Se、In、^ 和Au的群組中的兩種或兩種以上材料的組合。 43a second dielectric fill layer; a τ stop layer, and a pattern of etch stop layers in the via hole _ the multilayer structure, the array 2: the contact window on the top surface of the substrate a selected contact window of the 丨 夕 〒 ;; 阁 彳; 彳 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触 接触Selectively (4) the sacrificial material in the pattern of opening σ, the electrode region and the sidewall dielectric gap wall on the exposed layer structure stop at the surface of the second dielectric filling layer And the second dielectric filling layer in the electrode region is stopped on the money stopping layer to form an electrode trench in the multilayer structure, and the sidewall dielectric spacer is located in the Removing the sacrificial material from the contact window via to expose the selected 40 丨 084 17261 twf.doc/006 contact window and aligning the _ dielectric f (four) with the opening Pair of open contact windows a hole-and-opening electrode trench. a deposition-conducting material, filling the contact via and the electrode trench with the conductive material to form a filling structure; and the contact window via and the electrode trench Retrieving the level below the surface of the sidewall dielectric spacers, forming an electrode recess; and arranging the battery to be compatible with the programmable resistive material Having the _electrode pair table r level, wherein the electrode=pole of a filled electrode is drawn: two, the contact window via hole and the conductive material in the second electric two channel, and providing an array including the electrode pair a top surface of an electrode layer; a bridge forming the pair of programmable resistors on a top surface of the layer, contacting each of the first electrode and the household defining an insulating member across the first Two 'core == diameter', the path between the electrodes has a path length bounded by ι, and 连, 5::2======================================= a method comprising σ hidden, including in the The multilayer structure 41 Γ3135β§084 1726 ltwf.doc/006 forms a bottom etch stop layer, and the pattern of Α 令 令 : : : : : : : : : : : : : : : : 2 2 2 2 2 2 2 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定 选定The manufacturing process described in item 14 of the patent window of the above-mentioned contact window through-hole is included in the first step, including the multilayer structure electrode material layer And removing the protective layer after filling the electrode recess with the true one. The method for manufacturing a device containing a material that can be included in the method of claim 14, wherein the material of the sacrificial material is as described in Item 14 of the patent scope for manufacturing A method of containing materials, wherein the sacrificial material package program is specifically designed to contain copper as described in item 14. A method of a memory device of the material, wherein the conductive material comprises a method of manufacturing a memory device comprising a material of 1, 5, or 5 as described in claim 14 wherein the electrode material comprises a barrier. A diffusion between the electrical material and the programmable resistive material. The stylized thunder is as described in the 14th chapter. The manufacturing process includes a "material 5 method" in which the electrode material is 42 13135. 084 17261 twf.doc/006 Includes one of Ti and Ta or rain. 22·If you apply for a patent, the 4th 'Electro-rat compound. The memory of the stylized resistive material can be made in ^^7T^^ The method of arh^'+' ^ /ir, wherein the circuit comprises a plurality of bits, and the image "the number of isolations controlled by the signal on the line of word 70 is set and the patterned conductive layer comprises a plurality of bit lines . The two electrode pairs comprising the array-array include the first one of the -th pair of the pair of electrodes facing the pair, the first one serving as the two electrodes a first fill contact window of the electrode is filled with the said second electrode of the pair of electrodes, the tenth: flute, and the second pair of the two electrode pairs The shirt is electrically _- the second filling contact window through hole. The method for manufacturing a memory device comprising a second resistive material according to the invention of claim 14, wherein the memory material comprises a combination of 3 e, Sb and Te. Borrowing ~ν, Γ·+ as described in claim 14 for manufacturing a memory device comprising a VIII-resistive material, the memory material package A=Sb, Te, Se, A combination of two or more materials in the group of In, ^, and Au. 43
TW095133975A 2005-12-05 2006-09-14 Manufacturing method for phase change ram with electrode layer process TWI313509B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US74244805P 2005-12-05 2005-12-05
US11/382,799 US7605079B2 (en) 2005-12-05 2006-05-11 Manufacturing method for phase change RAM with electrode layer process

Publications (2)

Publication Number Publication Date
TW200723520A TW200723520A (en) 2007-06-16
TWI313509B true TWI313509B (en) 2009-08-11

Family

ID=38130915

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095133975A TWI313509B (en) 2005-12-05 2006-09-14 Manufacturing method for phase change ram with electrode layer process

Country Status (3)

Country Link
US (1) US7605079B2 (en)
CN (1) CN100440486C (en)
TW (1) TWI313509B (en)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6612695B2 (en) * 2001-11-07 2003-09-02 Michael Waters Lighted reading glasses
US7608503B2 (en) * 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US7696503B2 (en) 2005-06-17 2010-04-13 Macronix International Co., Ltd. Multi-level memory cell having phase change element and asymmetrical thermal boundary
US7635855B2 (en) * 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7450411B2 (en) * 2005-11-15 2008-11-11 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7816661B2 (en) * 2005-11-21 2010-10-19 Macronix International Co., Ltd. Air cell thermal isolation for a memory array formed of a programmable resistive material
US7479649B2 (en) * 2005-11-21 2009-01-20 Macronix International Co., Ltd. Vacuum jacketed electrode for phase change memory element
US7449710B2 (en) 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7829876B2 (en) * 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
US7599217B2 (en) * 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
US7459717B2 (en) 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7521364B2 (en) * 2005-12-02 2009-04-21 Macronix Internation Co., Ltd. Surface topology improvement method for plug surface areas
US7531825B2 (en) 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US8062833B2 (en) 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US20070158632A1 (en) * 2006-01-09 2007-07-12 Macronix International Co., Ltd. Method for Fabricating a Pillar-Shaped Phase Change Memory Element
US7560337B2 (en) 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7741636B2 (en) 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7432206B2 (en) * 2006-01-24 2008-10-07 Macronix International Co., Ltd. Self-aligned manufacturing method, and manufacturing method for thin film fuse phase change ram
US7956358B2 (en) 2006-02-07 2011-06-07 Macronix International Co., Ltd. I-shaped phase change memory cell with thermal isolation
US7554144B2 (en) 2006-04-17 2009-06-30 Macronix International Co., Ltd. Memory device and manufacturing method
US7928421B2 (en) * 2006-04-21 2011-04-19 Macronix International Co., Ltd. Phase change memory cell with vacuum spacer
US7423300B2 (en) * 2006-05-24 2008-09-09 Macronix International Co., Ltd. Single-mask phase change memory element
US7696506B2 (en) 2006-06-27 2010-04-13 Macronix International Co., Ltd. Memory cell with memory material insulation and manufacturing method
US7785920B2 (en) * 2006-07-12 2010-08-31 Macronix International Co., Ltd. Method for making a pillar-type phase change memory element
US7772581B2 (en) 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US7504653B2 (en) 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US7863655B2 (en) 2006-10-24 2011-01-04 Macronix International Co., Ltd. Phase change memory cells with dual access devices
KR100843210B1 (en) * 2006-11-02 2008-07-02 삼성전자주식회사 Resistive memory device and method of writing data on the same
US7476587B2 (en) 2006-12-06 2009-01-13 Macronix International Co., Ltd. Method for making a self-converged memory material element for memory cell
US7682868B2 (en) * 2006-12-06 2010-03-23 Macronix International Co., Ltd. Method for making a keyhole opening during the manufacture of a memory cell
US20080137400A1 (en) * 2006-12-06 2008-06-12 Macronix International Co., Ltd. Phase Change Memory Cell with Thermal Barrier and Method for Fabricating the Same
US7903447B2 (en) * 2006-12-13 2011-03-08 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on programmable resistive memory cell
US8344347B2 (en) * 2006-12-15 2013-01-01 Macronix International Co., Ltd. Multi-layer electrode structure
US7839672B1 (en) 2006-12-18 2010-11-23 Marvell International Ltd. Phase change memory array circuits and methods of manufacture
US7718989B2 (en) 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
US7440315B2 (en) * 2007-01-09 2008-10-21 Macronix International Co., Ltd. Method, apparatus and computer program product for stepped reset programming process on programmable resistive memory cell
US7433226B2 (en) * 2007-01-09 2008-10-07 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on multiple programmable resistive memory cell
US7663135B2 (en) 2007-01-31 2010-02-16 Macronix International Co., Ltd. Memory cell having a side electrode contact
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7701759B2 (en) * 2007-02-05 2010-04-20 Macronix International Co., Ltd. Memory cell device and programming methods
US7463512B2 (en) * 2007-02-08 2008-12-09 Macronix International Co., Ltd. Memory element with reduced-current phase change element
US8138028B2 (en) * 2007-02-12 2012-03-20 Macronix International Co., Ltd Method for manufacturing a phase change memory device with pillar bottom electrode
US7884343B2 (en) 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US7956344B2 (en) 2007-02-27 2011-06-07 Macronix International Co., Ltd. Memory cell with memory element contacting ring-shaped upper end of bottom electrode
US7786461B2 (en) 2007-04-03 2010-08-31 Macronix International Co., Ltd. Memory structure with reduced-size memory element between memory material portions
US8610098B2 (en) * 2007-04-06 2013-12-17 Macronix International Co., Ltd. Phase change memory bridge cell with diode isolation device
US7755076B2 (en) * 2007-04-17 2010-07-13 Macronix International Co., Ltd. 4F2 self align side wall active phase change memory
US8513637B2 (en) * 2007-07-13 2013-08-20 Macronix International Co., Ltd. 4F2 self align fin bottom electrodes FET drive phase change memory
US7777215B2 (en) 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US7884342B2 (en) * 2007-07-31 2011-02-08 Macronix International Co., Ltd. Phase change memory bridge cell
US7729161B2 (en) 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
US9018615B2 (en) * 2007-08-03 2015-04-28 Macronix International Co., Ltd. Resistor random access memory structure having a defined small area of electrical contact
US8178386B2 (en) 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US7642125B2 (en) 2007-09-14 2010-01-05 Macronix International Co., Ltd. Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
US7551473B2 (en) * 2007-10-12 2009-06-23 Macronix International Co., Ltd. Programmable resistive memory with diode structure
US7919766B2 (en) 2007-10-22 2011-04-05 Macronix International Co., Ltd. Method for making self aligning pillar memory cell device
US7804083B2 (en) * 2007-11-14 2010-09-28 Macronix International Co., Ltd. Phase change memory cell including a thermal protect bottom electrode and manufacturing methods
US7646631B2 (en) 2007-12-07 2010-01-12 Macronix International Co., Ltd. Phase change memory cell having interface structures with essentially equal thermal impedances and manufacturing methods
US7879643B2 (en) 2008-01-18 2011-02-01 Macronix International Co., Ltd. Memory cell with memory element contacting an inverted T-shaped bottom electrode
US7879645B2 (en) 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8158965B2 (en) 2008-02-05 2012-04-17 Macronix International Co., Ltd. Heating center PCRAM structure and methods for making
US7718464B2 (en) * 2008-02-28 2010-05-18 Qimonda North America Corp. Integrated circuit fabricated using an oxidized polysilicon mask
US7852658B2 (en) 2008-03-14 2010-12-14 Micron Technology, Inc. Phase change memory cell with constriction structure
US8084842B2 (en) 2008-03-25 2011-12-27 Macronix International Co., Ltd. Thermally stabilized electrode structure
US8030634B2 (en) * 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7825398B2 (en) 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US7791057B2 (en) 2008-04-22 2010-09-07 Macronix International Co., Ltd. Memory cell having a buried phase change region and method for fabricating the same
US8077505B2 (en) 2008-05-07 2011-12-13 Macronix International Co., Ltd. Bipolar switching of phase change device
US7701750B2 (en) 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
US7956466B2 (en) 2008-05-09 2011-06-07 International Business Machines Corporation Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
US8772156B2 (en) * 2008-05-09 2014-07-08 International Business Machines Corporation Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
KR100976796B1 (en) * 2008-06-03 2010-08-20 주식회사 동부하이텍 Nonvolatile Semiconductor Memory Device and Fabricating Method Thereof
US8415651B2 (en) 2008-06-12 2013-04-09 Macronix International Co., Ltd. Phase change memory cell having top and bottom sidewall contacts
US8134857B2 (en) 2008-06-27 2012-03-13 Macronix International Co., Ltd. Methods for high speed reading operation of phase change memory and device employing same
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
US7903457B2 (en) 2008-08-19 2011-03-08 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US7719913B2 (en) 2008-09-12 2010-05-18 Macronix International Co., Ltd. Sensing circuit for PCRAM applications
US8324605B2 (en) 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US7897954B2 (en) 2008-10-10 2011-03-01 Macronix International Co., Ltd. Dielectric-sandwiched pillar memory device
US8036014B2 (en) 2008-11-06 2011-10-11 Macronix International Co., Ltd. Phase change memory program method without over-reset
US8907316B2 (en) 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
US7869270B2 (en) 2008-12-29 2011-01-11 Macronix International Co., Ltd. Set algorithm for phase change memory cell
US20100163826A1 (en) * 2008-12-30 2010-07-01 Stmicroelectronics S.R.L. Method for active pinch off of an ovonic unified memory element
US8089137B2 (en) 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US8107283B2 (en) 2009-01-12 2012-01-31 Macronix International Co., Ltd. Method for setting PCRAM devices
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
US8064247B2 (en) 2009-01-14 2011-11-22 Macronix International Co., Ltd. Rewritable memory device based on segregation/re-absorption
US8933536B2 (en) 2009-01-22 2015-01-13 Macronix International Co., Ltd. Polysilicon pillar bipolar transistor with self-aligned memory element
KR101598378B1 (en) 2009-03-04 2016-02-29 삼성전자주식회사 Method for forming the memory device
CN101840858B (en) * 2009-03-20 2012-05-23 中芯国际集成电路制造(上海)有限公司 Method for removing anti-reflective coating in top-level metallic dielectric layer groove etching process
US8084760B2 (en) * 2009-04-20 2011-12-27 Macronix International Co., Ltd. Ring-shaped electrode and manufacturing method for same
US8173987B2 (en) 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8097871B2 (en) 2009-04-30 2012-01-17 Macronix International Co., Ltd. Low operational current phase change memory structures
US7933139B2 (en) 2009-05-15 2011-04-26 Macronix International Co., Ltd. One-transistor, one-resistor, one-capacitor phase change memory
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8350316B2 (en) * 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8809829B2 (en) 2009-06-15 2014-08-19 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US8406033B2 (en) 2009-06-22 2013-03-26 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US8238149B2 (en) 2009-06-25 2012-08-07 Macronix International Co., Ltd. Methods and apparatus for reducing defect bits in phase change memory
US8363463B2 (en) 2009-06-25 2013-01-29 Macronix International Co., Ltd. Phase change memory having one or more non-constant doping profiles
US7965538B2 (en) * 2009-07-13 2011-06-21 Seagate Technology Llc Active protection device for resistive random access memory (RRAM) formation
US8110822B2 (en) 2009-07-15 2012-02-07 Macronix International Co., Ltd. Thermal protect PCRAM structure and methods for making
US7894254B2 (en) 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US8198619B2 (en) 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8064248B2 (en) 2009-09-17 2011-11-22 Macronix International Co., Ltd. 2T2R-1T1R mix mode phase change memory array
US8178387B2 (en) 2009-10-23 2012-05-15 Macronix International Co., Ltd. Methods for reducing recrystallization time for a phase change material
US8729521B2 (en) 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
CN102254864A (en) * 2010-05-20 2011-11-23 中芯国际集成电路制造(上海)有限公司 Method for manufacturing phase change memory element
US8310864B2 (en) 2010-06-15 2012-11-13 Macronix International Co., Ltd. Self-aligned bit line under word line memory array
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
US8927909B2 (en) 2010-10-11 2015-01-06 Stmicroelectronics, Inc. Closed loop temperature controlled circuit to improve device stability
US8497705B2 (en) 2010-11-09 2013-07-30 Macronix International Co., Ltd. Phase change device for interconnection of programmable logic device
US8467238B2 (en) 2010-11-15 2013-06-18 Macronix International Co., Ltd. Dynamic pulse operation for phase change memory
US9159413B2 (en) 2010-12-29 2015-10-13 Stmicroelectronics Pte Ltd. Thermo programmable resistor based ROM
US8809861B2 (en) 2010-12-29 2014-08-19 Stmicroelectronics Pte Ltd. Thin film metal-dielectric-metal transistor
US8526214B2 (en) 2011-11-15 2013-09-03 Stmicroelectronics Pte Ltd. Resistor thin film MTP memory
US8987700B2 (en) 2011-12-02 2015-03-24 Macronix International Co., Ltd. Thermally confined electrode for programmable resistance memory
US8716059B2 (en) * 2012-02-02 2014-05-06 Micron Technology, Inc. Combined conductive plug/conductive line memory arrays and methods of forming the same
US9245788B2 (en) 2012-04-11 2016-01-26 International Business Machines Corporation Non-bridging contact via structures in proximity
US9256126B2 (en) 2012-11-14 2016-02-09 Irresistible Materials Ltd Methanofullerenes
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
CN104966717B (en) 2014-01-24 2018-04-13 旺宏电子股份有限公司 A kind of storage arrangement and the method that the storage arrangement is provided
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US10249643B2 (en) * 2014-06-25 2019-04-02 Nec Corporation Hard copied semiconductor device having a resistance-variable non-volatile element
US9159412B1 (en) 2014-07-15 2015-10-13 Macronix International Co., Ltd. Staggered write and verify for phase change memory
KR102290793B1 (en) * 2014-12-18 2021-08-19 삼성전자주식회사 Semiconductor device, methods of forming patterns for semiconductor device, and methods of manufacturing semiconductor devices
US9672906B2 (en) 2015-06-19 2017-06-06 Macronix International Co., Ltd. Phase change memory with inter-granular switching
US9576903B2 (en) * 2015-07-16 2017-02-21 Macronix International Co., Ltd. Structure with conductive plug and method of forming the same
TWI660464B (en) * 2017-11-08 2019-05-21 華邦電子股份有限公司 Memory device and method for manufacturing the same
US10811602B2 (en) * 2017-12-08 2020-10-20 Macronix International Co., Ltd. Tungsten oxide RRAM with barrier free structure
US10580976B2 (en) 2018-03-19 2020-03-03 Sandisk Technologies Llc Three-dimensional phase change memory device having a laterally constricted element and method of making the same
US11069616B2 (en) 2019-05-16 2021-07-20 Tokyo Electron Limited Horizontal programmable conducting bridges between conductive lines
US10741756B1 (en) 2019-05-29 2020-08-11 International Business Machines Corporation Phase change memory with a patterning scheme for tantalum nitride and silicon nitride layers

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3271591A (en) * 1963-09-20 1966-09-06 Energy Conversion Devices Inc Symmetrical current controlling device
US3530441A (en) * 1969-01-15 1970-09-22 Energy Conversion Devices Inc Method and apparatus for storing and retrieving information
IL61678A (en) * 1979-12-13 1984-04-30 Energy Conversion Devices Inc Programmable cell and programmable electronic arrays comprising such cells
US4719594A (en) * 1984-11-01 1988-01-12 Energy Conversion Devices, Inc. Grooved optical data storage device including a chalcogenide memory layer
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US5166758A (en) * 1991-01-18 1992-11-24 Energy Conversion Devices, Inc. Electrically erasable phase change memory
US5534712A (en) * 1991-01-18 1996-07-09 Energy Conversion Devices, Inc. Electrically erasable memory elements characterized by reduced current and improved thermal stability
US5177567A (en) * 1991-07-19 1993-01-05 Energy Conversion Devices, Inc. Thin-film structure for chalcogenide electrical switching devices and process therefor
US5166096A (en) * 1991-10-29 1992-11-24 International Business Machines Corporation Process for fabricating self-aligned contact studs for semiconductor structures
US5785828A (en) * 1994-12-13 1998-07-28 Ricoh Company, Ltd. Sputtering target for producing optical recording medium
US5869843A (en) * 1995-06-07 1999-02-09 Micron Technology, Inc. Memory array having a multi-state element and method for forming such array or cells thereof
US5789758A (en) * 1995-06-07 1998-08-04 Micron Technology, Inc. Chalcogenide memory cell with a plurality of chalcogenide electrodes
US5831276A (en) * 1995-06-07 1998-11-03 Micron Technology, Inc. Three-dimensional container diode for use with multi-state material in a non-volatile memory cell
US6420725B1 (en) * 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US5879955A (en) * 1995-06-07 1999-03-09 Micron Technology, Inc. Method for fabricating an array of ultra-small pores for chalcogenide memory cells
US5837564A (en) * 1995-11-01 1998-11-17 Micron Technology, Inc. Method for optimal crystallization to obtain high electrical performance from chalcogenides
US5687112A (en) * 1996-04-19 1997-11-11 Energy Conversion Devices, Inc. Multibit single cell memory element having tapered contact
US6025220A (en) * 1996-06-18 2000-02-15 Micron Technology, Inc. Method of forming a polysilicon diode and devices incorporating such diode
US5985698A (en) * 1996-07-22 1999-11-16 Micron Technology, Inc. Fabrication of three dimensional container diode for use with multi-state material in a non-volatile memory cell
US5789277A (en) * 1996-07-22 1998-08-04 Micron Technology, Inc. Method of making chalogenide memory device
US5814527A (en) * 1996-07-22 1998-09-29 Micron Technology, Inc. Method of making small pores defined by a disposable internal spacer for use in chalcogenide memories
US5998244A (en) * 1996-08-22 1999-12-07 Micron Technology, Inc. Memory cell incorporating a chalcogenide element and method of making same
US6147395A (en) * 1996-10-02 2000-11-14 Micron Technology, Inc. Method for fabricating a small area of contact between electrodes
US6087674A (en) * 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
US6015977A (en) * 1997-01-28 2000-01-18 Micron Technology, Inc. Integrated circuit memory cell having a small active area and method of forming same
US5952671A (en) * 1997-05-09 1999-09-14 Micron Technology, Inc. Small electrode for a chalcogenide switching device and method for fabricating same
GB9711788D0 (en) * 1997-06-06 1997-08-06 Northern Telecom Ltd Method and interface for connecting communication traffic between narrowband and broadband networks
US6031287A (en) * 1997-06-18 2000-02-29 Micron Technology, Inc. Contact structure and memory element incorporating the same
US6768165B1 (en) * 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US6617192B1 (en) * 1997-10-01 2003-09-09 Ovonyx, Inc. Electrically programmable memory element with multi-regioned contact
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US7157314B2 (en) * 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6351406B1 (en) * 1998-11-16 2002-02-26 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
DE19903325B4 (en) 1999-01-28 2004-07-22 Heckler & Koch Gmbh Locked breech for a self-loading handgun, with a breech head and breech carrier and a resilient locking ring with a longitudinal slot
US6177317B1 (en) * 1999-04-14 2001-01-23 Macronix International Co., Ltd. Method of making nonvolatile memory devices having reduced resistance diffusion regions
US6075719A (en) 1999-06-22 2000-06-13 Energy Conversion Devices, Inc. Method of programming phase-change memory element
US6314014B1 (en) * 1999-12-16 2001-11-06 Ovonyx, Inc. Programmable resistance memory arrays with reference cells
US6420216B1 (en) * 2000-03-14 2002-07-16 International Business Machines Corporation Fuse processing using dielectric planarization pillars
US6420215B1 (en) * 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6501111B1 (en) * 2000-06-30 2002-12-31 Intel Corporation Three-dimensional (3D) programmable device
US6563156B2 (en) * 2001-03-15 2003-05-13 Micron Technology, Inc. Memory elements and methods for making same
US6440837B1 (en) * 2000-07-14 2002-08-27 Micron Technology, Inc. Method of forming a contact structure in a semiconductor device
US6339544B1 (en) * 2000-09-29 2002-01-15 Intel Corporation Method to enhance performance of thermal resistor device
US6567293B1 (en) * 2000-09-29 2003-05-20 Ovonyx, Inc. Single level metal memory cell using chalcogenide cladding
US6429064B1 (en) * 2000-09-29 2002-08-06 Intel Corporation Reduced contact area of sidewall conductor
US6555860B2 (en) * 2000-09-29 2003-04-29 Intel Corporation Compositionally modified resistive electrode
US6569705B2 (en) * 2000-12-21 2003-05-27 Intel Corporation Metal structure for a phase-change memory device
US6627530B2 (en) * 2000-12-22 2003-09-30 Matrix Semiconductor, Inc. Patterning three dimensional structures
US6271090B1 (en) * 2000-12-22 2001-08-07 Macronix International Co., Ltd. Method for manufacturing flash memory device with dual floating gates and two bits per cell
TW490675B (en) * 2000-12-22 2002-06-11 Macronix Int Co Ltd Control method of multi-stated NROM
US6534781B2 (en) * 2000-12-26 2003-03-18 Ovonyx, Inc. Phase-change memory bipolar array utilizing a single shallow trench isolation for creating an individual active area region for two memory array elements and one bipolar base contact
US6531373B2 (en) * 2000-12-27 2003-03-11 Ovonyx, Inc. Method of forming a phase-change memory cell using silicon on insulator low electrode in charcogenide elements
US6487114B2 (en) * 2001-02-28 2002-11-26 Macronix International Co., Ltd. Method of reading two-bit memories of NROM cell
US6514788B2 (en) * 2001-05-29 2003-02-04 Bae Systems Information And Electronic Systems Integration Inc. Method for manufacturing contacts for a Chalcogenide memory device
US6589714B2 (en) * 2001-06-26 2003-07-08 Ovonyx, Inc. Method for making programmable resistance memory element using silylated photoresist
US6613604B2 (en) * 2001-08-02 2003-09-02 Ovonyx, Inc. Method for making small pore for use in programmable resistance memory element
US6605527B2 (en) * 2001-06-30 2003-08-12 Intel Corporation Reduced area intersection between electrode and programming element
US6511867B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Utilizing atomic layer deposition for programmable device
US6673700B2 (en) * 2001-06-30 2004-01-06 Ovonyx, Inc. Reduced area intersection between electrode and programming element
US6586761B2 (en) * 2001-09-07 2003-07-01 Intel Corporation Phase change material memory device
US6861267B2 (en) * 2001-09-17 2005-03-01 Intel Corporation Reducing shunts in memories with phase-change material
US6566700B2 (en) * 2001-10-11 2003-05-20 Ovonyx, Inc. Carbon-containing interfacial layer for phase-change memory
US6800563B2 (en) * 2001-10-11 2004-10-05 Ovonyx, Inc. Forming tapered lower electrode phase-change memories
US6545903B1 (en) * 2001-12-17 2003-04-08 Texas Instruments Incorporated Self-aligned resistive plugs for forming memory cell with phase change material
US6512241B1 (en) * 2001-12-31 2003-01-28 Intel Corporation Phase change material memory device
US6867638B2 (en) * 2002-01-10 2005-03-15 Silicon Storage Technology, Inc. High voltage generation and regulation system for digital multilevel nonvolatile memory
US6972430B2 (en) * 2002-02-20 2005-12-06 Stmicroelectronics S.R.L. Sublithographic contact structure, phase change memory cell with optimized heater shape, and manufacturing method thereof
JP3796457B2 (en) * 2002-02-28 2006-07-12 富士通株式会社 Nonvolatile semiconductor memory device
US6579760B1 (en) * 2002-03-28 2003-06-17 Macronix International Co., Ltd. Self-aligned, programmable phase change memory
US6864500B2 (en) * 2002-04-10 2005-03-08 Micron Technology, Inc. Programmable conductor memory cell structure
US6605821B1 (en) * 2002-05-10 2003-08-12 Hewlett-Packard Development Company, L.P. Phase change material electronic memory structure and method for forming
US6864503B2 (en) * 2002-08-09 2005-03-08 Macronix International Co., Ltd. Spacer chalcogenide memory method and device
JP4133141B2 (en) * 2002-09-10 2008-08-13 株式会社エンプラス Socket for electrical parts
US6992932B2 (en) * 2002-10-29 2006-01-31 Saifun Semiconductors Ltd Method circuit and system for read error detection in a non-volatile memory array
JP4928045B2 (en) * 2002-10-31 2012-05-09 大日本印刷株式会社 Phase change type memory device and manufacturing method thereof
US6791102B2 (en) * 2002-12-13 2004-09-14 Intel Corporation Phase change memory
US6867425B2 (en) * 2002-12-13 2005-03-15 Intel Corporation Lateral phase change memory and method therefor
US6744088B1 (en) * 2002-12-13 2004-06-01 Intel Corporation Phase change memory device on a planar composite layer
KR100486306B1 (en) * 2003-02-24 2005-04-29 삼성전자주식회사 Phase-change memory device having self-heater structure
US7067865B2 (en) * 2003-06-06 2006-06-27 Macronix International Co., Ltd. High density chalcogenide memory cells
US6927410B2 (en) * 2003-09-04 2005-08-09 Silicon Storage Technology, Inc. Memory device with discrete layers of phase change memory material
US6815704B1 (en) * 2003-09-04 2004-11-09 Silicon Storage Technology, Inc. Phase change memory device employing thermally insulating voids
US6937507B2 (en) * 2003-12-05 2005-08-30 Silicon Storage Technology, Inc. Memory device and method of operating same
US6936840B2 (en) 2004-01-30 2005-08-30 International Business Machines Corporation Phase-change memory cell and method of fabricating the phase-change memory cell
US7112836B2 (en) * 2004-03-17 2006-09-26 Macronix International Co., Ltd. Method of forming a chalcogenide memory cell having a horizontal electrode and a memory cell produced by the method
KR100598100B1 (en) * 2004-03-19 2006-07-07 삼성전자주식회사 Method of fabricating a phase changeable memory device
US7238994B2 (en) * 2005-06-17 2007-07-03 Macronix International Co., Ltd. Thin film plate phase change ram circuit and manufacturing method
US7514288B2 (en) * 2005-06-17 2009-04-07 Macronix International Co., Ltd. Manufacturing methods for thin film fuse phase change ram

Also Published As

Publication number Publication date
US20070155172A1 (en) 2007-07-05
CN100440486C (en) 2008-12-03
CN1979813A (en) 2007-06-13
US7605079B2 (en) 2009-10-20
TW200723520A (en) 2007-06-16

Similar Documents

Publication Publication Date Title
TWI313509B (en) Manufacturing method for phase change ram with electrode layer process
TWI375323B (en) 4f2 self align fin bottom electrodes fet drive phase change memory
TWI354386B (en)
TWI323939B (en) Memory device having wide area phase change element and small electrode contact area
US7534647B2 (en) Damascene phase change RAM and manufacturing method
TWI442612B (en) Self aligned fin-type programmable memory cell
TWI323940B (en) Method for fabricating a pillar-shaped phase change memory element
US8237140B2 (en) Self-aligned, embedded phase change RAM
US8062923B2 (en) Thin film fuse phase change cell with thermal isolation pad and manufacturing method
TWI325166B (en) Programmable resistive ram and manufacturing method
TWI497694B (en) A high density mem0ry device based 0n phase change memory materials andmanufacturing method thereof
US8039392B2 (en) Resistor random access memory cell with reduced active area and reduced contact areas
TWI415299B (en) Ring-shaped electrode and munufacturing method for same
US7816661B2 (en) Air cell thermal isolation for a memory array formed of a programmable resistive material
TWI497706B (en) Mushroom type memory cell having self-aligned bottom electrode and diode access device
TWI426605B (en) Sidewall thin film electrode with self-aligned top electrode and programmable resistance memory
US20090101883A1 (en) Method for manufacturing a resistor random access memory with a self-aligned air gap insulator
TWI385790B (en) Polysilicon plug bipolar transistor for phase change memory
TW200847420A (en) 4F2 self align side wall active phase change memory
TWI313044B (en) Method for manufacturing a resistor random access memory with reduced active area and reduced contact areas
TW201042731A (en) Buried silicide structure and method for making
TW200947695A (en) Memory cell having a buried phase change region and method for fabricating the same
TW200917475A (en) Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
TW201010152A (en) Memory array with diode driver and method for fabricating the same
TW200830535A (en) Resistor random access memory cell device