TWI325166B - Programmable resistive ram and manufacturing method - Google Patents

Programmable resistive ram and manufacturing method Download PDF

Info

Publication number
TWI325166B
TWI325166B TW095147743A TW95147743A TWI325166B TW I325166 B TWI325166 B TW I325166B TW 095147743 A TW095147743 A TW 095147743A TW 95147743 A TW95147743 A TW 95147743A TW I325166 B TWI325166 B TW I325166B
Authority
TW
Taiwan
Prior art keywords
conductive
layer
forming
dielectric layer
dielectric
Prior art date
Application number
TW095147743A
Other languages
Chinese (zh)
Other versions
TW200727413A (en
Inventor
Chia Hua Ho
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Publication of TW200727413A publication Critical patent/TW200727413A/en
Application granted granted Critical
Publication of TWI325166B publication Critical patent/TWI325166B/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/10Phase change RAM [PCRAM, PRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/823Device geometry adapted for essentially horizontal current flow, e.g. bridge type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8836Complex metal oxides, e.g. perovskites, spinels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having a potential-jump barrier or a surface barrier
    • H10K10/50Bistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/202Integrated devices comprising a common active layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/20Carbon compounds, e.g. carbon nanotubes or fullerenes
    • H10K85/211Fullerenes, e.g. C60
    • H10K85/215Fullerenes, e.g. C60 comprising substituents, e.g. PCBM

Description

1325166 九、發明說明: 【優先權】 本申請案係主張美國暫時申請案第60/757,275號之優先 權,其申請日係為2006年1月9曰,發明人為何家驊,發 明名稱為:Method of Resistance Random Access Memory Device with Resistor-on-electrodes Structure o 【發明所屬之技術領域】1325166 IX. Invention Description: [Priority] This application claims the priority of US Provisional Application No. 60/757,275. The application date is January 9, 2006. The inventor’s family name is: Method of Resistance Random Access Memory Device with Resistor-on-electrodes Structure o [Technical Field of the Invention]

本發明係有關於積體電路非揮發性記憶體,並尤其有關 於可程式化電阻非揮發性記憶體,例如相轉換記體。 【先前技術】 非揮發性記憶體可以儲存資料而不需要持續提供電 力。因此,非揮發性記憶體不僅在以非揮發性儲存為主要 的積體電路中相當有用,亦可應用於資料儲存以外之 功旎性電路積體電路中。 卜The present invention relates to integrated circuit non-volatile memory, and more particularly to programmable resistive non-volatile memory, such as phase-change memory. [Prior Art] Non-volatile memory can store data without the need to continuously supply power. Therefore, non-volatile memory is not only useful in integrated circuits with non-volatile storage as the main integrated circuit, but also in power circuit integrated circuits other than data storage. Bu

涉到^方式結合了多種功能的多功能電路,將牽 以造程序。若多功能積體電路係原先僅設計 造非揮發力能,則必須更改製造程序以製 路,严ί2::好想狀態下,此種更動對於積體電 計即龍電料、以非揮發性記憶體為基本設 路的問題依然存在於非揮發性記憶體與剩餘二 理想狀態下,__二 容性問題越小^好的4,使其此在製造過程中的製程相 【發明内容】 記憶細^γ以形成—具有非揮發性 體電路的方法。此方法係在形成非揮發性記 5 1325166 ϊ'ίίΐ可程式化電阻元素之前,絲成用以存取至特定 己憶細胞的電路,以將可程式化電阻元素導電連 ί可電2。歸功於在此實施例中的步驟順序 不會被用以形成存取電路的製程所傷 i程中,形成可程式化電阻元素的步驟係為 ,以,取至特定非揮發性記憶細胞的電 各列以存取記憶細胞的導電列,以及 列係實質上垂直於導 !定2 式化電阻元素係藉由選定的行與列的組合 少ί八某導電行係包括第—導電行層、以及至 =係具有至少一蝕刻停止信號差異一 ?ί:移除第二導電行層 此側壁的厚度最大可達期奈米。 〒過里的材枓。 電歹此存取電路亦包括此積體電路中各導 電阻H實;電層絲少部分形成於可程式化 某些實施例中,在形成導電列之後與形 ^括了形成層間介電層的步驟。當層間介 =’ 則在形成導電行之前形成至少一第一介電t im非揮發性記憶細胞的導電行之步驟,·^ί: 到至少到達第= 係一有至少一蝕刻終點信號差異、以及一蝕刻選擇;生丄 丄丄υυ 介電層係至少部分覆弟二介電層的步驟,第二 著形成至少一第= ί 10奈米至50奈米。接 某些實施例中,質第1介電層。在 少一 = 點信號差異、^ 不同的實施例係有關於形成义 到;巧ir1介電層與匕us 間。某些實施例係句技报士道』%式化電阻兀素之 電連接導電行與可程式‘尝:!;底:=,洞中,以導 ;導電結構於該些孔洞中的步驟:形 底於該些孔洞中,以僅透堝施例亦包括形成介電襯 該些導電列與導電;。a耘式化電阻元素而導電連接 削奈米之間概底介電觀底的厚度係介於5奈米至 形成各種結構於介層窗中会 — 化學機械研磨的步驟。竿此每 f貫施例係包括進行 械研磨於第二介電層、;化學機 =與第三介電層的部分,直到 積體=有非揮發性記憶細胞的 胞的電路’包括:ι)經由各列而 7 1325166 ίίίί 經由各行而存取該些非揮發性記憶細 ,的導電仃。此積體電路亦包括非揮發性記憶細胞的可程 J化電阻元素。每-可程式化電阻元素係導電連接至該此 導電列與導電行。此可程式化電阻元素係位於導電列盥g ::的垂直上方。在某些實施例中,可程式化電阻元素係 包括下列之至少一者··硫屬化物、PrxCayMn〇3、 PrSrMn03、ZrOx、TCNQ、以及 PCBM。 在某些實施例中,此電路包括一第一介電層豆 些導電列,使得該些導電行係位於第一介電層之上| ^ 其係至少部分鄰接於該些導電行且至少部分鄰= 電層,一第二介電層其係至少部分覆蓋第二带 ^ ;以及層間接點㈣至該料電行此層岐點包括^ 電襯底其導電地連接該些導電行與可程式化電阻八 ^底其僅透過可程式化電阻元素而導電連此導^ 式化電阻元素。導電連接該些導電列與可裎 在,些實施财,第—介電層包括下列群組之 — 者.氧化石夕(SiOx )以及介雷當叙你 、 夕 電岸包括下二丄f i 低於3之材料;第二介 ^增包括下列辟組之至少一者:氮化石夕(Si 1 (SiOxNy)、以及氧化石夕(Si〇x) ) 1 =石夕 組J至少-者御(叫介弟電常; 亂氧化發(Sl〇xNy)、以及氮化妙(Si •導=才|、 括下列群組之至少-者:I化鈦(TlN)、)導f襯^包 /鈦(τιΝ/Τι)雙層、氮化叙(TaN)、鎢(w、^鼠匕鈦 氧化鋰鈮(LiNb〇3)、氧化銥⑶叫、氧化f =、 YBaCuO、LaCaMn〇3、鉑(ρ〇、金屬矽化物、以、 之多晶矽;以及介電襯底包括下列群組之至少一者了:雜 矽(SiOx)、氮氧化矽(Si〇xN )、氣 = 鈦酸锶(SrTi03)。 wwxj、Μ 及 在某些實施例中’此電路更包括—第四介電層其係至少 8 1325166 4刀位於可程式化電元素與導 係包括下列群組之此第介電層 (SiOxNvY 少者.乳化石夕(Si〇X)、氮氧化石夕 L (A1〇x) (SlNX)、鈦酸銷(SrTi〇3)、以及氧 在某些實施例中,此導電行包括第一與第二 使得第-與第二導電行層具有階梯狀面、二 行層包括下列群組之至少-者& 氮化欽/欽(TiN/Ti)雙層、鶴/氮化鈦 (y/^N)雙層、銅鋁/氮化鈦(A1Cu/TiN)雙層、經擦雜 ^夕曰曰夕以及金屬石夕化物,且弟二導電行層係包括下歹,】 ,組之至少一者:鋼鋁、氮化鈦/銅鋁(TiN/A1Cu)雙層、 氮化鈦/鈦/銅鋁(TiN/Ti/AlCu)三層、鎢、金屬矽化^、 以及經摻雜之多晶石夕。 【實施方式】 多種實施例係提供一種記憶體的快速製造方法’ 揮發性内建s己憶體其使用了電阻元件汉八“。電阻元件&八 電阻記憶體(RRAM)、聚合物記憶體、以及相 轉換Ik機存取記憶體(pCRAM)。 第1圖係為一例示製程流程圖,用以將可程 憶體加到一積體電路中。此非揮發性電 j J此製程的最後一步驟。此非揮發性電電= 素,係在已經製造完成的電極上形成。在此之前,電阻一 :當Ϊ造積體電路剩餘部分的-般程序中,並不會ί污Ϊ 在電晶體/列選擇完成2之步驟中,此積體電路中 程式化電阻非揮發性儲存之外的功能電了: 括可程式化電阻RAM的列存取電路。在電晶體\ 步驟之後,此可程式化電阻元件係被製 =2 可程式化電阻元素之前,只有15個一般製程在步=實際的 9 1325166 '在金屬沈積4、金屬微影6、以及金屬ϋ刻8之中,經 由各行而存取可程式化電阻RAM的導電行係被形成。在金 屬蝕刻8之中的蝕刻控制,從導電行中形成了一階梯狀剖 . 面,降低了在金屬線與可程式化電阻元素之間的接觸電 阻。介層窗微影14、介層窗蝕刻16、以及濕式沾浸18, ' 形成了介層窗,使得導電材料將列存取電路連接至可程式 化電阻元素,並使得導電材料將行存取電路連接至可程式 化電阻元素。介層窗孔洞係在介層窗蝕刻16技術之中自我 對準。介層窗之中寬度固定的絕緣體與導體結構,係係由 二個蝕刻步驟所形成,分別是金屬蝕刻8以及介層窗蝕刻 • 16。金屬沈積20以及金屬間隔子蝕刻22,係形成了用以 將列存取電路連接至可程式化電阻元素的導體材料。介電 沈積24以及介電回蝕刻26,係形成了介電.層,其係在用 以將列存取電路連接至可程式化電阻元素的導體材料、與 用以將行存取電路連接至可程式化電阻元素的導體材料之 間形成隔離。欲調整電阻元素的電氣性能,可改變位於介 層窗中的金屬與介電間隔子結構的厚度,而金屬與介電間 隔子則係於金屬沈積20、金屬間隔子蝕刻22、介電沈積 24、以及介電回蝕刻26等步驟中形成。金屬沈積28形成 了用以將列存取電路連接至可程式化電阻元素的導體材 • 料。在形成實際的可程式化電阻元素之前,係進行化學機 械研磨30以及化學機械研磨清潔32步驟。最後,可程式 化電阻元素係由電阻沈積34、電阻微影36、以及電阻蝕刻 38等步驟所形成。此製程的最後一步驟,係在製程離開40 時完成。 由於三個自我對準製程所形成的金屬階梯剖面,介層窗 孔洞的開口係位於金屬列上,且在介層窗中的小電極係接 觸至列存取電路,每一記憶細胞係遵循或幾乎遵循電晶體 的水平設計準則。在某些實施例中,此非揮發性記憶細胞 區域係小於8.5 F2,F係為特徵尺寸。這三個自我對準製程 1325166 也改善了產率,並在形成電阻元素之前先形成電極。 由於電阻元素係最後製造,因此可能傷害到電阻元素的 製程如研磨、蝕刻、高溫處理、清潔等’均係於電阻元素 形成前就已經進行。此製程亦4相當輕易地將一内建記憶 體加到具有其他功能的積體電路上,因為與習知的半導體 製程相容的基本步驟係在形成可程式化電阻元素之前就已 經進行。此外,電阻元素的製程也相對簡化,僅需要將電 阻元素形成於先前已經形成的電極之上即可。 第2圖係為一剖面圖,繪示導電行的微影製程的起始步 驟’此導電行係沿著各行而存取可程式化電阻元素。A multi-function circuit that combines multiple functions with a variety of functions will lead to the creation of a program. If the multi-function integrated circuit was originally designed to produce non-volatile energy, the manufacturing procedure must be changed to make the road. In the state of good thinking, this kind of change is for the integrated electric meter, that is, the long electric material, non-volatile The problem of the basic setting of the memory is still in the non-volatile memory and the remaining two ideal states. The smaller the __the second capacitive problem is, the better the 4 is, so that the manufacturing process is in the manufacturing process. 】 Memory fine ^ γ to form - a method with a non-volatile body circuit. This method is used to access a circuit of a particular memory cell prior to forming a non-volatile programmable element to electrically couple the programmable resistive element. In view of the fact that the sequence of steps in this embodiment is not used to form a process for forming an access circuit, the step of forming a programmable resistive element is to take the electricity of a particular non-volatile memory cell. Each column is to access the conductive columns of the memory cells, and the column system is substantially perpendicular to the conduction mode. The combination of the selected row and column is less than the selected row and column. And to = have at least one etch stop signal difference? ί: remove the second conductive row layer. The thickness of the sidewall is up to the nanometer. I walked through the material. The access circuit also includes the respective conductive resistors H in the integrated circuit; a small portion of the electrical layer is formed in some embodiments that can be programmed to form an interlayer dielectric layer after forming the conductive columns. A step of. The step of forming an electrically conductive row of at least one first dielectric t im non-volatile memory cell before the formation of the conductive row is performed, wherein at least one of the etching end signal differences is obtained, And an etching option; the bismuth dielectric layer is at least partially covering the second dielectric layer, and the second is forming at least one ί 10 nm to 50 nm. In some embodiments, the first dielectric layer is formed. In the less one = point signal difference, ^ different embodiments are related to the formation of the meaning; between the ir1 dielectric layer and 匕us. In some embodiments, the method of "signaling the grammar" is to electrically connect the conductive lines and the programmable 'taste:!; bottom: =, in the hole, to guide; the step of conducting the structure in the holes: shape The holes are formed in the holes to form a dielectric liner to form the conductive columns and to conduct electricity only by the embodiment. A 耘 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 电阻 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削 削Each of the embodiments includes mechanical grinding on the second dielectric layer, chemical machine = part of the third dielectric layer, until the integrated circuit = circuit with cells of non-volatile memory cells' includes: Accessing the non-volatile memory-conducting conductive rafts via rows and 7 1325166 ί. The integrated circuit also includes a programmable resistance element of the non-volatile memory cells. Each stylized resistive element is electrically connected to the conductive column and the conductive row. This programmable resistance element is located vertically above the conductive column 盥g ::. In some embodiments, the programmable resistive element comprises at least one of: chalcogenide, PrxCayMn〇3, PrSrMn03, ZrOx, TCNQ, and PCBM. In some embodiments, the circuit includes a first dielectric layer of electrically conductive columns such that the electrically conductive rows are above the first dielectric layer | ^ at least partially adjacent to the electrically conductive rows and at least partially Adjacent to the electrical layer, a second dielectric layer at least partially covering the second strip; and a layer indirect point (4) to the layer of the material, the layer comprising the electrically conductive substrate electrically conductively connecting the conductive lines and The stylized resistor is electrically conductive only through the programmable resistive element. Conductive connection of the conductive columns and can be used, some of the implementation of the first, the dielectric layer includes the following groups - the oxidized stone eve (SiOx) and the mediation of the mine, the electric shore including the lower two 丄fi low The material of 3; the second layer includes at least one of the following groups: nitrite Xi (Six (SiOxNy), and oxidized stone Xi (X〇x)) 1 = Shi Xi group J at least - Yu ( It is called Jiedi Electric; oxidized hair (Sl〇xNy), and nitriding (Si • lead = only |, including at least the following groups: Titanium Titanium (TlN),) Titanium (τιΝ/Τι) double layer, nitrided (TaN), tungsten (w, 匕 匕 匕 氧化 氧化 氧化 氧化 Li Li Li Li Li Li Li Li Li Li Li Li Li Li Li Li 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 氧化 Li Li Li Li Li Li And a dielectric substrate comprising at least one of the following groups: cesium (SiOx), bismuth oxynitride (Si〇xN), gas = barium titanate (SrTi03) Wwxj, Μ and in some embodiments 'this circuit further includes a fourth dielectric layer which is at least 8 1325166 4 knives located in the programmable dielectric element and the conduction system including the following dielectric layer (SiOxNvY less Emulsified Shi Xi (Si〇X), Nitrous Oxide O (A1〇x) (SlNX), Titanate Pin (SrTi〇3), and Oxygen In some embodiments, the conductive line includes first and first Second, the first and second conductive row layers have a stepped surface, and the two rows of layers include at least one of the following groups: a nitride/chin (TiN/Ti) double layer, a crane/titanium nitride (y/^ N) double layer, copper aluminum/titanium nitride (A1Cu/TiN) double layer, etched 曰曰 曰曰 以及 and metal lithium, and the second conductive layer layer includes the lower jaw, 】, at least one of the group : Steel aluminum, titanium nitride / copper aluminum (TiN / A1Cu) double layer, titanium nitride / titanium / copper aluminum (TiN / Ti / AlCu) three layers, tungsten, metal bismuth, and doped polycrystalline [Embodiment] Various embodiments provide a rapid manufacturing method for a memory. Volatile built-in suffix uses a resistive element. "Resistance element & eight-resistance memory (RRAM), polymerization Memory, and phase-converted Ik machine access memory (pCRAM). Figure 1 is an illustration of a process flow diagram for adding a programmable memory to an integrated circuit. of The last step. This non-volatile electric current is formed on the electrode that has already been fabricated. Prior to this, the resistance one: in the general procedure of the remaining part of the circuit, is not filthy. In the transistor/column selection completion step 2, the function of the non-volatile storage of the stylized resistor in the integrated circuit is: a column access circuit including a programmable resistor RAM. After the transistor\step, this The programmable resistance element is made = 2 before the programmable resistance element, only 15 general processes are in step = actual 9 1325166 'in metal deposition 4, metal lithography 6, and metal engraving 8, through each line A conductive line that accesses the programmable resistor RAM is formed. The etch control in the metal etch 8 forms a stepped cross-section from the conductive rows that reduces the contact resistance between the metal lines and the programmable resistive elements. The via lithography 14, the via etch 16, and the wet immersion 18, ' form a via that allows the conductive material to connect the column access circuitry to the programmable resistive element and cause the conductive material to survive Take the circuit connected to the programmable resistance element. The via holes are self-aligned in the via etch 16 technique. The insulator and conductor structures of fixed width in the via are formed by two etching steps, namely metal etching 8 and via etching. Metal deposit 20 and metal spacer etch 22 form a conductor material for connecting the column access circuitry to the programmable resistive element. Dielectric deposition 24 and dielectric etchback 26 form a dielectric layer that is used to connect the column access circuitry to the conductor material of the programmable resistive element and to connect the row access circuitry to The conductor material of the programmable resistance element forms an isolation between the conductor materials. To adjust the electrical properties of the resistive element, the thickness of the metal and dielectric spacer structures in the via window can be varied, while the metal and dielectric spacers are attached to the metal deposit 20, the metal spacer etch 22, and the dielectric deposition 24 And forming in dielectric etchback 26 and the like. Metal deposit 28 forms a conductor material for connecting the column access circuitry to the programmable resistive element. The chemical mechanical polishing 30 and the chemical mechanical polishing cleaning are performed 32 steps before the actual programmable resistance element is formed. Finally, the programmable resistive element is formed by steps such as resistive deposition 34, resistive lithography 36, and resistive etching 38. The final step in this process is done when the process leaves 40. Due to the metal step profile formed by the three self-aligned processes, the opening of the via hole is located on the metal column, and the small electrode system in the via is in contact with the column access circuit, and each memory cell line follows or The level design criteria for the transistor are almost followed. In certain embodiments, the non-volatile memory cell region is less than 8.5 F2 and the F system is a feature size. These three self-aligned processes, 1325166, also improve yield and form electrodes prior to forming resistive elements. Since the resistive element is finally manufactured, processes that may damage the resistive element such as grinding, etching, high temperature processing, cleaning, etc. are all performed before the formation of the resistive element. This process also makes it relatively easy to add a built-in memory to an integrated circuit having other functions because the basic steps compatible with conventional semiconductor processes have been performed prior to the formation of the programmable resistive element. In addition, the process of the resistive element is relatively simplified, and it is only necessary to form a resistive element over the previously formed electrode. Figure 2 is a cross-sectional view showing the initial step of the lithographic process of the conductive row. This conductive row accesses the programmable resistive elements along the rows.

介電層56、導電層58、導電層60、以及介電層62係沈 積於層間介電(ILD) 52 '接點54、以及局部内連接(LIC, 圖中未示’其係用以連接共同源極)的表面之上。位於層 間介電52以及接點54之下的列選擇電路50,係包括沿著 各列而存,可程式電阻記憶體的電路(例如列選擇電晶 體)、以及可程式化電阻非揮發儲存積體電路之外的電路。 介電層5〃6的範例材料係為Si〇x以及低介電值材料。導 電層58的範例材料係為氮化鈦、鈦(Ti)、氛化欽/ 欽^ΤιΝ/Τι)雙層、鎮/氮化鈦(w 紹化 ί 經摻雜之多二及金屬=The dielectric layer 56, the conductive layer 58, the conductive layer 60, and the dielectric layer 62 are deposited on the interlayer dielectric (ILD) 52' junction 54 and the local interconnect (LIC, not shown in the figure. Above the surface of the common source). The column selection circuit 50, located between the interlayer dielectric 52 and the contact 54, includes circuitry along the columns, a programmable resistor memory (eg, a column select transistor), and a non-volatile memory product of the programmable resistor. A circuit outside the body circuit. Exemplary materials for dielectric layer 5〃6 are Si〇x and low dielectric materials. The example material of the conductive layer 58 is titanium nitride, titanium (Ti), atmosphere, or nitriding titanium (w 绍化 ί doped two and metal =

Li 時,導電層58的材料與導電層60相 乂導電層、60的一姓刻終=號差異。 ^ (〇TiN/Ti/AlCuT 鑛、金屬碎化物、Μ及錄扮施+夕。 料對導電層58具有良好的'黏:Ba矽等:導電層60的材 時,姓刻將停止於導電 附力’並在導電層6〇被姓刻 / (111 ) 亂化鈦)、(氮化鈦)/(鎢/氮化鈦)、 屮 5166 (n+摻雜之多晶矽)等。 ⑽為a)、氧化 為氮切(啊)、氮氧化石夕 電層62的材料對及鈦酸錯(sm〇3)等。介 介電声62的爭、=、電阻7°素有良好的黏附力。 而改變,θ如下所if詳細範例材料,係隨著電阻元素的材料 對於GST或摻雜1 介電層62的範例材料GST的相轉換電阻元素而 以及氮氧化石夕為氧化石夕(峨)、氮化石夕(SiNx)、 對於Pr Ca 1U / ’原因係為導熱性考量。 62的範例二記)隐,阻元素而言,介電層 化石夕(SiO為)、以及鈦酸($ 匕石夕、(SiNx)、氮氧 力考量以及長晶因素。 。 1 3),其原因係為黏附 々,於 TCNQ、PCBM、銅-TCNQ、碳 60 Τ「χτ ,憶電阻元素而言,介電声 = 6〇-tcnq等聚合物 (叫)、氮氧切(Sl0Ns) VI例材料係'為氧化石夕 ,原以常 1考^ 開始。2在關Γ/J严!"微㈣料驟,料電*光阻6 4 你μ、B &例中,線距係與電晶體接九 ^^^’:距的方向係垂直於^^: :::^ 接:電。中的電晶體的間極、或電晶體的局 多面圖緣示在光阻層之上的側壁姓構財 仃t取結構相關,而此光阻係覆蓋這層係與—記憶 介電層62以及導電層60係利用光二Π仃。 合物而進行蝕刻。 64 μ及適合的蝕 62 對於介電層62係為氧化石夕或氮氧化石夕之材料而言:钱 12 1325166 刻範例係為反應性離子蝕刻,其使用四氟化碳、三氟曱烷、 氬氣、以及氮氣等,以在介電層62形成開口。 對於介電層62係為氮化矽的材料而言:蝕刻範例係為 . 反應性離子蝕刻,其使用四氟化碳、三氟曱烷、二氟曱烷、 氟甲烷、氧氣與氬氣等,以在介電層62形成開口。 對於介電層62係為氧化銘之材料而言:#刻範例係為 反應性離子餘刻,其使用四氟化碳、三氯化蝴、三氟化氣、 一氧化碳、氧氣與氬氣等,以在介電層62形成開口。 導電層60係利用適合的化合物進行蝕刻,並藉由蝕刻 選擇性或蝕刻終點信號而停止於導電層58。 • 蝕刻導電層的特定範例,係隨著導電層60的材料不同 而改變,如下所述: 對於導電層60/導電層58係為鋁銅/氮化鈦者言:蝕刻範 例係為反應性離子蝕刻,其使用氯氣、三氯化硼、氬氣與 氮氣等,以在導電層60形成開口,並利用一蝕刻終點信號 而將蝕刻停止於導電層58。 對於導電層60/導電層58係為鎢/氮化鈦者而言蝕刻範 例係為反應性離子蝕刻,其使用六氟化硫、氧氣、氮氣、 與氬氣等,以在導電層60形成開口,其係利用鎢與氮化鈦 之高蝕刻選擇性。 ® 對於對於導電層60/導電層58係為矽化鎢/n+摻雜之多 晶矽者而言:蝕刻範例係為反應性離子蝕刻,其使用氯氣、 氮氣、氦氣/氧氣、四氟化碳、氧氣、及/或氬氣等,以在導 電層60形成開口,其係使用一終點信號以將蝕刻停止於導 電層58。 聚合物側壁係以下列範例化合物而生成:八氟環丁烷 (C4F8 )、六氟-1,3 -丁二稀(C4F6 )、四氟化碳、氟曱烧、 三氟曱烷、二氟甲烷、氬氣、氮氣、及/或氧氣等,在適當 的功率、壓力、以及其他參數下進行。聚合物側壁的厚度 係介於10奈米到200奈米之間。聚合物側壁係利用反應性 13 1325166 離子钱刻而進行餘刻,如同介電層62與導電層60 —般使 用適當的化合物。 第4圖係為一剖面圖,繪示介層窗的微影起始步驟,以 電連接可程式化電阻記憶體與導電列、並電連接可程式化 電阻記憶體與導電行,其中導電列係沿著各列而存取可程 式化電阻記憶體,而導電行係沿著各行而存取可程式化電 阻記憶體。 導電層58係被蝕刻,並停止於介電層56,側壁聚合物 與剩餘的光阻係作用為一遮罩,以進行金屬線微影製程。 導電層58的特定蝕刻範例,係隨著導電層58的材料不 同而改變,如下所述: 對於導電層58/介電層56係為氮化鈦/二氧化矽者而 言:蝕刻範例包括了反應性離子蝕刻,其使用了氯氣、三 氯化硼、氬氣、及/或氮氣等,以在導電層58形成開口, 其係利用了氮化鈦與二氧化矽之間的高蝕刻選擇性。 對於導電層58/介電層56係為n+摻雜之多晶矽/二氧化 石夕者而言:餘刻範例包括了反應性離子餘刻,其使用漠化 氫、氯氣、氮氣、氦氣/氧氣、氧氣、及/或氬氣等,以在導 電層58形成開口,並使用了在多晶矽與二氧化矽之間的高 蝕刻選擇性。 殘餘的聚合物係利用氧氣、氮氣、及或/氧氣/氫氣電漿 而剝除。 受到側壁聚合物的影響,此金屬線成為自我對準的階梯 狀。階梯寬度係取決於聚合物側壁的厚度。 介電層68係沈積於介電層56、導電層58、導電層60、 以及介電詹62之上。介電層68的材料係為氮化石夕(SiNx )、 氮氧化矽(SiOxNy)、氧化矽(SiOx)等。介電層68係作 用為介電層70的乾蝕刻停止層。介電層68係經過選擇性 的濕式蝕刻,以於進一步介層窗蝕刻後在階梯狀導電層58 形成開口,使得介電層68與介電層56之間有濕式蝕刻選 14 1325166 •擇性。 在一實施例中,介電層68/介電層56的材料係為氮化矽 /氧化矽。介電層68的厚度可為10奈米至50奈米。 . 介電層70係沈積於介電層68之上。介電層70可為氧 化矽、低介電值材料、氮氧化矽、及/或氮化矽等。介電層 ' 70主要係為介金屬介電材料(IMD)。介電層70可以利用 高密度電漿化學氣相沈積(HDPCVD )、旋塗式玻璃法 (SOG)、電漿增強化學氣相沈積(PECVD)、及/或旋轉塗 佈方法所形成,並選擇性地使用化學機械研磨(CMP)。介 電層70的材料係與介電層68的材料不同。介電層70/介電 • 層68的範例材料係為氧化矽/氮化矽。 在介電層70的平坦化之後,係進行介層窗微影。其間 距可以與電晶體接點及金屬線的間距相同。部分所外露出 的介層窗係重疊於導線58/60。界層窗微影製程係從光阻 72開始。 第5圖係為一剖面圖,繪示介層窗中的侧壁結構,其係 產生以電連接可程式化電阻記憶體與導電行,導電行係沿 著各行而存取該可程式化電阻記憶體。 進行介層窗的蝕刻係使用了介層窗光阻而停止於介電 層68。由於介電層70與介電層68、介電層56、導電層58、 ® 導電層60、與介電層62之間的高蝕刻選擇性,因此蝕刻 製程對於介電層70的損害得以避免。 介電層70的蝕刻特定範例,會隨著介電層70的材料不 同而改變,如下所述: 對於介電層70/介電層68係為氧化矽/氮化矽的材料而 言:蝕刻範例包括反應性離子蝕刻,其使用了八氟環丁烷 (C4F8)、六氟-1,3-丁二烯(C4F6)、三氟曱烷、四氟化碳、 氬氣、氧氣、及/或氮氣等,以在介電層70形成開口,並 利用氧化矽對氮化矽的高蝕刻選擇性而停止於介電層68。 殘餘聚合物係利用氧氣、氮氣、及/或氮氣/氫氣電漿而 15 剝除。 介電# / 的沾浸適#溶#1而進行濕式餘刻。介% 並不合少,導電層58的階梯上與導電声 W電層68 介^介電層56形成開卩。 上形成開口但 同而特定範例,係隨著介電層68的_料不 式蝕刻範括68=n為氮化矽/氧化矽者而一 形成開口,ίί—槽式製程’其使用熱磷酸以在介u 刻停止於介電層=化矽對氧化矽的高蝕刻選擇性吏蝕 介電声 同。若二者材=料與介電層68的材料可以相同、亦可不 層68形;^=4。彳目同,職式浸沾時間較短,以^介電 導電¥層電Γ〇構^於介電層56之上’並與導電層58、 結構74可以由化丄升1有良好的順形程度。導電 電沈積等方法而形予:相沈積、有機金屬化學氣相沈積、或 化!ΓΓτΚΓ^Γτ料)的,二結構%的材料可為氮 (TaN)、^ rt, 〇、亂化鈦/鈦(TiN/Ti)雙層、氮化钽 欽Hr。、:( W)、鋁(A1)、氧化鋰鈮(LiNb〇3)、氧化 ^屬矽 ^ 物氣化釕(RU〇X )、YBaCU〇、LaCaMn〇3、鉑(Pt )、 範例j隨:電:及Ϊ摻雜之多晶矽等。導電結構74的特定 70素的材料不同而改變’如下所述: 墓1或經Ν 2擦雜之G S Τ等相轉換電阻元素而言: 、.’。 的範例包括氮化鈦、氮化鈕、鎢、或氧化鋰鈮 等。 雷電阻元素為PrxCayMn〇3之材料而言:導 Λ'Ζ 的乾例包括氧化鋰鈮、YBaCuO、LaCaMn03、或 銘等。In Li, the material of the conductive layer 58 is different from the conductive layer 60, and the first layer of the conductive layer 60 is different. ^ (〇TiN/Ti/AlCuT ore, metal scrap, bismuth and recording dress + eve. The material has a good 'sticky to the conductive layer 58: Ba矽, etc.: the material of the conductive layer 60, the last name will stop at the conductive Attached to 'and in the conductive layer 6 〇 by the name / (111) chaotic titanium), (titanium nitride) / (tungsten / titanium nitride), 屮 5166 (n + doped polysilicon) and so on. (10) is a), oxidation is nitrogen cut (ah), material pair of oxynitride layer 62 and titanic acid error (sm〇3). The dielectric sound 62, the resistance, and the resistance of 7 ° have a good adhesion. And the change, θ is as follows, the detailed example material is the material of the resistive element for the phase transition resistance element of the GST or the doped 1 dielectric layer 62, and the nitrogen oxynitride is the oxidized stone 峨 (峨) , Nitride Xi (XNx), for Pr Ca 1U / 'cause is thermal conductivity considerations. Example 2 of 62) Implicit, resistive element, dielectric layer fossil (SiO is), and titanic acid ($ 匕石, (SiNx), nitrox and crystallization factors. 1 3), The reason is adhesion to yttrium, in TCNQ, PCBM, copper-TCNQ, carbon 60 Τ "χτ, memristive element, dielectric sound = 6〇-tcnq and other polymers (called), oxynitride (Sl0Ns) VI The material of the example is 'Oxidized Oxide, the original is started with 1 test ^. 2 in Guan Yu / J Yan! " Micro (four) material, material electricity * photoresist 6 4 you μ, B & example, line spacing Connected to the transistor and connected to the ^^^^': the direction of the distance is perpendicular to ^^: :::^: electricity. The interpole of the transistor, or the multi-faceted edge of the transistor is shown in the photoresist layer. The upper sidewall surname structure is related to the structure, and the photoresist layer covers the layer and the memory dielectric layer 62 and the conductive layer 60 are etched using a photodiode. 64 μ and a suitable etch 62 For the material of the dielectric layer 62 being oxidized or oxidized by oxynitride: the example of the money 12 1325166 is a reactive ion etching using carbon tetrafluoride, trifluorodecane, argon, and nitrogen. Wait, An opening is formed in the dielectric layer 62. For the material in which the dielectric layer 62 is tantalum nitride: an etching example is: reactive ion etching using carbon tetrafluoride, trifluorodecane, difluorodecane, Fluoromethane, oxygen, argon, etc., to form openings in the dielectric layer 62. For the dielectric layer 62 is a material for oxidation: the example is a reactive ion residue, which uses carbon tetrafluoride, Trichloride, trifluorocarbon, carbon monoxide, oxygen, argon, etc., to form openings in the dielectric layer 62. The conductive layer 60 is etched using a suitable compound and stopped by etching selectivity or etching the end point signal The conductive layer 58. • A specific example of etching the conductive layer varies with the material of the conductive layer 60, as follows: For the conductive layer 60 / the conductive layer 58 is aluminum copper / titanium nitride: etching example It is a reactive ion etching using chlorine gas, boron trichloride, argon gas, nitrogen gas or the like to form an opening in the conductive layer 60, and the etching is stopped at the conductive layer 58 by an etching end point signal. For the conductive layer 60/ Conductive layer 58 is tungsten/titanium nitride The example of etching is reactive ion etching using sulfur hexafluoride, oxygen, nitrogen, argon, etc. to form openings in the conductive layer 60, which utilizes high etch selectivity of tungsten and titanium nitride. For the conductive layer 60 / the conductive layer 58 is a tungsten germanium / n + doped polysilicon: the etching example is reactive ion etching, which uses chlorine gas, nitrogen gas, helium / oxygen, carbon tetrafluoride, oxygen, and Or argon or the like to form an opening in the conductive layer 60, which uses an end point signal to stop the etching on the conductive layer 58. The polymer sidewalls are formed by the following exemplary compounds: octafluorocyclobutane (C4F8), six Fluorine-1,3-butadiene (C4F6), carbon tetrafluoride, fluorocarbon, trifluorodecane, difluoromethane, argon, nitrogen, and/or oxygen, etc., at appropriate power, pressure, and Performed under other parameters. The thickness of the polymer sidewalls is between 10 nm and 200 nm. The polymer sidewalls are re-etched using reactive 13 1325166 ions, using the appropriate compound as the dielectric layer 62 and the conductive layer 60. Figure 4 is a cross-sectional view showing the lithography starting step of the via window to electrically connect the programmable resistive memory and the conductive column, and electrically connect the programmable resistive memory and the conductive row, wherein the conductive column The programmable resistive memory is accessed along the columns, and the conductive traces access the programmable resistive memory along each row. Conductive layer 58 is etched and stops at dielectric layer 56. The sidewall polymer and the remaining photoresist act as a mask for the metal line lithography process. The specific etching example of conductive layer 58 varies with the material of conductive layer 58, as follows: For conductive layer 58 / dielectric layer 56 is titanium nitride / cerium oxide: etching examples include Reactive ion etching using chlorine gas, boron trichloride, argon gas, and/or nitrogen gas to form an opening in the conductive layer 58 utilizing high etching selectivity between titanium nitride and germanium dioxide . For the conductive layer 58 / dielectric layer 56 is n + doped polysilicon / dioxide dioxide: the remaining examples include reactive ion remnants, which use desertified hydrogen, chlorine, nitrogen, helium / oxygen Oxygen, and/or argon, etc., to form openings in the conductive layer 58 and use a high etch selectivity between the polysilicon and the cerium oxide. The residual polymer is stripped using oxygen, nitrogen, and/or oxygen/hydrogen plasma. Under the influence of the sidewall polymer, the wire becomes a self-aligning step. The step width depends on the thickness of the polymer sidewalls. Dielectric layer 68 is deposited over dielectric layer 56, conductive layer 58, conductive layer 60, and dielectric J62. The material of the dielectric layer 68 is SiNx, SiOxNy, SiOx, or the like. Dielectric layer 68 acts as a dry etch stop layer for dielectric layer 70. The dielectric layer 68 is selectively wet etched to form an opening in the stepped conductive layer 58 after further via etching, such that there is a wet etch between the dielectric layer 68 and the dielectric layer 54 14 1325166. Selective. In one embodiment, the material of dielectric layer 68/dielectric layer 56 is tantalum nitride/yttria. Dielectric layer 68 can have a thickness from 10 nanometers to 50 nanometers. A dielectric layer 70 is deposited over the dielectric layer 68. Dielectric layer 70 can be germanium oxide, low dielectric material, hafnium oxynitride, and/or hafnium nitride. The dielectric layer '70 is mainly a dielectric metal dielectric material (IMD). The dielectric layer 70 can be formed by high density plasma chemical vapor deposition (HDPCVD), spin on glass (SOG), plasma enhanced chemical vapor deposition (PECVD), and/or spin coating methods. Chemical mechanical polishing (CMP) is used sexually. The material of the dielectric layer 70 is different from the material of the dielectric layer 68. Dielectric Layer 70/Dielectric • An exemplary material for layer 68 is tantalum oxide/tantalum nitride. After planarization of the dielectric layer 70, via lithography is performed. The spacing can be the same as the spacing between the transistor contacts and the metal lines. A portion of the exposed window is superposed on the wires 58/60. The boundary layer lithography process begins with photoresist 72. Figure 5 is a cross-sectional view showing the sidewall structure in the via window, which is formed by electrically connecting the programmable resistive memory and the conductive row, and the conductive traces access the programmable resistor along each row. Memory. The etching of the via window is stopped by the dielectric layer 68 using a via photoresist. Due to the high etch selectivity between the dielectric layer 70 and the dielectric layer 68, the dielectric layer 56, the conductive layer 58, the conductive layer 60, and the dielectric layer 62, the etching process is damaged to the dielectric layer 70 to avoid . The specific example of etching of the dielectric layer 70 may vary depending on the material of the dielectric layer 70, as follows: For the dielectric layer 70/dielectric layer 68 is a material of tantalum oxide/tantalum nitride: etching Examples include reactive ion etching using octafluorocyclobutane (C4F8), hexafluoro-1,3-butadiene (C4F6), trifluorodecane, carbon tetrafluoride, argon, oxygen, and/or Or nitrogen or the like to form an opening in the dielectric layer 70 and stop at the dielectric layer 68 by the high etching selectivity of yttrium oxide to tantalum nitride. The residual polymer is stripped using oxygen, nitrogen, and/or nitrogen/hydrogen plasma. Dielectric # / 浸浸适#Solution #1 and carry out the wet remnant. The dielectric layer 58 is not integrated, and the conductive layer 58 is formed on the step of the conductive layer W with the dielectric layer 56. Forming an opening on the same but a specific example, as the dielectric layer 68 is etched to form an opening, 68=n is a tantalum nitride/yttrium oxide, and an opening is formed, which uses a hot phosphoric acid. The high etch selectivity of the dielectric layer is stopped at the dielectric layer = bismuth bismuth oxide. If the material of the material and the dielectric layer 68 may be the same or not, the shape of the layer 68; ^=4. In the same way, the dipping time of the job is relatively short, and the dielectric conductive layer is electrically formed on the dielectric layer 56 and the conductive layer 58 and the structure 74 can be improved by the chemical layer. Degree of shape. Conductive electrodeposition and other methods are used to: phase deposition, organometallic chemical vapor deposition, or crystallization; 二τΚΓ^Γτ material, the second structure% of the material can be nitrogen (TaN), ^ rt, 〇, chaotic titanium / Titanium (TiN/Ti) double layer, nitrided 钽Hr. , (W), aluminum (A1), lithium niobium oxide (LiNb〇3), oxidized 矽 矽 气 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 〇 : Electricity: and doped polycrystalline germanium. The specific material of the conductive structure 74 varies depending on the material as follows: Tomb 1 or the G 2 擦 之 G Τ Τ Τ Τ Τ Τ Τ 。 。 。 。 。 。 。. Examples include titanium nitride, nitride buttons, tungsten, or lithium ruthenium oxide. In the case where the lightning resistance element is a material of PrxCayMn〇3, a dry example of the conductive material 包括'Ζ includes lithium lanthanum oxide, YBaCuO, LaCaMn03, or Ming.

對於聚合物§己憶電阻元素為TCNQ、PCBM、Cu-TCNQ 16For the polymer § Remembrance resistance elements are TCNQ, PCBM, Cu-TCNQ 16

丄JZDiOO 之材料而言:導電結構74的範例包括銘 例電:°構的钱刻係使用了高轟擊反應性離子電雙, 以;4四二碳八、及/或適合的化合物,以形成^直 氣、“i層上殘除餘的聚合物係利用氧氣、氮 第6圖係為一剖而岡 z人’ , , 係產生以電連接可Hi會示在介層窗:的導電結構,其 列係沪荖么列而户&式化電阻記憶體與導電列,這些導電 '人^bt/ί?存取可程式化電阻記憶體。 "電、、’。構6係沈積於介^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ 56之上,並具有良好的喷形電程層/導“構74與介電層 等。介介電=6二it切、 介Λ構76 於電阻元素具有良好的黏附力。 改變,如下所述:又軏例會隨著電阻兀素材料的不同而 介以,摻雜之GST等相轉換電阻元素而言: ;ΪΪ二以氧切、氮氧_、以及氮_ 以以為PrAMn01材料而言:介 總等,考㈣料㈣、氮切、或鈦酸For the material of 丄JZDiOO: Examples of conductive structure 74 include the example of electricity: the structure of the money is made using a high bombardment reactive ion double, to 4 4 carbon eight, and / or a suitable compound to form ^直气, "The polymer layer on the i layer is depleted of oxygen, and the nitrogen is used as a cross section, and the electrons are connected to each other." It is listed in the Husband and the household & the resistance memory and the conductive column, these conductive 'human ^bt / ί? access to the programmable resistance memory. " electric,, '. 6-series deposition Yu Jie ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ ^ 56 above, and has a good shape of the electric flow layer / guide "structure 74 and dielectric layer. Dielectric = 6 diit cut, dielectric structure 76 has good adhesion to the resistance element. The change, as described below: another example will be based on the difference in the resistance of the material, the doped GST and other phase conversion resistance elements: ΪΪ2 to oxygen cut, nitrogen oxygen _, and nitrogen _ to think that PrAMn01 Material: medium total, etc., test (four) material (four), nitrogen cut, or titanic acid

對於聚合物記怜電卩且及長日日 或碳六十-TCNQ TCNQ、PCBM、Cu.TCNQ 常ί。乳氧化石夕、氮化砂、或氧化铭,考量因素係為介ί U 以高轟擊進行餘刻且不使用遮罩。 不同而改變ΛΓ下列/么著^電結構76與介電層56的材料 對於介電結構7=以6结^^ 化矽/氧化矽而言:韻列= 係為乳化矽/氧化矽或氮氧 蝕J的範例包括反應性離子蝕刻,其係 17 1325166 使用四氟化碳、三氟曱烷、氬氣、及/或氮氣等,以在介電 結構76與介電層56形成開口,並停止於接點54,而生成 列選擇電路50。 . 對於介電結構76/介電層56為氧化鋁/氧化矽而言:蝕刻 的範例包括反應性離子蝕刻,其使用四氟化碳、三氯化硼、 ' 三氟化氮、一氧化碳、氧氣、及/或氬氣等,以在介電結構 76形成開口,並使用四氟化碳、三氟甲烷、氬氣及/或氮氣 進行移轉反應性離子蝕刻,以在介電層56形成開口,並停 止於接點54而生成列選擇電路50。 根據化合物的特性,導電結構74的蝕刻速率在蝕刻介 • 電結構76與介電層56時係較低。藉此,導電結構74係被 外露。 介電結構76防止了導電結構74形成漏電流流至列選擇 電路50。介電結構76的厚度係介於5奈米至100奈米(亦 即介電結構76側壁子的寬度係介於5奈米至100奈米之 間)之間。 剩餘的聚合物係利用氧氣、氮氣、及/或氮氣/氫氣電漿 以剝除。 導電電極78係填入了由介電結構76所界定的孔洞中。 此導電電極78係連接到列選擇電路50的接點54。導電電 ® 極78係沈積於介電層70、導電結構74、介電結構76、介 電層56、以及列選擇電路50之接點54之上。導電電極78 可為化學氣相沈積的鎢、或化學氣相沈積的氮化鈦、物理 氣相沈積的氮化鈕/電沈積的銅等,且其厚度係足以覆蓋整 個表面。 第7圖係為一剖面圖 > 其顯示利用化學機械研磨而移除 過量材料後的結果。 針對導電電極78進行的化學機械研磨,在產率方面扮 演了重要的角色。導電電極78係被研磨直到外露出導電結 構74,並進一步研磨直到接觸到介電層70為止。 18 1325166 介電層70係被研磨直到外露出 磨直到露出介電層62。 电滑68,並進一步研 化學機械研磨的泥漿並沒有針 介電結構76、導電電極78、以及 级3 70、介電層68、 顯的選擇性蝕刻逮率。泥漿的範例之%一^構74具有特別明 在某些實施例中經過研磨後,介 為二氧化矽。 74的寬度係相同,以獲得相同的電g 76與導電結構 在研磨步驟後進行一刷洗清潔勢鞋,夕二 78與導電結構74之間的開路。、可確保在導電電極 第8圖係為一剖面圖繪示可程式化 每一非揮發性記憶細胞的非揮發性資^此7^素8〇,其儲存 電阻元素沈積製程的特定範例會隨著 揮發性記憶元件的種類不同而改變,如 ,式化電阻非 對於相轉換電阻元件而言··電阻元:For the polymer, remember and use the long day or carbon sixty-TCNQ TCNQ, PCBM, Cu.TCNQ often. Milk oxidized oxide, nitriding sand, or oxidized, the consideration is that the U-U is bombarded with high bombardment and no mask is used. The material of the electrical structure 76 and the dielectric layer 56 is different for the dielectric structure 7 = 6 ^ 矽 / 矽 :: rhyme = emulsified 矽 / 矽 矽 or nitrogen Examples of oxygen etching J include reactive ion etching, which uses carbon tetrafluoride, trifluorodecane, argon, and/or nitrogen to form an opening in dielectric structure 76 and dielectric layer 56, and Stop at junction 54 and generate column selection circuit 50. For the dielectric structure 76/dielectric layer 56 is alumina/yttria: examples of etching include reactive ion etching using carbon tetrafluoride, boron trichloride, 'nitrogen trifluoride, carbon monoxide, oxygen And/or argon or the like to form an opening in the dielectric structure 76, and transfer reactive ion etching using carbon tetrafluoride, trifluoromethane, argon, and/or nitrogen to form an opening in the dielectric layer 56. And stopping at the contact 54 to generate the column selection circuit 50. Depending on the nature of the compound, the etch rate of the conductive structure 74 is lower when the dielectric structure 76 and the dielectric layer 56 are etched. Thereby, the conductive structure 74 is exposed. The dielectric structure 76 prevents the conductive structure 74 from forming a leakage current to the column selection circuit 50. The thickness of the dielectric structure 76 is between 5 nm and 100 nm (i.e., the width of the sidewalls of the dielectric structure 76 is between 5 nm and 100 nm). The remaining polymer is stripped using oxygen, nitrogen, and/or nitrogen/hydrogen plasma. Conductive electrode 78 is filled into the void defined by dielectric structure 76. This conductive electrode 78 is connected to the junction 54 of the column selection circuit 50. A conductive electrode 78 is deposited over the dielectric layer 70, the conductive structure 74, the dielectric structure 76, the dielectric layer 56, and the contacts 54 of the column select circuit 50. The conductive electrode 78 may be chemical vapor deposited tungsten, or chemical vapor deposited titanium nitride, physical vapor deposited nitride button/electrodeposited copper, etc., and is thick enough to cover the entire surface. Figure 7 is a cross-sectional view > which shows the results of removal of excess material by chemical mechanical polishing. The chemical mechanical polishing performed on the conductive electrode 78 plays an important role in productivity. The conductive electrode 78 is ground until the conductive structure 74 is exposed and further ground until it contacts the dielectric layer 70. 18 1325166 The dielectric layer 70 is ground until the outer portion is exposed until the dielectric layer 62 is exposed. Electro-slip 68, and further study of the chemical mechanical polishing slurry does not have a pin dielectric structure 76, a conductive electrode 78, and a level 3 70, a dielectric layer 68, and a selective selective etch rate. The % structure 74 of the slurry is particularly well-known in certain embodiments after being ground and intercalated as cerium oxide. The widths of the 74 are the same to obtain the same electrical g 76 and the electrically conductive structure. After the grinding step, a brushing cleansing shoe, an open circuit between the second 78 and the electrically conductive structure 74 is performed. It can be ensured that the conductive electrode in Fig. 8 is a cross-sectional view showing the non-volatile material of each non-volatile memory cell, and the specific example of the storage resistance element deposition process will follow The type of volatile memory element changes, for example, the resistance of the resistor is not for the phase-conversion resistor element.

GexSbyTez (GST)、N2摻雜的GST、Ge、g乾例材料包括 使用不同的結晶相轉換現象以決定電卩且伯y或任何其他 對於電阻記憶電阻元件而言:電阻元料。 PrCaMnOg、PrSrMn03、ZrOx、或任何|他^ =例椅料包括 (不同極性)以改變並維持電阻狀態的'材使用電壓脈衝 對於聚合物記憶電阻元件而言:電阻元夸 》 括鋼-TCNQ、銀-TCNQ、碳六十-TCNQ、^的範例材料包 積的TCNQ、PCBM、TCNQ-PCBM,或任;^何以金屬沈 壓脈衝或一電流密度控制之雙穩定 °二有並以〜 料。 %疋電阻態的: 更廣泛地說,電阻元素可包括任彳 巧且具有雙穩定或多穩 4度'電流極性 '或任何電氣特徵 壓; 電阻元素80的厚度會隨著杖 二制此電阻態。电蚧 Π電電極78與導電結構74之上,以J ’並 與^電結構74。在導”極78與導^導電^ 之間的矩 19 1325166 離係可調整,並隨著介電結構76的寬度而改變。 在某些實施例中,電阻元素具有一覆蓋層以防止受到空 氣接觸所產生的特徵性質改變。 在某些實施例中,電阻元素圖案係由一方形微影製程所 定義。長度尺寸係垂直於導電行的方向,導電行則係由導 電層60與導電層58所形成。電阻元素的長度從係從一由 導電電極78所定義的區域中開始,經過介電結構76、導 電結構74,並結束於由介電層62所定義的區域之中。 银刻電阻元素之前,可使用一修煎或縮小製程,例如覆 蓋層濕式浸沾。 電阻元素蝕刻步驟的蝕刻化合物特定範例,會隨著電阻 元素的材料不同而有所改變,如下所述: 對於相轉換電阻元件如GST或摻雜N2之GST而言:蝕 刻化合物的範例包括四氟化碳、氯氣、氬氣、氧氣、三氟 曱烷、及/或氮氣等。 對於電阻記憶電阻元件如PrCaMn03等而言:蝕刻化合 物範例包括氬氣、四氟化碳、及/或氧氣等,以利用高轟擊 進行蚀刻。 對於電阻記憶電阻元件如銅-TCNQ等而言:蝕刻化合物 範例包括氧氣、氬氣、及/或四氟化碳,以利用覆蓋層硬遮 罩進行餘刻。 第9圖係為一可程式化電阻非揮發性記憶細胞陣列之上 視圖。 雖然每一接點54係位於相對應之導電結構74、介電結 構76、以及導電電極78之下,接點54的水平位置係由虛 線所指示。圖中可見介電層68的外露部分,其形狀係為長 條狀並平行於導電行58,60以及介電層62。圖中亦顯示介 電層62的外露部分其係鄰接於介電層68的外露部分,以 及介電層70的外露部分其係鄰接於介電層68以及介電層 62的外露部份。如第8圖所示,電阻元素80係導電連接 20 1325166 至導電電極78以及導電結構74。 記憶細胞的實施例包括了電阻元素8 ,憶材料,包括硫屬化物材料與其他材料。二矣為= 括下列四元素之任-者:氧(0)、硫⑻、碼 及碲(Te),形成元素週期表上第%族的部分。) 包括將-硫屬元素與-更為正電性之元素或二士 匕硫屬化合物合金包括將硫屬化合物與其他物;:= 金屬等結合。-硫屬化合物合金通常包括—個GexSbyTez (GST), N2-doped GST, Ge, g dry materials include the use of different crystalline phase transition phenomena to determine the electrical enthalpy and y or any other for resistive memory resistor components: resistive materials. PrCaMnOg, PrSrMn03, ZrOx, or any of the other materials include (different polarity) to change and maintain the resistance state of the 'material use voltage pulse for the polymer memory resistance element: resistance element boast>> steel-TCNQ, Silver-TCNQ, carbon sixty-TCNQ, ^ examples of material encapsulation of TCNQ, PCBM, TCNQ-PCBM, or any; ^ with metal sinking pulse or a current density control of the bistable ° two and ~ material. % 疋 resistance state: More broadly, the resistance element may include any dexterous and bistable or multi-stable 4 degree 'current polarity' or any electrical characteristic pressure; the thickness of the resistance element 80 will be the same as the rod state. The electric field electrode 78 is electrically connected to the conductive structure 74 by J' and the electrical structure 74. The moment 19 1325166 between the conductive pole 78 and the conductive conductor is adjustable and varies with the width of the dielectric structure 76. In some embodiments, the resistive element has a cover layer to protect against air. The characteristic properties of the contact are changed. In some embodiments, the pattern of resistive elements is defined by a square lithography process. The length dimension is perpendicular to the direction of the conductive rows, and the conductive traces are comprised of conductive layer 60 and conductive layer 58. The length of the resistive element begins in a region defined by the conductive electrode 78, through the dielectric structure 76, the conductive structure 74, and ends in the region defined by the dielectric layer 62. Before the element, a trimming or shrinking process can be used, such as a wet dipping coating. The specific example of the etching compound in the resistive element etching step will vary depending on the material of the resistive element, as follows: For resistive elements such as GST or GST doped with N2: examples of etching compounds include carbon tetrafluoride, chlorine, argon, oxygen, trifluorodecane, and/or nitrogen, etc. Resistive elements such as PrCaMn03, etc.: examples of etching compounds include argon, carbon tetrafluoride, and/or oxygen, etc., for etching with high bombardment. For resistive memory resistance elements such as copper-TCNQ, etc.: Examples of etching compounds include Oxygen, argon, and/or carbon tetrafluoride to make a blanket with a hard mask of the overlay. Figure 9 is a top view of a programmable resistive non-volatile memory cell array. It is located under the corresponding conductive structure 74, dielectric structure 76, and conductive electrode 78. The horizontal position of the contact 54 is indicated by a broken line. The exposed portion of the dielectric layer 68 is visible in the figure. And parallel to the conductive rows 58, 60 and the dielectric layer 62. The exposed portion of the dielectric layer 62 is also shown adjacent to the exposed portion of the dielectric layer 68, and the exposed portion of the dielectric layer 70 is adjacent to The dielectric layer 68 and the exposed portion of the dielectric layer 62. As shown in Fig. 8, the resistive element 80 is electrically connected 20 1325166 to the conductive electrode 78 and the conductive structure 74. Embodiments of the memory cell include the resistive element 8, Material package Chalcogenide materials and other materials. Dimensions = include the following four elements: oxygen (0), sulfur (8), code and strontium (Te), forming the part of the element family on the periodic table.) - chalcogens and - more positively charged elements or bismuth bismuth compound alloys include combinations of chalcogenide compounds with other materials; : = metals, etc. - chalcogenide alloys usually include

常,硫屬化合物合金包括下列元素中一個 二)1; 録(Sb)、鎵(Ga)、銦㈤、以及銀(Ag)H=棘 換為基礎之記憶材料已經被描述於技術文件中, $金:鎵/録、钢/録、銦/砸、錦/碲、鍺/碲、錯/録 ^ 錦/碲、鎵/砸/碎、錫/録/碌、銦/錄/錯、銀/铜/錦 /娣/碌、鍺/錄/砸/蹄、以及蹄/鍺/銻/硫。在鍺/録/蹄人 族中,可以嘗試大範圍的合金成分。此成分可以下二特徵 ,表示iTeaGebSb^o^w。一位研究員描述了最有用的合金 係為,在沈積材料中所包含之平均碲濃度係遠低於7〇%, 典型地係低於60%,並在一般型態合金中的碲含量範圍從 最低23%至最高58%,且最佳係介於48%至58%之碲含量。 鍺的濃度係高於約5%,且其在材料中的平均範圍係從最低 8%至敢!30% ’ 一般係低於50%。最佳地,鍺的漢度範圍 係介於8%至40%。在此成分中所剩下的主要成分則為銻。 上述百分比係為原子百分比’其為所有組成元素加總為 100%。(Ovshinky ‘112專利,欄1〇〜η )由另一研究者所 評估的特殊合金包括Ge2Sb2Te5、GeSb2Te4、以及 GeSb4Te7。( Noboru Yamada,’’Potential of Ge-Sb-Te Phase-change Optical Disks for High-Data-Rate Recording,,, iST/fi1 v.JiOP,pp. 28-37(1997))更一般地,過渡金屬如絡 (Cr)、鐵(Fe)、鎳(Ni)、鈮(Nb)、鈀(Pd)、鉑(Pt)、以及上述 21 1325166 之混合物或合金,可與鍺/銻/碲結合以形成一相轉換合金其 包括有可程式化的電阻性質。可使用的記憶材料的特殊範 例,係如Ovshinsky ‘112專利中攔11-13所述,其範例在 . 此係列入參考。 相轉換材料能在此細胞主動通道區域内依其位置順序 ' 於材料為一般非晶狀態之第一結構狀態與為一般結晶固體 狀態之第二結構狀態之間切換。這些材料至少為雙穩定 態。此詞彙「非晶」係用以指稱一相對較無次序之結構, 其較之一單晶更無次序性,而帶有可偵測之特徵如較之結 晶態更高之電阻值。此詞彙「結晶態」係用以指稱一相對 • 較有次序之結構,其較之非晶態更有次序,因此包括有可 偵測的特徵例如比非晶態更低的電阻值。典型地,相轉換 材料可電切換至局部次序之完全結晶態與完全非晶態之間 所有可偵測的不同狀態。其他受到非晶態與結晶態之改變 而影響之材料特中包括,原子次序、自由電子密度、以及 活化能。此材料可切換成為不同的固態、或可切換成為由 兩種以上固態所形成之混合物,提供從非晶態至結晶態之 間的灰階部分。此材料中的電性質亦可能隨之改變。 相轉換合金可藉由施加一電脈衝而從一種相態切換至 另一相態。先前觀察指出,一較短、較大幅度的脈衝傾向 * 於將相轉換材料的相態改變成大體為非晶態。一較長、較 低幅度的脈衝傾向於將相轉換材料的相態改變成大體為結 晶態。在較短、較大幅度脈衝中的能量夠大,因此足以破 壞結晶結構的鍵結,同時夠短因此可以防止原子再次排列 成結晶態。在沒有不適當實驗的情形下,可決定特別適用 於一特定相轉換合金的適當脈衝量變曲線。在本文的後續 部分,此相轉換材料係以GST代稱,同時吾人亦需暸解, 亦可使用其他類型之相轉換材料。在本文中所描述之一種 適用於PCRAM中之材料,係為Ge2Sb2Te5。 可用於本發明其他實施例中之其他可程式化之記憶材 22 1325166 料包括’摻雜N2之GST、GexSby、或其他以不同結晶態轉 換來決定電阻之物質;PrxCayMn03、PrSrMnO、ZrOx、TiOx、 NiOx、W0X、經摻雜的SrTi03或其他利用電脈衝以改變電 - 阻狀態的材料;或其他使用一電脈衝以改變電阻狀態之物 質,TCNQ(7,7,8,8-tetracyanoquinodimethane)、PCBM (methanofullerene 6,6-phenyl C61-butyric acid methyl ester)、TCNQ-PCBM、Cu-TCNQ、Ag-TCNQ、C60-TCNQ、 以其他物質摻雜之TCNQ、或任何其他聚合物材料其包括 有以一電脈衝而控制之雙穩定或多穩定電阻態。 接著係簡單描述四種電阻記憶材料。第一種係為硫屬化 _ 物材料,例如GexSbyTez,其中x:y:z = 2:2:5,或其他成分 為X: 0〜5; y·· 〇〜5; z: 〇〜1〇。以氮、矽、鈦或其他元素摻雜 之GeSbTe亦可被使用。 一種用以形成硫屬化物材料的例示方法,係利用pVD 賤鍍或磁電管(Magnetron)濺鍍方式,其反應氣體為氬氣、 氮氣、及/或乱氧、壓力為1 mTorr至1 〇〇 mTorr。此沈積步 驟一般係於室溫下進行。一長寬比為丨〜5之·準直器 (collimater)可用以改良其填入表現。為了改善其填入表 現’亦可使用數十至數百伏特之直流偏壓。另一方面,同 φ 時合併使用直流偏壓以及準直器亦是可行的。 可以選擇性地在真空中或氮氣環境中進行一沈積後退 火處理,以改良琉屬化物材料之結晶態。此退火處理的溫 度典型地係介於1〇〇。〇至400°C,而退火時間則少於30分 鐘0 硫屬化物材料之厚度係隨著細胞結構的設計而定。一般 而言’硫屬化物之厚度大於8 nm者可以具有相轉換特性, 使得此材料展現至少雙穩定的電阻態。 第二種適合用於本發明實施態樣中的記憶材料係為超 巨磁阻(CMR)材料,例如PlxCayMn〇3,其中x:y = 〇 5:〇 5, 或其他成分為X: 〇〜1; y: 0〜1。包括有錳氧化物之超巨磁阻 23 1325166 材料亦可被使用。 —用以形成超巨磁阻材料之例示方法,係利用PVD錢 ,或磁電管濺鍍方式’其反應氣體為氬氣、氮氣、及/或氦 氣' 壓力為1 mTorr至100 mTorr。此沈積步驟的溫度可介 於室溫至60(TC,視後處理條件而定。一長寬比為之 準直器(collimater)可用以改良其填入表現。為了改盖其填 入表現’亦可使用數十至數百伏特之直流偏壓。另一面二 同時合併使用直流偏壓以及準直器亦是可行的。可施加數 十高斯(Gauss)至1特司拉(tesla,1〇,〇〇〇高斯)之間的磁 場,以改良其磁結晶態。 可以選擇性地在真空中或氮氣環境中進行一沈積後退 火處理’以改良超巨磁阻材料之結晶態。此退火處理的溫 度典型地係介於400°C至600°C,而退火時間則少於2小時二 超巨磁阻材料之厚度係隨著記憶細胞結構的設計而 定。厚度介於10 nm至200 nm的超巨磁阻材料,可被用作 為核心材料。一 YBCO(YBACu〇3,一種高溫超導體材料) 緩衝層係通常被用以改良超巨磁阻材料的結晶.態。此 YBCO的沈積係在沈積超巨磁阻材料之前進行的 厚度係介於30 nm至200 nm。 第二種s己憶材料係為雙元素化合物, a 1 ^ WT < 7— a ’例如 Nix〇y、TixOy、Often, chalcogenide alloys include one of the following elements: 2; recording (Sb), gallium (Ga), indium (f), and silver (Ag) H = spine-based memory materials have been described in the technical documents, $金: gallium / recording, steel / recording, indium / bismuth, brocade / 碲, 锗 / 碲, wrong / recorded ^ 锦 / 碲, gallium / 砸 / broken, tin / recorded / 碌, indium / recorded / wrong, silver /Copper/Jin/娣/碌,锗/录/砸/hoof, and hoof/锗/锑/sulphur. In the 锗/录/蹄人, you can try a wide range of alloy compositions. This component can have the following two characteristics, indicating iTeaGebSb^o^w. One researcher described the most useful alloy system in that the average enthalpy concentration contained in the deposited material is well below 7〇%, typically below 60%, and the bismuth content in the general type alloy ranges from The lowest is 23% to the highest 58%, and the best system is between 48% and 58%. The concentration of cerium is above about 5% and its average range in the material ranges from a minimum of 8% to a dare! 30% 'generally less than 50%. Optimally, the range of 锗 is between 8% and 40%. The main component remaining in this ingredient is hydrazine. The above percentages are atomic percentages, which is a total of 100% for all constituent elements. (Ovshinky '112 patent, column 1 〇 ~ η ) Special alloys evaluated by another researcher include Ge2Sb2Te5, GeSb2Te4, and GeSb4Te7. (Noboru Yamada, ''Potential of Ge-Sb-Te Phase-change Optical Disks for High-Data-Rate Recording,,, iST/fi1 v.JiOP, pp. 28-37 (1997)) More generally, transition metals A mixture or alloy such as complex (Cr), iron (Fe), nickel (Ni), niobium (Nb), palladium (Pd), platinum (Pt), and the above 21 1325166 may be combined with 锗/锑/碲 to form One phase transition alloys include programmable resistance properties. A special example of a memory material that can be used is described in the Ovshinsky '112 patent, Blocks 11-13, examples of which are incorporated herein by reference. The phase inversion material is switchable between the first structural state in which the material is in a generally amorphous state and the second structural state in a generally crystalline solid state in the cell active channel region. These materials are at least bistable. The term "amorphous" is used to refer to a relatively unordered structure that is more unordered than one of the single crystals, with detectable features such as higher resistance values than the crystalline state. The term "crystalline" is used to refer to a relatively ordered structure that is more ordered than amorphous and therefore includes detectable features such as lower resistance than amorphous. Typically, the phase inversion material can be electrically switched to all detectable different states between the partially crystalline fully crystalline state and the fully amorphous state. Other materials that are affected by changes in amorphous and crystalline states include atomic order, free electron density, and activation energy. The material can be switched to a different solid state, or can be switched to a mixture of two or more solids, providing a gray-scale portion from amorphous to crystalline. The electrical properties of this material may also change. The phase change alloy can be switched from one phase to another by applying an electrical pulse. Previous observations indicate that a shorter, larger amplitude pulse tends to change the phase of the phase change material to a substantially amorphous state. A longer, lower amplitude pulse tends to change the phase of the phase change material to a substantially crystalline state. The energy in the shorter, larger amplitude pulses is large enough to break the bond of the crystalline structure while being short enough to prevent the atoms from re-arranging into a crystalline state. In the absence of undue experimentation, an appropriate pulse amount curve that is particularly suitable for a particular phase change alloy can be determined. In the subsequent part of this article, this phase-converting material is referred to as GST, and we also need to understand that other types of phase-converting materials can be used. One of the materials described in this document for use in PCRAM is Ge2Sb2Te5. Other programmable memory materials 22 1325166 that may be used in other embodiments of the invention include 'G2 doped N2, GexSby, or other materials that are converted by different crystalline states to determine electrical resistance; PrxCayMn03, PrSrMnO, ZrOx, TiOx, NiOx, W0X, doped SrTi03 or other materials that use electrical pulses to change the electrical resistance state; or other substances that use an electrical pulse to change the resistance state, TCNQ (7,7,8,8-tetracyanoquinodimethane), PCBM (methanofullerene 6,6-phenyl C61-butyric acid methyl ester), TCNQ-PCBM, Cu-TCNQ, Ag-TCNQ, C60-TCNQ, TCNQ doped with other substances, or any other polymeric material including A bistable or multi-stable resistance state controlled by electrical pulses. Next, four types of resistive memory materials are briefly described. The first type is a chalcogenide material such as GexSbyTez, where x:y:z = 2:2:5, or other components are X: 0~5; y·· 〇~5; z: 〇~1 Hey. GeSbTe doped with nitrogen, niobium, titanium or other elements can also be used. An exemplary method for forming a chalcogenide material is by pVD ruthenium plating or magnetron sputtering, the reaction gas is argon, nitrogen, and/or oxygen, and the pressure is 1 mTorr to 1 Torr. mTorr. This deposition step is generally carried out at room temperature. A collimator with a length to width ratio of 丨~5 can be used to improve its filling performance. In order to improve its filling performance, a DC bias of tens to hundreds of volts can also be used. On the other hand, it is also feasible to use a DC bias and a collimator in combination with φ. A post-deposition annealing treatment may be selectively performed in a vacuum or in a nitrogen atmosphere to improve the crystalline state of the lanthanide material. The temperature of this annealing treatment is typically between 1 Torr. 〇 to 400 ° C, and the annealing time is less than 30 minutes 0 The thickness of the chalcogenide material depends on the design of the cell structure. In general, the thickness of the chalcogenide greater than 8 nm may have phase transition characteristics such that the material exhibits at least a bistable resistance state. The second memory material suitable for use in embodiments of the present invention is a super giant magnetoresistive (CMR) material, such as PlxCayMn〇3, where x:y = 〇5: 〇5, or other component is X: 〇~ 1; y: 0~1. Super giant magnetoresistance including manganese oxide 23 1325166 material can also be used. - An exemplary method for forming a giant magnetoresistive material, using PVD money, or magnetron sputtering, wherein the reaction gas is argon, nitrogen, and/or helium, at a pressure of 1 mTorr to 100 mTorr. The temperature of this deposition step can range from room temperature to 60 (TC, depending on post-treatment conditions. A collimator with an aspect ratio can be used to improve its filling performance. In order to rewrite its filling performance' It is also possible to use a DC bias of tens to hundreds of volts. It is also possible to use a DC bias and a collimator on the other side. It is possible to apply tens of Gauss to 1 Tesla (tesla, 1〇). The magnetic field between the 〇〇〇Gauss) to improve its magnetic crystalline state. A post-deposition annealing treatment can be selectively performed in a vacuum or in a nitrogen atmosphere to improve the crystalline state of the giant magnetoresistive material. The temperature is typically between 400 ° C and 600 ° C, and the annealing time is less than 2 hours. The thickness of the super giant magnetoresistive material is determined by the design of the memory cell structure. The thickness is between 10 nm and 200 nm. The giant magnetoresistive material can be used as the core material. A YBCO (YBACu〇3, a high-temperature superconductor material) buffer layer is usually used to improve the crystallization state of the giant magnetoresistive material. This YBCO deposition system is Thickness system before deposition of super giant magnetoresistive materials . 30 nm to 200 nm in a second memory material s have dual element based compound, a 1 ^ WT < 7- a 'Nix〇y e.g., TixOy,

24 1325166 境中進行一沈積後退火處理,以改良金屬氧化物内的氧原 子分佈。此退火處理的溫度典型地係介於400°C至6〇〇。〇 , 而退火時間則少於2小時。 一種替代性的形成方法係利用PVD濺鍍或磁電管藏鍍 ’其反應氣體為氬氣/氧氣、氬氣/氮氣/氧氣、純氧f 氨氣/氧氣、氦氣/氮氣/氧氣等,壓力為1 mT〇rr至1〇〇 3Τ〇ΓΓ ’其標乾金屬氧化物係為如Ni、Ti、Al、w、7n、24 1325166 A post-deposition annealing treatment is performed to improve the oxygen atom distribution within the metal oxide. The temperature of this annealing treatment is typically between 400 ° C and 6 Torr. 〇 , and the annealing time is less than 2 hours. An alternative method of formation is to use PVD sputtering or magnetron tube plating. The reaction gases are argon/oxygen, argon/nitrogen/oxygen, pure oxygen f ammonia/oxygen, helium/nitrogen/oxygen, etc., pressure It is 1 mT〇rr to 1〇〇3Τ〇ΓΓ 'its dry metal oxides are such as Ni, Ti, Al, w, 7n,

> Oil 乙II 1〜5 。此沈積步驟一般係於室溫下進行。一長寬比為 現,ί直器可用以改良其填入表現。為了改善其埴入表 同時U使用數十至數百伏特之直流偏壓。若有需要時, Q、併使用直流偏壓以及準直器亦是可行的。 境中e 選擇性地在真空中或氮氣環境或氧氣/氮氣混合環 子分你行一沈積後退火處理,以改良金屬氧化物内的氧原 而。此退火處理的溫度典型地係介於40(TC至60〇。〇二 &火時間則少於2小時。 壚^或_^形成方法,係使用一高溫氧化系統(例如一高溫 至快迷熱處理(RTP))進行氧化。此溫度係介於2〇〇ΐ 辛 以純氧或氣氣/氧氣混合氣體,在壓力為數U2 To rr 氣壓下進行。進行時間可從數分鐘至數小日?另 純氧式為電漿氧化。一無線射頻或直流電壓源電漿與 在壓^ Ϊ氣/氧氣混合氣體、或氬氣/氮氣/氧氣混合氣體, 如Ni、1 至1〇0 mT〇1T下進行金屬表面的氧化,例 鐘至翁/、八卜W、Ζί1、ΖΓ、CU等。此氧化時間係從數秒 程度鐘。氧化溫度係從室溫至約3〇〇t:’視電襞氧化的 十 種5己憶材料係為聚合物材料,例如推雜有銅、碳 成方ί等的TCNQ,或PCBM-TCNQ混合聚合物。一種^ 利用熱蒸發、電子束蒸發、或原子束磊晶系、ί 室内、=進_订蒸發。一固態TCNQ以及摻雜物丸係在一單獨 行共蒸發。此固態TCNQ以及摻雜物丸係置於—鶴 25 1325166 船或一鈕船或一陶瓷船中。接著施加一大電流或電子束, 以熔化反應物,使得這些材料混合並沈積於晶圓之上。此 處並士使用反應性^匕學物質或氣體。此沈積作用係於壓力 為10 Torr至10 10 Torr下進行。晶圓溫度係介於室溫至 200〇C。 可以選擇性地在真空中或氮氣環境中進行一沈積後退 火處理,以改良聚合物材料的成分分佈。此退火處理的溫 度典型地係介於室溫至30〇。(:,而退火時間則少於1小時。 ,另一種用以形成一層以聚合物為基礎之記憶材料的技> Oil B II 1~5. This deposition step is generally carried out at room temperature. A length to width ratio is available, and a straightener can be used to improve its fill performance. In order to improve its intrusion into the table, U uses a DC bias of tens to hundreds of volts. It is also possible to use a DC bias and a collimator if necessary. In the environment, e is selectively annealed in a vacuum or nitrogen atmosphere or an oxygen/nitrogen mixed loop to improve the oxygen in the metal oxide. The temperature of this annealing treatment is typically between 40 (TC and 60 〇. 〇二 & fire time is less than 2 hours. 垆^ or _^ formation method, using a high temperature oxidation system (such as a high temperature to fast fans) Heat treatment (RTP) is carried out. This temperature is between 2 辛 xin and pure oxygen or gas/oxygen gas mixture at a pressure of U2 To rr. The time can be from a few minutes to a few days. Pure oxygen type is plasma oxidation. A radio frequency or DC voltage source plasma is mixed with helium/oxygen gas or argon/nitrogen/oxygen gas, such as Ni, 1 to 1〇0 mT〇1T. Oxidation of the metal surface, for example, to Weng, Ba Bu W, Ζί1, ΖΓ, CU, etc. The oxidation time is from a few seconds. The oxidation temperature is from room temperature to about 3 〇〇t: 'Electroelectric oxidation The ten kinds of five-remembered materials are polymer materials, such as TCNQ, which is mixed with copper, carbon, etc., or PCBM-TCNQ mixed polymer. One uses thermal evaporation, electron beam evaporation, or atomic beam epitaxy. System, ί indoor, = _ order evaporation. A solid TCNQ and dopant pellets are co-steamed in a single row The solid TCNQ and dopant pellets are placed in a crane 25 1325166 boat or a button boat or a ceramic vessel. A large current or electron beam is then applied to melt the reactants so that the materials are mixed and deposited on the wafer. Above, the dam is using a reactive substance or gas. The deposition is carried out at a pressure of 10 Torr to 10 10 Torr. The wafer temperature is between room temperature and 200 ° C. A post-deposition annealing treatment is carried out in a vacuum or in a nitrogen atmosphere to improve the composition distribution of the polymer material. The temperature of the annealing treatment is typically between room temperature and 30 Å (:, and the annealing time is less than 1 hour). Another technique for forming a layer of polymer-based memory materials

術,係使用一旋轉塗佈機與經摻雜之TCNQ溶液,轉速低 於1000 rpm。在旋轉塗佈之後,此晶圓係靜置(典型地係 在室溫下,或低於200。〇之溫度)一足夠時間以利固態的形 成。此靜置時間可介於數分鐘至數天,視溫度以及形成條 件而定。 第10圖係為一剖面圖,繪示電流路徑,其係經過導電 列、可程式化電阻元素、以及導電行,其中導電列係沿著 各列而存取可程式化電阻記憶體,而導電行則係沿著各行 而存取可程式化電阻記憶體。 在圖肀,電流係沿著列選擇電路50、流經一接點54、 -導電電極78、電阻元素8G、導電結構74、以及導電行 60 流的幅度係由電阻元素8〇的狀態所控制。特定的電 阻凡素係由列選擇電路5〇與行選擇電路(未示)所控制, 而行選擇電路則係連接至導電行6〇。 相轉換記憶體的例示實施例係如下所述: sn ί 氧化石夕所構成,且其厚度係介於10至 诚Γ未f 。導電行58係由厚度約為20奈米之氮化鈦所 ί ΐ 歷60:i由厚度約為250奈米之鋁銅所構成。介 的P,CVD内建工具而進行沈積:】成、。 電-相剝除可藉由—習知的TCP反應性離子麵刻丄導 具 26 1325166 而進行。 介電層68係由厚度約為20奈米之氮化矽所構成。介電 層70係由_厚度介於350至6〇〇奈米之二氧化矽所構成。沈 • 積,程可藉,—習知IMD方法而進行,並使用電漿增強化 學氣相沈積氮化矽、高密度電漿化學氣相沈積+電漿增強化 學氣相沈積二氧化矽、並針對氧化物進行化學機械研磨。 介層窗蝕刻與剝除可利用習知的高電漿密度MERIE工具 進行。 ^ 導電結構74係由厚度介於5至400奈米之氮化鈦所構 成。可以利用習知的氮化鈦化學氣相沈積或離子金屬物理 參氣相沈積而進行沈積步驟。導電結構74的钱刻與剝除可利 用習知的TCP反應性離子蝕刻工具而進行。 介電結構76係由厚度介於5至100奈米的二氧化矽所 構成。沈積作用可由習知的電漿增強氧化物而進行。介電 結構76的回敍刻可藉由習知高電漿密度MERIE工具而進 行。 導電電極78係由厚度介於400至650奈米之鎢所構成。 沈積步驟可利用一習知鶴金屬化學氣相沈積而進行。平垣 化步驟係由習知的鎢金屬化學機械研磨所完成。 — 電阻元素80係由厚度介於5至50奈米之經n2摻雜之 GST所構成。沈積作用可以由習知的物理氣相沈積濺鍍、 以及一如250°C之熱處理所完成。電阻元素8〇的蝕刻盥剥 除可以藉由習知的TCP反應性離子蝕刻工具而完成。 第11圖係為此積體電路的方塊圖,包括非揮發性可 式化電阻記憶細胞陣列以及其他電路。 積體電路一記憶體陣歹,ni00,其係使用具有 電阻元素於一丰導體基板上之記憶細胞。位址係經由 排1105而傳送到行解碼器1103與列解竭器11〇^。 1106中的感測放大ϋ與資料輸人結構’係經由資料匯流排 27 U25166 ίΓ入7/:2至f解碼器1103。資料係從積體電路1150的 經從積體電路1150的内部或外部資料來源, 構。資斜位^入線1111而傳輸至方塊1106的資料輸入結 體雷路方塊1106經*資料輪出、線1115,而傳輸到積 内部或外部資上 =目輸=輸出埠、或傳輸到積體電路1150的 揮發性儲存L有電電路1150亦可包括目的S 中未示) 電阻π素)以外之功能的其他電路(圖 mi,t: n j 5用的控制器係利用偏壓安排狀態器 程式化給錢_的顧,例如讀取、 -積體電路上,此積體命理益’其可實施於同 元件的操作。在另—實!: j糸執仃一電腦程式以控制此 與泛用目的處理器的%^中杳係使用特殊目的邏輯電路 在本文中各層=合平面以n=。、電路 在某些情況下各層係為平括的、‘在ΐί直次序排 層的特徵係具有許多凹凸結構了使ί各:ί;些情况下各 明用==产他層之相對關;的巧 -層、或係位於另-層「之上」覆堇」另 U覆蓋層與被覆蓋層之間、或位於上層*有—夾層(例 一層係位於另二層「之間 盆下下層之間)。 夾層均不影響其相對關係。厂…/、次八下疋否有另—The spin coater and the doped TCNQ solution were used at a speed of less than 1000 rpm. After spin coating, the wafer is allowed to stand (typically at room temperature, or below 200 Torr) for a sufficient time to form a solid state. This rest time can range from a few minutes to a few days, depending on the temperature and conditions. Figure 10 is a cross-sectional view showing the current path through the conductive columns, the programmable resistive elements, and the conductive rows, wherein the conductive columns access the programmable resistive memory along the columns, and the conductive The line accesses the programmable resistor memory along each line. In the figure, the magnitude of the current flow along the column selection circuit 50, through a contact 54, the conductive electrode 78, the resistive element 8G, the conductive structure 74, and the conductive row 60 is controlled by the state of the resistive element 8〇. . The particular resistors are typically controlled by column select circuit 5 and row select circuitry (not shown), while row select circuitry is coupled to conductive row 6 〇. An exemplary embodiment of a phase-converted memory is as follows: sn ί 氧化 夕 , , , , , , , , , 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 Conductive row 58 is comprised of titanium nitride having a thickness of about 20 nanometers and 60:i consisting of aluminum copper having a thickness of about 250 nanometers. The deposition of P, CVD built-in tools:] into. Electro-phase stripping can be performed by the conventional TCP reactive ion surface engraving guide 26 1325166. The dielectric layer 68 is composed of tantalum nitride having a thickness of about 20 nm. Dielectric layer 70 is composed of cerium oxide having a thickness of between 350 and 6 nanometers. Shen Ji, Cheng Ke, by the traditional IMD method, and using plasma enhanced chemical vapor deposition of tantalum nitride, high density plasma chemical vapor deposition + plasma enhanced chemical vapor deposition of cerium oxide, and Chemical mechanical polishing of oxides. Via etching and stripping can be performed using conventional high plasma density MERIE tools. The conductive structure 74 is composed of titanium nitride having a thickness of 5 to 400 nm. The deposition step can be carried out by conventional titanium nitride chemical vapor deposition or ionic metal physical vapor deposition. The engraving and stripping of the electrically conductive structure 74 can be carried out using conventional TCP reactive ion etching tools. The dielectric structure 76 is composed of cerium oxide having a thickness of 5 to 100 nm. The deposition can be carried out by conventional plasma enhanced oxides. The reticle engraving of the dielectric structure 76 can be performed by the conventional high plasma density MERIE tool. The conductive electrode 78 is composed of tungsten having a thickness of 400 to 650 nm. The deposition step can be carried out using a conventional crane metal chemical vapor deposition. The flattening step is accomplished by conventional tungsten metal chemical mechanical polishing. – Resistive element 80 consists of an n2-doped GST with a thickness between 5 and 50 nm. The deposition can be accomplished by conventional physical vapor deposition sputtering and heat treatment at 250 °C. The etching of the resistive element 8 〇 can be accomplished by a conventional TCP reactive ion etching tool. Figure 11 is a block diagram of the integrated circuit, including a non-volatile, resistive memory cell array and other circuitry. The integrated circuit is a memory array, ni00, which uses memory cells with a resistive element on a ferroconductor substrate. The address is transferred to row decoder 1103 and column decompressor 11 via row 1105. The sensed amplification and data input structure in 1106 is input to the 7/:2 to f decoder 1103 via the data bus 27 U25166. The data is derived from the internal or external data source of the integrated circuit 1150 from the integrated circuit 1150. The data input to the line 1111 and transmitted to the data input block of the block 1106 is blocked by the data rounding line 1115, and transmitted to the internal or external resources of the product = the output = output 埠, or transmitted to the integrated body The circuit 1150's volatile storage L electrical circuit 1150 may also include other circuits than the function of the target S (not shown). (Figure mi, t: nj 5 controller uses the bias to arrange the state program To give money to the _, such as reading, on the integrated circuit, this product can be implemented in the same component operation. In another - real!: j糸 a computer program to control this and pan Use the special purpose logic circuit in the %^ of the destination processor. In this paper, each layer = the plane is n=., in some cases, the layers are flat, and the characteristics of the layer in the direct sequence There are many embossed structures to make ί: ί; in some cases, each uses == the other layer of the production layer; the clever layer, or the system is located on the other layer "above" 堇" Between the cover layers, or in the upper layer * has - the interlayer (the first layer is located in the other two layers "between the lower layer of the basin Between the layers, the interlayer does not affect its relative relationship. The factory ... /, the next eight times, there is another -

所曰發明L已參照較佳實施例來加以描述,將A 換方式及係已於切描述中所建議,ΐϊί。替 換方式及〇改樣式將為熟習此項技藝之人士所用/、他替 是,根據本發明之結構與方法,所有具有實 。特別 、、上相同於本 28 成與本發明實質上相同結果者皆不脫 式係。因此,所有此等替換方式及修改樣 定的!“之t 專利範圍及其均等物所界 文本,均係列為本及之專利申請案以及印刷 【圖式簡單說明】 阻 憶趙第加1 到圖Λ—二^程A程圖,繪示將一可程式化電阻記 記f的存取可程式化電 層=成階梯狀剖面於多個與記憶行存取 第4圖係一剖面圖,繪示介層窗的微影 至,而存取可電二 弟5圖係一剖面圖,繪示在介層窗中的侧 用以將可程式化電阻記憶體電連接 σ态係 式化電阻記憶體的導電行。接、左由各订而存取可程 第6圖係一剖面圖,繪示介層窗中的導電处槿, 可程式化電阻記憶體電連接至經由各列m 阻記憶體的導電列。 什取j %式化電 料之mt。剖面圖,繪示彻化學機械研磨移除多餘材 非化電阻元讀存每一 胞陣第列9。圖係一上視圖,繪示可程式化電阻非揮發性記憶細 29 ;而存取心=記du,其,過趣由各 取可程式化電:記 記·二The invention L has been described with reference to the preferred embodiment, and the A conversion method and the system have been suggested in the description, ΐϊί. Alternatives and tampering styles will be used by those skilled in the art/and, in addition, according to the structure and method of the present invention, all are true. In particular, the same as the above-mentioned 28% and the substantially same result of the present invention are not in the system. Therefore, all such alternatives and modifications are set! "The scope of the patents and the texts of the equivalents are all based on the patent application and printing [simple description of the schema] Resist the Zhao Dijia 1 Figure 2 - A process diagram showing the accessibility of a programmable resistance record f to a programmable electrical layer = a stepped profile to a plurality of memory lines accessing the fourth picture, The lithography of the via window is shown, and the cross section of the image of the second panel is accessed, and the side in the via window is used to electrically connect the programmable resistor memory to the σ state systemized resistor. The conductive line of the memory. The left and right sides are accessed by each of the blocks. Figure 6 is a cross-sectional view showing the conductive area in the via window. The programmable resistive memory is electrically connected to the m resistance via each column. The conductive column of the memory. Take the mt of the j%-type electric material. The cross-sectional view shows that the chemical mechanical polishing removes the excess material and the non-chemical resistance element is read and stored in each cell array. Draw a programmable resistance non-volatile memory fine 29; and access the heart = remember du, which is too interesting to be programmed by each: Two

【主要元件符號說明】 50 列選擇電路 52 層間介電 54 接點 56,62 介電層 58,60 導電層 64 導電線光阻 68,70 介電層 72 光阻 74 導電結構 76 介電結構 78 導電電極 80 電阻元素 1100 記憶體陣列 1101 列解碼器 1103 行解碼器 1105 匯流排 1107 資料匯流排 1108 偏壓安排供給電壓 1109 偏壓安排狀態器 1111 資料輸入線 1115 資料輪出線 1150 積體電路 30[Main component symbol description] 50 column selection circuit 52 interlayer dielectric 54 contact 56, 62 dielectric layer 58, 60 conductive layer 64 conductive line photoresist 68, 70 dielectric layer 72 photoresist 74 conductive structure 76 dielectric structure 78 Conductive electrode 80 Resistive element 1100 Memory array 1101 Column decoder 1103 Row decoder 1105 Bus 1107 Data bus 1108 Bias arrangement supply voltage 1109 Bias arrangement state 1111 Data input line 1115 Data wheel outlet 1150 Integrated circuit 30

Claims (1)

1325166 十、申請專利範圍 種形成具有非揮發記憶細胞積體電路之方法, 形成用以存取至特定非揮發性記憶細胞之電路, 胞形以成及導電列以沿著各列存取至該非揮發性 胞:^^沿著各行存取至該非揮發性記憶細 用^成發性記憶細胞之可程式化電阻元素,以利 亥些導電列與導電行而導電連接每一該可程式 括: 包 包括 記憶細 化電 2.如申請專利範圍第1項所述之方法,更包括· 該素H赖積體電路之 電項所&amp;_其+形成該些導 形成一第一導電行層;以及 層形成-第二導電行層,其係至少部分覆蓋該第一導電行 之至'1中二亥_^.一導電行層與該第二導電行層係包括有下列 ^ •一钱刻終點信號差異與一餘刻選擇性差異。 係ί·質如專利範圍第1項所述之方法,其中該些導電列 于'果貝上正父於該些導電行。 , 電4·^^㈣1賴狀料,其+形成該些導 31 形成一第一導電行層;以及 層形成-第二導電行層,其係至少部分覆蓋該第一導電行 %其m導電行b之形成係產生了該第—導電行層與該 第一導電仃層之一階梯型側面。 面專利範圍第5項所述之方法,其中該階梯型侧 移除該第二導電行層所多餘之材料; 以^成複數個側壁,其係至少部分覆蓋該第二導電行層; 移除超出於該第-導電行層之該側壁與導電材料。 厚^心該些側壁之 利範圍第丨項所述之方法,其中形成該 之步驟係包括: 素與形該成些一導介電電二?係至少部分地位於該 電路 可程式化電阻元 9·如申請專利範圍第1項 之步驟係包括: 、 去,其中形成該電路 f形成該些導電列步驟之後以 之别’形成層間介電層;以及接著nb導電仃步驟 之前形成至少-介電層,· 細胞^電行以沿著各列存取該_發性記憶 移除®導電行層之多餘材料,直到到達該至少一 32 1325166 介電層為止。 10.如申請專利範圍第1項所述之方法,其中形成該電 路係包括: 在形成該些導電列步驟之後以及在形成該些導電行步 驟之前,形成至少一第一介電層;以及 =形成至少一第二介電層,該第二介電層至少部分覆蓋了 該些導電行,並係至少部分鄰接於該第一介電層;以及 形成至少一第三介電層實質上覆蓋該第二介電層; 少 其中該第二介電層與該第三介電層係包括有下列之至 —者:一蝕刻終點信號差異與一蝕刻選擇性差異。 路以利範圍第1項所述之方法’其中形成該電 之些導^步敎後與絲餘些導電行步驟 弋則,形成至少一第一介電層;以及 外 亨少—第二介電層,該第二介電層係至少部分覆罢 q二導電行且係至少部分鄰接於該第一介; 是皿 少—者:一飾刿狄t电曰係L括有下列之至 蝕刻終點尨唬差異與一蝕刻選擇性差異。 12.如申請專利範圍第丨項所 路之步驟係包括: 之方法,其中形成該電 在形成該些導電列步驟之後 驟之前,形成至少-第-介電i形成該些導電行步 形成至少一第二介電層,^ _ 該些導電行且係至少部分鄰接^係至少部分覆蓋 層;以及 料係至少部分覆蓋該第二介電 33 1325166 、形成穿透該第二介電層與該第三介電岸 達該第一介電層為止,以至少部分外露日=垂直至到 成層間接點於該些導電列與該些可程式:電】 路二:更申L專利範圍第*項所述之方法,其中形成該電 八穿透該介電層之孔洞鄰接於該些導電行,以至少邻 導電Γ並形成層間接點於該些導電列與該 —」式化電阻兀素之間;以及 與^’料電地祕該些導電行 路二利範圍第1項所述之方法’其中形成該電 間底之孔㈣接於軸㈣行,以形成層 電列與該些可程式化電阻元素之間,並導 电建接該些導電行與該些可程式化電阻元素;以及 該於該魏洞巾,以使得·導電列僅透過 。式化電阻元素而導電地與該些導電概底連接。 底請專利範圍第15項所述之方法,其中該介電襯 低之尽度係介於5奈米至1〇〇奈米之間。 路利範圍第1項所述之方法’其中形成該電 34 1325166 形成穿透該介電材料之孔洞鄰接於# 連接該些導電列與該些可裎式化電且/二導電行,以導電 些導電行與該些可程式化電阻元素;^素,並導電連接該 形成導電結構於該些孔洞中以'導 該些可程式化電阻元素。 電連接該些導電列與 18.如申請專利範圍第丨項所 路之步驟包括: 心万/去’其中形成該電 在形成該些導電列步驟之後以及 驟之前,形成至少一第一介電層;在形成該些導電行步 形成至少一第二介電層,該第二介 該些導電行且係至少部分鄰接於· _^電~〉4分覆蓋 層形成至少-第三介電層其係至少部分覆^該第二介電 形成層間接點,包括: 形成穿透該介電層之孔洞鄰接於該些導電行,· 形成導電襯底於該些孔洞中,以導電地連接該 行與該些可程式化電阻元素; 一導電 形成介電襯底於該些孔洞中,以僅透過該些可 電阻元素而導電地連接該些導電列與該導電襯底;^ 形成導電結構於該些孔洞中以導電連接該些带 與該些可程式化電阻元素;以及 —毛幻 八實施化學機械研磨非選擇性地於該第二介電層、該第二 w電層、該導電襯底、該介電襯底、以及該些導電結構。 如申請專利範圍第1項所述之方法,其中形成該 路之步驟包括: ^ 在該些導電列之形成步驟之後以及在該些導電行之形 成步驟之前,形成至少一第一介電層; 〆 35 1325166 哕'第二介電層’該第二介電層係至少部分覆蓋 π/行且係至少部分鄰接於該第一介電層; 層/成至少一第三介電層其係至少部分覆蓋該第二介電 形成層間接點,包括·· 1成穿透該介電層之孔洞鄰接於該些導電行· 行與該成二中’以導電地連接該些導電 電夸介Π底f該些孔洞中,以僅透過該些可程式化 开/mt 連接該些導電列與該導電概底;以及 與該些可電HI孔^以導電連接該些導電列 之^^該導電襯底 路專利範圍第1項所述之方法,其中形成該電 驟ί及在形成該些導電行步 幵/成至少一第二介電層,該第-該=電行且係至少部分少部分覆蓋 層形成至少一第三介電層其係至少部分“該第二介電 形成層間接點,包括: 形成穿透該介電層之孔㈣接於該 形成導電襯底於該歧孔洞中,w 電仃, 行與該些可程式化電阻中以導電地連接該些導電 形成介電襯底於該些孔洞中,以 電阻元素而導電地連接該些導電列與ί:;!= 36 丄奶166 ^^導電結構於該些孔洞中以導電連接該些導電列 該些可程式化電阻元素;以及 磨於該第二介電層與該第三介電層之 邛刀,直到該部分不再覆蓋該些導電行。 可請專利㈣第1項所述之方法,其中形成該些 了紅式化電阻元素之步驟係為製程中的最後步驟。 i2.、二種具有非揮發性記憶細胞之積體電路,包括: η 4丨^^取特定非揮發性記憶細胞之電路,該電路包括: )用各列而存取該非揮發性記憶細胞之導電列,以及2) 利用各行而存取該非揮發性記憶細胞之導電行;以及 該非揮發性記憶細胞之可程·式化電阻元素,每一該可程 ^化電阻7G素導電地連接至該些導電列與該些導豆 中可程式化電阻元素係垂直地位於導電列與導電行之上。 p ft申請專利範圍第22項所述之積體電路,其中該可 ,式化電阻兀*包括下列之至少一者:prxcayMn03、 TSrMn03、Zr〇x、TCNQ、以及 PCBM。 ϋ·如申凊專利範圍第22項所述之積體電路,其中該電 路包括: 〃電層其係覆蓋該些導電列,其巾該些導電行係 位於該第一介電層之上; 一第二介電層其係至少部分鄰接至該些導電 部分鄰接至該第一介電層; 电丁亚主/ 一第三介電層其係至少覆蓋該第二介電層;以及 層間接點連接於該些導電行,包括: 導電襯底其係導電地連接該些導電行與該些可程式 37 丄 化電阻元素; 阻亓介其允許該些導電列僅透過該些可程式化電 70素而連接至該導電襯底;以及 電吉構其係導電連接該些導電列與該些可程式化 一Hi申請專利範圍第24項所述之積體電路,豆中該第 及;電;至少一者:氧切⑽以 (Siis^層包括下列群組之至少一者:氮化石夕 古/第)-U夕(Si〇xNy)、以及氧化石夕(SiOx); r c.l第—;1電層包括下列群組之至少一者.4仆石夕 介電常數低於3之材料、氮· 以及氮化矽(SiNx); ^ 該導電襯底係包括下列群組之至少一 (ΤιΝ)、鈦(丁丨)、氮化鈦/鈦(TiN/Ti)雔Μ — 鎢(W)、钮r Δ1、, C )又層、氮化钽_(TaN)、 氧上釕ri 氧化鐘銳(LlNb〇3)、氧化银(ΐΓ〇χ)、 i^Xi.YB:CU〇 ' LaCaMn〇3 ' 10 u及經摻雜之多晶矽;以及 氮二二電 =1下严群組之至少一者:氧化石夕(时 (&amp;〇xNy )、虱化矽(&amp;Νχ )、以及鈦酸鳃(^们〇3)。 路中請專利範圍第24項所述之積體電路,其中該電 素與ίjv電m錢少部分位於該的程式化電阻元 四Γ電利範圍第26項戶斤述之積體電路,其中該第 電層係包括下列群組之至少4:氣切(S10x)、氣 38 氧化石夕(SiOxNy)、氮化石夕(3丨队)、欽酸錫(SrTi03)、以 及氧化鋁(A10x)。 28. 如申請專利範圍第22項所述之積體電路,其中該些 導電行係包括: 一第一導電行層;以及 一第二導電行層其係至少部分覆蓋該第一導電行層; 其中該第一導電行層與該第二導電行層係具有一階梯 狀剖面特徵。 29. 如申請專利範圍第28項所述之積體電路,其中該第 一導電行層係包括下列群組之至少一者:氮化鈦(TiN)、 鈦(Ti)、氮化鈦/鈦(TiN/Ti)雙層、鎢/氮化鈦(W/TiN) 雙層、鋼鋁/氮化鈦(AlCu/TiN)雙層、經摻雜之多晶矽、 以及金屬矽化物;以及 該第二導電行層係包括下列群組之至少一者:銅铭、氮 化鈦/銅鋁(TiN/AlCu)雙層、氮化鈦/鈦/銅鋁(TiN/Ti/AlCu) 三層、鎢、金屬矽化物、以及經摻雜之多晶矽。 30. 一種具有非揮發性記憶細胞之積體電路,其係由下 列製程所形成: 形成用以存取特定該非揮發性記憶細胞之電路,包括: 形成導電列’其係經由各列而存取該些非揮發性記憶 細胞;以及 形成導電行,其係經由各行而存取該些非揮發性記憶 細胞;以及接著 形成該些非揮發性記憶細胞之可程式化電阻元素,以將 每一該些可程式化電阻元素導電連接至該些導電列盥該些 導電行。 ~ 391325166 X. Patent application to form a circuit having a non-volatile memory cell integrated circuit, forming a circuit for accessing a specific non-volatile memory cell, and forming a cell and a conductive column to access the non-column along the columns Volatile cells: ^^ access to the non-volatile memory of the non-volatile memory cells of the programmable resistance elements, in order to facilitate the conductive connection between the conductive columns and the conductive lines, each of which can be programmed: The package includes a memory refining circuit. 2. The method according to claim 1, further comprising: the electric field of the H-distribution circuit and the formation of the first conductive layer And a layer-forming second conductive row layer at least partially covering the first conductive row to '1 in the second sea_^. A conductive row layer and the second conductive row layer system includes the following The difference between the end point signal difference and the momentary selectivity. The method of claim 1, wherein the conductive is listed on the conductive row of the fruit. , the electric material 4·^^(4)1, which forms the lead 31 to form a first conductive row layer; and the layer forming-second conductive row layer at least partially covering the first conductive row %m conductive The formation of row b produces a stepped side of the first conductive layer and the first conductive layer. The method of claim 5, wherein the stepped side removes excess material of the second conductive row layer; and the plurality of sidewalls at least partially cover the second conductive row layer; Exceeding the sidewall of the first conductive layer and the conductive material. The method of the present invention, wherein the step of forming the method comprises: forming a dielectric material into the circuit at least partially in the circuit programmable resistor element 9 The method of claim 1, wherein the step of forming the circuit f forms the conductive columns to form an interlayer dielectric layer; and then forming at least a dielectric layer before the nb conductive germanium step The layers, the cells are electrically connected to access the excess material of the _ memory-removing layer of conductive layers along the columns until the at least one 32 1325166 dielectric layer is reached. 10. The method of claim 1, wherein the forming the circuit system comprises: forming at least one first dielectric layer after forming the conductive columns and before forming the conductive lines; and Forming at least a second dielectric layer, the second dielectric layer at least partially covering the conductive lines and at least partially adjacent to the first dielectric layer; and forming at least a third dielectric layer substantially covering the a second dielectric layer; wherein the second dielectric layer and the third dielectric layer comprise the following: an etch end signal difference and an etch selectivity difference. The method of the first aspect of the invention, wherein the forming of the electricity is performed, and the remaining conductive steps are followed to form at least a first dielectric layer; An electrical layer, the second dielectric layer is at least partially covered by the second conductive line and at least partially adjacent to the first dielectric; the dish is less--: a decorative 刿 t 曰 曰 括 括 括 括 括The difference between the endpoint 尨唬 and the etch selectivity. 12. The method of claim 1, wherein the method comprises: forming the electricity at least before the step of forming the plurality of conductive columns, forming at least a first-dielectric i to form the conductive steps to form at least a second dielectric layer, the conductive lines are at least partially adjacent to at least a portion of the cover layer; and the material system at least partially covers the second dielectric 33 1325166, forming a second dielectric layer and The third dielectric bank reaches the first dielectric layer, and at least partially exposes the day = vertical to the layer indirect point to the conductive columns and the programmable: electricity: Road 2: further claims L patent scope item * The method, wherein the hole forming the dielectric layer penetrating the dielectric layer is adjacent to the conductive lines to at least be adjacent to the conductive germanium and form a layer indirectly between the conductive columns and the conductive resistor And the method described in the first item of the conductive path, wherein the hole (4) forming the electrical bottom is connected to the axis (four) row to form a layer of electricity and the programmable Between the resistance elements, and conductively connect the leads Line resistance and the plurality of programmable elements; and Wei to the drapes, so that only through-conductive columns. The resistive elements are electrically conductively connected to the conductive traces. The method of claim 15, wherein the dielectric lining is between 5 nanometers and 1 nanometer. The method of claim 1 wherein the electricity 34 1325166 is formed to form a hole penetrating the dielectric material adjacent to the #connecting the conductive columns and the electrically conductive and/or electrically conductive rows to conduct electricity The conductive lines and the programmable resistor elements are electrically connected to form a conductive structure in the holes to 'guide the programmable resistance elements. Electrically connecting the conductive columns and 18. The steps of the method of claim </ RTI> </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> <RTIgt; </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> </ RTI> forming the electrical at least one first dielectric after forming the conductive columns and before a layer; forming at least one second dielectric layer in the forming of the conductive lines, the second conductive lines and at least partially adjacent to the cladding layer to form at least a third dielectric layer At least partially covering the second dielectric forming layer indirect point, comprising: forming a hole penetrating the dielectric layer adjacent to the conductive lines, forming a conductive substrate in the holes to electrically connect the hole And a plurality of programmable resistive elements; a conductive dielectric substrate is formed in the holes to electrically connect the conductive columns and the conductive substrate only through the resistive elements; The holes are electrically connected to the strips and the programmable resistive elements; and the phantom is subjected to chemical mechanical polishing to the non-selective second dielectric layer, the second w electrical layer, and the conductive lining Bottom, the dielectric substrate, and The conductive structures. The method of claim 1, wherein the step of forming the path comprises: forming at least a first dielectric layer after the forming step of the conductive columns and before the forming step of the conductive lines; 〆 35 1325166 哕 'second dielectric layer' the second dielectric layer at least partially covering π / row and at least partially adjacent to the first dielectric layer; layer / at least a third dielectric layer is at least Partially covering the indirect point of the second dielectric forming layer, including: a hole penetrating the dielectric layer adjacent to the conductive lines, and the second pair of ' electrically conductively connecting the conductive electric bobbins In the holes, the conductive columns and the conductive base are connected only through the programmable openings mt; and the electrically conductive HI holes are electrically connected to the conductive columns. The method of claim 1, wherein the electrical circuit is formed and the at least one second dielectric layer is formed, and the first-to-electricity is at least partially The partial cover layer forms at least a third dielectric layer which is at least partially "the first The second dielectric forming layer indirect point comprises: forming a hole penetrating the dielectric layer (4) to form the conductive substrate in the hole, and electrically connecting the row to the programmable resistors The conductive materials form a dielectric substrate in the holes, and electrically connect the conductive columns with a resistive element and a conductive structure in the holes to electrically connect the conductive layers. Arranging the programmable resistance elements; and grinding the knives of the second dielectric layer and the third dielectric layer until the portions no longer cover the conductive lines. The patent (4) is referred to in item 1. The method, wherein the step of forming the red-type resistive element is the last step in the process. i2. Two integrated circuits having non-volatile memory cells, including: η 4丨^^ taking a specific non-volatile a circuit for memorizing cells, the circuit comprising: a conductive column for accessing the non-volatile memory cells by each column, and 2) a conductive row for accessing the non-volatile memory cells by using each row; and the non-volatile memory cell Process resistance element, each A programmable resistor 7G is electrically connected to the conductive columns and is vertically disposed on the conductive columns and the conductive lines in the programmable resistor elements of the conductive beans. The integrated circuit, wherein the programmable resistor 兀* comprises at least one of the following: prxcayMn03, TSrMn03, Zr〇x, TCNQ, and PCBM. ϋ· The integrated circuit according to claim 22 of the patent application scope The circuit includes: a germanium layer covering the conductive columns, wherein the conductive lines are above the first dielectric layer; and a second dielectric layer at least partially adjoining the conductive portions Adjacent to the first dielectric layer; the electric sub-primary/a third dielectric layer covering at least the second dielectric layer; and the layer indirectly connected to the conductive lines, comprising: the conductive substrate is electrically conductive Connecting the conductive lines and the programmable 37 丄 resistance elements; the barriers allow the conductive columns to be connected to the conductive substrate only through the programmable semiconductors; and the electrical connections The conductive columns and the programmable A Hi application for the integrated circuit described in item 24 of the patent scope, the first of the beans; electricity; at least one of: oxygen cut (10) to (Siis^ layer includes at least one of the following groups: nitrided shigu / first - U Xi (Si〇xNy), and oxidized stone Xi (SiOx); r cl first; 1 electrical layer includes at least one of the following groups. 4 servant Xi dielectric constant than 3 materials, nitrogen · And tantalum nitride (SiNx); ^ The conductive substrate comprises at least one of the following groups (ΤιΝ), titanium (butadiene), titanium nitride/titanium (TiN/Ti)雔Μ-tungsten (W), button r Δ1, C) Further layer, tantalum nitride _(TaN), oxygen 钌 钌 钟 钟 锐 ( (LlNb〇3), silver oxide (ΐΓ〇χ), i^Xi.YB: CU〇' LaCaMn〇3 '10 u and doped polycrystalline germanium; and at least one of the nitrogen dioxide = 1 group: oxidized stone eve (&amp; 〇xNy), bismuth (&amp; Νχ), and titanic acid鳃 (^ 〇 3). In the road, please refer to the integrated circuit described in Item 24 of the patent scope, wherein the electro-mechanical and the ί v 电 位于 位于 少 少 少 少 少 少 少 少 少 少 少 程式 程式 程式 程式 程式 程式 程式 , , , , , The first electrical layer comprises at least 4 of the following groups: gas cut (S10x), gas 38 oxidized stone (SiOxNy), nitrided stone (3 丨), tin cinnamate (SrTi03), and alumina (A10x) . 28. The integrated circuit of claim 22, wherein the conductive lines comprise: a first conductive row layer; and a second conductive row layer at least partially covering the first conductive row layer; The first conductive row layer and the second conductive row layer have a stepped profile feature. 29. The integrated circuit of claim 28, wherein the first conductive layer layer comprises at least one of the group consisting of titanium nitride (TiN), titanium (Ti), titanium nitride/titanium (TiN/Ti) double layer, tungsten/titanium nitride (W/TiN) double layer, steel aluminum/titanium nitride (AlCu/TiN) double layer, doped polysilicon, and metal telluride; and the second The conductive layer layer includes at least one of the following groups: Tong Ming, titanium nitride/copper aluminum (TiN/AlCu) double layer, titanium nitride/titanium/copper aluminum (TiN/Ti/AlCu) three layers, tungsten, Metal telluride, and doped polysilicon. 30. An integrated circuit having non-volatile memory cells, formed by the following processes: forming a circuit for accessing a particular non-volatile memory cell, comprising: forming a conductive column 'which is accessed via columns The non-volatile memory cells; and forming a conductive row that accesses the non-volatile memory cells via rows; and then forming the programmable resistance elements of the non-volatile memory cells to each The programmable resistance elements are electrically connected to the conductive lines of the conductive lines. ~ 39
TW095147743A 2006-01-09 2006-12-19 Programmable resistive ram and manufacturing method TWI325166B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US75727506P 2006-01-09 2006-01-09
US11/457,702 US7741636B2 (en) 2006-01-09 2006-07-14 Programmable resistive RAM and manufacturing method

Publications (2)

Publication Number Publication Date
TW200727413A TW200727413A (en) 2007-07-16
TWI325166B true TWI325166B (en) 2010-05-21

Family

ID=38233236

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095147743A TWI325166B (en) 2006-01-09 2006-12-19 Programmable resistive ram and manufacturing method

Country Status (3)

Country Link
US (2) US7741636B2 (en)
CN (1) CN101000892B (en)
TW (1) TWI325166B (en)

Families Citing this family (140)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7696503B2 (en) 2005-06-17 2010-04-13 Macronix International Co., Ltd. Multi-level memory cell having phase change element and asymmetrical thermal boundary
US7635855B2 (en) 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7450411B2 (en) * 2005-11-15 2008-11-11 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7479649B2 (en) * 2005-11-21 2009-01-20 Macronix International Co., Ltd. Vacuum jacketed electrode for phase change memory element
US7829876B2 (en) * 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
CN100524878C (en) * 2005-11-21 2009-08-05 旺宏电子股份有限公司 Programmable resistor material storage array with air insulating unit
US7449710B2 (en) 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7599217B2 (en) * 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
US7459717B2 (en) 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7521364B2 (en) * 2005-12-02 2009-04-21 Macronix Internation Co., Ltd. Surface topology improvement method for plug surface areas
US7531825B2 (en) * 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US8062833B2 (en) 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US7560337B2 (en) 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US20070158632A1 (en) * 2006-01-09 2007-07-12 Macronix International Co., Ltd. Method for Fabricating a Pillar-Shaped Phase Change Memory Element
US7741636B2 (en) 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7432206B2 (en) * 2006-01-24 2008-10-07 Macronix International Co., Ltd. Self-aligned manufacturing method, and manufacturing method for thin film fuse phase change ram
US7956358B2 (en) 2006-02-07 2011-06-07 Macronix International Co., Ltd. I-shaped phase change memory cell with thermal isolation
US7554144B2 (en) 2006-04-17 2009-06-30 Macronix International Co., Ltd. Memory device and manufacturing method
US7928421B2 (en) * 2006-04-21 2011-04-19 Macronix International Co., Ltd. Phase change memory cell with vacuum spacer
US7423300B2 (en) * 2006-05-24 2008-09-09 Macronix International Co., Ltd. Single-mask phase change memory element
US7732800B2 (en) * 2006-05-30 2010-06-08 Macronix International Co., Ltd. Resistor random access memory cell with L-shaped electrode
US7825033B2 (en) * 2006-06-09 2010-11-02 Micron Technology, Inc. Methods of forming variable resistance memory cells, and methods of etching germanium, antimony, and tellurium-comprising materials
US7696506B2 (en) 2006-06-27 2010-04-13 Macronix International Co., Ltd. Memory cell with memory material insulation and manufacturing method
US7785920B2 (en) * 2006-07-12 2010-08-31 Macronix International Co., Ltd. Method for making a pillar-type phase change memory element
US7772581B2 (en) 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US7504653B2 (en) 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US7388771B2 (en) * 2006-10-24 2008-06-17 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
US7863655B2 (en) 2006-10-24 2011-01-04 Macronix International Co., Ltd. Phase change memory cells with dual access devices
US7527985B2 (en) * 2006-10-24 2009-05-05 Macronix International Co., Ltd. Method for manufacturing a resistor random access memory with reduced active area and reduced contact areas
US7682868B2 (en) * 2006-12-06 2010-03-23 Macronix International Co., Ltd. Method for making a keyhole opening during the manufacture of a memory cell
US7476587B2 (en) 2006-12-06 2009-01-13 Macronix International Co., Ltd. Method for making a self-converged memory material element for memory cell
US20080137400A1 (en) * 2006-12-06 2008-06-12 Macronix International Co., Ltd. Phase Change Memory Cell with Thermal Barrier and Method for Fabricating the Same
US7903447B2 (en) * 2006-12-13 2011-03-08 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on programmable resistive memory cell
US8344347B2 (en) * 2006-12-15 2013-01-01 Macronix International Co., Ltd. Multi-layer electrode structure
US7718989B2 (en) 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
US7433226B2 (en) * 2007-01-09 2008-10-07 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on multiple programmable resistive memory cell
US7440315B2 (en) * 2007-01-09 2008-10-21 Macronix International Co., Ltd. Method, apparatus and computer program product for stepped reset programming process on programmable resistive memory cell
US7663135B2 (en) 2007-01-31 2010-02-16 Macronix International Co., Ltd. Memory cell having a side electrode contact
US7619311B2 (en) 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
US7701759B2 (en) * 2007-02-05 2010-04-20 Macronix International Co., Ltd. Memory cell device and programming methods
US7463512B2 (en) * 2007-02-08 2008-12-09 Macronix International Co., Ltd. Memory element with reduced-current phase change element
US8138028B2 (en) * 2007-02-12 2012-03-20 Macronix International Co., Ltd Method for manufacturing a phase change memory device with pillar bottom electrode
US7884343B2 (en) 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US7956344B2 (en) 2007-02-27 2011-06-07 Macronix International Co., Ltd. Memory cell with memory element contacting ring-shaped upper end of bottom electrode
US7786461B2 (en) 2007-04-03 2010-08-31 Macronix International Co., Ltd. Memory structure with reduced-size memory element between memory material portions
US8610098B2 (en) * 2007-04-06 2013-12-17 Macronix International Co., Ltd. Phase change memory bridge cell with diode isolation device
TW200843039A (en) * 2007-04-16 2008-11-01 Ind Tech Res Inst Method for forming a memory device and method for etching a phase change layer
US7755076B2 (en) * 2007-04-17 2010-07-13 Macronix International Co., Ltd. 4F2 self align side wall active phase change memory
DE102008032067A1 (en) * 2007-07-12 2009-01-15 Samsung Electronics Co., Ltd., Suwon Method for forming phase change memories with lower electrodes
US8513637B2 (en) * 2007-07-13 2013-08-20 Macronix International Co., Ltd. 4F2 self align fin bottom electrodes FET drive phase change memory
TWI402980B (en) 2007-07-20 2013-07-21 Macronix Int Co Ltd Resistive memory structure with buffer layer
US7884342B2 (en) 2007-07-31 2011-02-08 Macronix International Co., Ltd. Phase change memory bridge cell
US7729161B2 (en) 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
US9018615B2 (en) * 2007-08-03 2015-04-28 Macronix International Co., Ltd. Resistor random access memory structure having a defined small area of electrical contact
US8178386B2 (en) 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US7642125B2 (en) 2007-09-14 2010-01-05 Macronix International Co., Ltd. Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
US7551473B2 (en) * 2007-10-12 2009-06-23 Macronix International Co., Ltd. Programmable resistive memory with diode structure
US7919766B2 (en) 2007-10-22 2011-04-05 Macronix International Co., Ltd. Method for making self aligning pillar memory cell device
US7804083B2 (en) * 2007-11-14 2010-09-28 Macronix International Co., Ltd. Phase change memory cell including a thermal protect bottom electrode and manufacturing methods
US7646631B2 (en) 2007-12-07 2010-01-12 Macronix International Co., Ltd. Phase change memory cell having interface structures with essentially equal thermal impedances and manufacturing methods
US7879643B2 (en) 2008-01-18 2011-02-01 Macronix International Co., Ltd. Memory cell with memory element contacting an inverted T-shaped bottom electrode
US7879645B2 (en) 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8158965B2 (en) 2008-02-05 2012-04-17 Macronix International Co., Ltd. Heating center PCRAM structure and methods for making
US8084842B2 (en) 2008-03-25 2011-12-27 Macronix International Co., Ltd. Thermally stabilized electrode structure
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7825398B2 (en) 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US7791057B2 (en) 2008-04-22 2010-09-07 Macronix International Co., Ltd. Memory cell having a buried phase change region and method for fabricating the same
US8077505B2 (en) 2008-05-07 2011-12-13 Macronix International Co., Ltd. Bipolar switching of phase change device
US7701750B2 (en) 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
US8716805B2 (en) * 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US8415651B2 (en) 2008-06-12 2013-04-09 Macronix International Co., Ltd. Phase change memory cell having top and bottom sidewall contacts
US8134857B2 (en) 2008-06-27 2012-03-13 Macronix International Co., Ltd. Methods for high speed reading operation of phase change memory and device employing same
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
US7903457B2 (en) 2008-08-19 2011-03-08 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US7719913B2 (en) 2008-09-12 2010-05-18 Macronix International Co., Ltd. Sensing circuit for PCRAM applications
IT1391864B1 (en) * 2008-09-30 2012-01-27 St Microelectronics Rousset RESISTIVE MEMORY CELL AND METHOD FOR THE MANUFACTURE OF A RESISTIVE MEMORY CELL
US8324605B2 (en) 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US7897954B2 (en) 2008-10-10 2011-03-01 Macronix International Co., Ltd. Dielectric-sandwiched pillar memory device
US8735293B2 (en) * 2008-11-05 2014-05-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
US8036014B2 (en) 2008-11-06 2011-10-11 Macronix International Co., Ltd. Phase change memory program method without over-reset
US8907316B2 (en) 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
US20100123117A1 (en) * 2008-11-19 2010-05-20 Seagate Technology Llc Non volatile memory cells including a filament growth layer and methods of forming the same
US7869270B2 (en) 2008-12-29 2011-01-11 Macronix International Co., Ltd. Set algorithm for phase change memory cell
US8089137B2 (en) 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
US8107283B2 (en) 2009-01-12 2012-01-31 Macronix International Co., Ltd. Method for setting PCRAM devices
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
US8064247B2 (en) 2009-01-14 2011-11-22 Macronix International Co., Ltd. Rewritable memory device based on segregation/re-absorption
US8933536B2 (en) 2009-01-22 2015-01-13 Macronix International Co., Ltd. Polysilicon pillar bipolar transistor with self-aligned memory element
US8084760B2 (en) 2009-04-20 2011-12-27 Macronix International Co., Ltd. Ring-shaped electrode and manufacturing method for same
US8173987B2 (en) 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8097871B2 (en) 2009-04-30 2012-01-17 Macronix International Co., Ltd. Low operational current phase change memory structures
US7933139B2 (en) 2009-05-15 2011-04-26 Macronix International Co., Ltd. One-transistor, one-resistor, one-capacitor phase change memory
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8350316B2 (en) * 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US8809829B2 (en) 2009-06-15 2014-08-19 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US8406033B2 (en) 2009-06-22 2013-03-26 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US8363463B2 (en) 2009-06-25 2013-01-29 Macronix International Co., Ltd. Phase change memory having one or more non-constant doping profiles
US8238149B2 (en) 2009-06-25 2012-08-07 Macronix International Co., Ltd. Methods and apparatus for reducing defect bits in phase change memory
US7939421B2 (en) * 2009-07-08 2011-05-10 Nanya Technology Corp. Method for fabricating integrated circuit structures
US8110822B2 (en) 2009-07-15 2012-02-07 Macronix International Co., Ltd. Thermal protect PCRAM structure and methods for making
US8198619B2 (en) 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US7894254B2 (en) 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US8747684B2 (en) * 2009-08-20 2014-06-10 Applied Materials, Inc. Multi-film stack etching with polymer passivation of an overlying etched layer
US8064248B2 (en) 2009-09-17 2011-11-22 Macronix International Co., Ltd. 2T2R-1T1R mix mode phase change memory array
US8178387B2 (en) 2009-10-23 2012-05-15 Macronix International Co., Ltd. Methods for reducing recrystallization time for a phase change material
US8729521B2 (en) 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
US8310864B2 (en) 2010-06-15 2012-11-13 Macronix International Co., Ltd. Self-aligned bit line under word line memory array
US8367460B2 (en) 2010-06-22 2013-02-05 Micron Technology, Inc. Horizontally oriented and vertically stacked memory cells
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
US8497705B2 (en) 2010-11-09 2013-07-30 Macronix International Co., Ltd. Phase change device for interconnection of programmable logic device
US8467238B2 (en) 2010-11-15 2013-06-18 Macronix International Co., Ltd. Dynamic pulse operation for phase change memory
CN102723439A (en) * 2011-03-29 2012-10-10 中国科学院微电子研究所 Memory cell and memory based on organic field effect transistor and method for preparing memory cell
US8987700B2 (en) 2011-12-02 2015-03-24 Macronix International Co., Ltd. Thermally confined electrode for programmable resistance memory
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US8945403B2 (en) 2012-04-27 2015-02-03 Micron Technology, Inc. Material test structure
US9256126B2 (en) 2012-11-14 2016-02-09 Irresistible Materials Ltd Methanofullerenes
US8658511B1 (en) 2012-12-20 2014-02-25 Intermolecular, Inc. Etching resistive switching and electrode layers
US9252359B2 (en) 2013-03-03 2016-02-02 Adesto Technologies Corporation Resistive switching devices having a switching layer and an intermediate electrode layer and methods of formation thereof
WO2014137943A2 (en) * 2013-03-03 2014-09-12 Adesto Technologies Corporation Programmable impedance memory elements and corresponding methods
WO2014146003A1 (en) 2013-03-15 2014-09-18 Adesto Technologies Corporation Nonvolatile memory with semimetal or semiconductors electrodes
US9741918B2 (en) 2013-10-07 2017-08-22 Hypres, Inc. Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit
US9336879B2 (en) 2014-01-24 2016-05-10 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9159412B1 (en) 2014-07-15 2015-10-13 Macronix International Co., Ltd. Staggered write and verify for phase change memory
DE102014113030A1 (en) * 2014-09-10 2016-03-10 Infineon Technologies Ag Memory circuits and a method of forming a memory circuit
US9672906B2 (en) 2015-06-19 2017-06-06 Macronix International Co., Ltd. Phase change memory with inter-granular switching
TWI599029B (en) 2015-12-23 2017-09-11 華邦電子股份有限公司 Memory devices
CN106601910B (en) * 2016-12-23 2018-10-09 河北大学 A kind of organic electrode resistance-variable storing device and preparation method thereof
US10490744B2 (en) * 2017-11-07 2019-11-26 Macronix International Co., Ltd. Contact hole structure method for fabricating the same and applications thereof
US10439135B2 (en) * 2017-11-09 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. VIA structure and methods of forming the same
DE102018107038B4 (en) * 2017-11-09 2022-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD OF MANUFACTURING A THROUGH-PHERE STRUCTURE
CN109801938B (en) * 2017-11-15 2021-05-28 旺宏电子股份有限公司 Contact opening structure, manufacturing method and application thereof
US10777522B2 (en) * 2018-12-27 2020-09-15 Nanya Technology Corporation Semiconductor structure and method of manufacturing the same
US11038108B2 (en) 2019-05-24 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Step height mitigation in resistive random access memory structures
US11448891B2 (en) * 2019-10-17 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Multifunctional collimator for contact image sensors
US11454820B2 (en) * 2019-10-17 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Multifunctional collimator for contact image sensors

Family Cites Families (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US607974A (en) * 1898-07-26 Hydrocarbon incandescence lamp
US3271591A (en) * 1963-09-20 1966-09-06 Energy Conversion Devices Inc Symmetrical current controlling device
US3530441A (en) * 1969-01-15 1970-09-22 Energy Conversion Devices Inc Method and apparatus for storing and retrieving information
IL61678A (en) * 1979-12-13 1984-04-30 Energy Conversion Devices Inc Programmable cell and programmable electronic arrays comprising such cells
US4452592A (en) * 1982-06-01 1984-06-05 General Motors Corporation Cyclic phase change coupling
JPS60137070A (en) * 1983-12-26 1985-07-20 Toshiba Corp Manufacture of semiconductor device
US4719594A (en) * 1984-11-01 1988-01-12 Energy Conversion Devices, Inc. Grooved optical data storage device including a chalcogenide memory layer
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
JP2685770B2 (en) 1987-12-28 1997-12-03 株式会社東芝 Nonvolatile semiconductor memory device
JP2606857B2 (en) * 1987-12-10 1997-05-07 株式会社日立製作所 Method for manufacturing semiconductor memory device
US5534712A (en) * 1991-01-18 1996-07-09 Energy Conversion Devices, Inc. Electrically erasable memory elements characterized by reduced current and improved thermal stability
US5166758A (en) * 1991-01-18 1992-11-24 Energy Conversion Devices, Inc. Electrically erasable phase change memory
US5177567A (en) * 1991-07-19 1993-01-05 Energy Conversion Devices, Inc. Thin-film structure for chalcogenide electrical switching devices and process therefor
JP2825031B2 (en) 1991-08-06 1998-11-18 日本電気株式会社 Semiconductor memory device
US5166096A (en) * 1991-10-29 1992-11-24 International Business Machines Corporation Process for fabricating self-aligned contact studs for semiconductor structures
JPH05206394A (en) * 1992-01-24 1993-08-13 Mitsubishi Electric Corp Field effect transistor and its manufacture
US5329486A (en) * 1992-04-24 1994-07-12 Motorola, Inc. Ferromagnetic memory device
US5958358A (en) 1992-07-08 1999-09-28 Yeda Research And Development Co., Ltd. Oriented polycrystalline thin films of transition metal chalcogenides
JP2884962B2 (en) 1992-10-30 1999-04-19 日本電気株式会社 Semiconductor memory
US5515488A (en) * 1994-08-30 1996-05-07 Xerox Corporation Method and apparatus for concurrent graphical visualization of a database search and its search history
US5785828A (en) * 1994-12-13 1998-07-28 Ricoh Company, Ltd. Sputtering target for producing optical recording medium
US5789758A (en) * 1995-06-07 1998-08-04 Micron Technology, Inc. Chalcogenide memory cell with a plurality of chalcogenide electrodes
US5879955A (en) * 1995-06-07 1999-03-09 Micron Technology, Inc. Method for fabricating an array of ultra-small pores for chalcogenide memory cells
US5831276A (en) * 1995-06-07 1998-11-03 Micron Technology, Inc. Three-dimensional container diode for use with multi-state material in a non-volatile memory cell
US5869843A (en) * 1995-06-07 1999-02-09 Micron Technology, Inc. Memory array having a multi-state element and method for forming such array or cells thereof
US6420725B1 (en) * 1995-06-07 2002-07-16 Micron Technology, Inc. Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US5837564A (en) * 1995-11-01 1998-11-17 Micron Technology, Inc. Method for optimal crystallization to obtain high electrical performance from chalcogenides
KR0182866B1 (en) 1995-12-27 1999-04-15 김주용 Flash memory device
US5687112A (en) * 1996-04-19 1997-11-11 Energy Conversion Devices, Inc. Multibit single cell memory element having tapered contact
US6025220A (en) * 1996-06-18 2000-02-15 Micron Technology, Inc. Method of forming a polysilicon diode and devices incorporating such diode
US5866928A (en) 1996-07-16 1999-02-02 Micron Technology, Inc. Single digit line with cell contact interconnect
US5985698A (en) * 1996-07-22 1999-11-16 Micron Technology, Inc. Fabrication of three dimensional container diode for use with multi-state material in a non-volatile memory cell
US5814527A (en) * 1996-07-22 1998-09-29 Micron Technology, Inc. Method of making small pores defined by a disposable internal spacer for use in chalcogenide memories
US5789277A (en) * 1996-07-22 1998-08-04 Micron Technology, Inc. Method of making chalogenide memory device
US5998244A (en) * 1996-08-22 1999-12-07 Micron Technology, Inc. Memory cell incorporating a chalcogenide element and method of making same
US5688713A (en) * 1996-08-26 1997-11-18 Vanguard International Semiconductor Corporation Method of manufacturing a DRAM cell having a double-crown capacitor using polysilicon and nitride spacers
US6147395A (en) * 1996-10-02 2000-11-14 Micron Technology, Inc. Method for fabricating a small area of contact between electrodes
US6087674A (en) * 1996-10-28 2000-07-11 Energy Conversion Devices, Inc. Memory element with memory material comprising phase-change material and dielectric material
US5716883A (en) * 1996-11-06 1998-02-10 Vanguard International Semiconductor Corporation Method of making increased surface area, storage node electrode, with narrow spaces between polysilicon columns
US6015977A (en) * 1997-01-28 2000-01-18 Micron Technology, Inc. Integrated circuit memory cell having a small active area and method of forming same
US5952671A (en) * 1997-05-09 1999-09-14 Micron Technology, Inc. Small electrode for a chalcogenide switching device and method for fabricating same
US6031287A (en) * 1997-06-18 2000-02-29 Micron Technology, Inc. Contact structure and memory element incorporating the same
US5933365A (en) 1997-06-19 1999-08-03 Energy Conversion Devices, Inc. Memory element with energy control mechanism
US5902704A (en) 1997-07-02 1999-05-11 Lsi Logic Corporation Process for forming photoresist mask over integrated circuit structures with critical dimension control
US6768165B1 (en) * 1997-08-01 2004-07-27 Saifun Semiconductors Ltd. Two bit non-volatile electrically erasable and programmable semiconductor memory cell utilizing asymmetrical charge trapping
US7023009B2 (en) 1997-10-01 2006-04-04 Ovonyx, Inc. Electrically programmable memory element with improved contacts
US6969866B1 (en) 1997-10-01 2005-11-29 Ovonyx, Inc. Electrically programmable memory element with improved contacts
US6617192B1 (en) * 1997-10-01 2003-09-09 Ovonyx, Inc. Electrically programmable memory element with multi-regioned contact
FR2774209B1 (en) 1998-01-23 2001-09-14 St Microelectronics Sa METHOD FOR CONTROLLING THE READING CIRCUIT OF A MEMORY PLAN AND CORRESPONDING MEMORY DEVICE
US6087269A (en) 1998-04-20 2000-07-11 Advanced Micro Devices, Inc. Method of making an interconnect using a tungsten hard mask
US6372651B1 (en) 1998-07-17 2002-04-16 Advanced Micro Devices, Inc. Method for trimming a photoresist pattern line for memory gate etching
US6141260A (en) 1998-08-27 2000-10-31 Micron Technology, Inc. Single electron resistor memory device and method for use thereof
US7157314B2 (en) 1998-11-16 2007-01-02 Sandisk Corporation Vertically stacked field programmable nonvolatile memory and method of fabrication
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6351406B1 (en) * 1998-11-16 2002-02-26 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
JP2000164830A (en) 1998-11-27 2000-06-16 Mitsubishi Electric Corp Manufacture of semiconductor storage device
US6291137B1 (en) 1999-01-20 2001-09-18 Advanced Micro Devices, Inc. Sidewall formation for sidewall patterning of sub 100 nm structures
DE19903325B4 (en) 1999-01-28 2004-07-22 Heckler & Koch Gmbh Locked breech for a self-loading handgun, with a breech head and breech carrier and a resilient locking ring with a longitudinal slot
US6245669B1 (en) 1999-02-05 2001-06-12 Taiwan Semiconductor Manufacturing Company High selectivity Si-rich SiON etch-stop layer
EP1171920B1 (en) 1999-03-25 2006-11-29 OVONYX Inc. Electrically programmable memory element with improved contacts
US6943365B2 (en) 1999-03-25 2005-09-13 Ovonyx, Inc. Electrically programmable memory element with reduced area of contact and method for making same
US6750079B2 (en) 1999-03-25 2004-06-15 Ovonyx, Inc. Method for making programmable resistance memory element
US6177317B1 (en) * 1999-04-14 2001-01-23 Macronix International Co., Ltd. Method of making nonvolatile memory devices having reduced resistance diffusion regions
US6075719A (en) 1999-06-22 2000-06-13 Energy Conversion Devices, Inc. Method of programming phase-change memory element
US6077674A (en) 1999-10-27 2000-06-20 Agilent Technologies Inc. Method of producing oligonucleotide arrays with features of high purity
US6326307B1 (en) 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6314014B1 (en) * 1999-12-16 2001-11-06 Ovonyx, Inc. Programmable resistance memory arrays with reference cells
US6576546B2 (en) 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
TW586154B (en) 2001-01-05 2004-05-01 Macronix Int Co Ltd Planarization method for semiconductor device
US6444557B1 (en) 2000-03-14 2002-09-03 International Business Machines Corporation Method of forming a damascene structure using a sacrificial conductive layer
US6420216B1 (en) * 2000-03-14 2002-07-16 International Business Machines Corporation Fuse processing using dielectric planarization pillars
US6420215B1 (en) * 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6501111B1 (en) 2000-06-30 2002-12-31 Intel Corporation Three-dimensional (3D) programmable device
US6440837B1 (en) 2000-07-14 2002-08-27 Micron Technology, Inc. Method of forming a contact structure in a semiconductor device
US6563156B2 (en) * 2001-03-15 2003-05-13 Micron Technology, Inc. Memory elements and methods for making same
US6555860B2 (en) * 2000-09-29 2003-04-29 Intel Corporation Compositionally modified resistive electrode
US6567293B1 (en) * 2000-09-29 2003-05-20 Ovonyx, Inc. Single level metal memory cell using chalcogenide cladding
US6339544B1 (en) * 2000-09-29 2002-01-15 Intel Corporation Method to enhance performance of thermal resistor device
US6429064B1 (en) * 2000-09-29 2002-08-06 Intel Corporation Reduced contact area of sidewall conductor
DE10050076C2 (en) * 2000-10-10 2003-09-18 Infineon Technologies Ag Method for producing a ferromagnetic structure and ferromagnetic component
US6555858B1 (en) 2000-11-15 2003-04-29 Motorola, Inc. Self-aligned magnetic clad write line and its method of formation
KR100382729B1 (en) 2000-12-09 2003-05-09 삼성전자주식회사 Metal contact structure in semiconductor device and forming method thereof
US6569705B2 (en) * 2000-12-21 2003-05-27 Intel Corporation Metal structure for a phase-change memory device
TW490675B (en) 2000-12-22 2002-06-11 Macronix Int Co Ltd Control method of multi-stated NROM
US6271090B1 (en) * 2000-12-22 2001-08-07 Macronix International Co., Ltd. Method for manufacturing flash memory device with dual floating gates and two bits per cell
US6627530B2 (en) * 2000-12-22 2003-09-30 Matrix Semiconductor, Inc. Patterning three dimensional structures
US6534781B2 (en) * 2000-12-26 2003-03-18 Ovonyx, Inc. Phase-change memory bipolar array utilizing a single shallow trench isolation for creating an individual active area region for two memory array elements and one bipolar base contact
WO2002061840A1 (en) 2001-01-30 2002-08-08 Hitachi, Ltd. Semiconductor integrated circuit device and production method therefor
KR100400037B1 (en) 2001-02-22 2003-09-29 삼성전자주식회사 Semiconductor device with contact plug and method for manufacturing the same
US6487114B2 (en) 2001-02-28 2002-11-26 Macronix International Co., Ltd. Method of reading two-bit memories of NROM cell
US6596589B2 (en) 2001-04-30 2003-07-22 Vanguard International Semiconductor Corporation Method of manufacturing a high coupling ratio stacked gate flash memory with an HSG-SI layer
US6730928B2 (en) 2001-05-09 2004-05-04 Science Applications International Corporation Phase change switches and circuits coupling to electromagnetic waves containing phase change switches
US6514788B2 (en) * 2001-05-29 2003-02-04 Bae Systems Information And Electronic Systems Integration Inc. Method for manufacturing contacts for a Chalcogenide memory device
DE10128482A1 (en) 2001-06-12 2003-01-02 Infineon Technologies Ag Production of a semiconductor memory comprises forming edge regions in an insulating region using a spacer element after forming the recess to expose the surface region of an access electrode arrangement
US6589714B2 (en) * 2001-06-26 2003-07-08 Ovonyx, Inc. Method for making programmable resistance memory element using silylated photoresist
US6774387B2 (en) 2001-06-26 2004-08-10 Ovonyx, Inc. Programmable resistance memory element
US6613604B2 (en) * 2001-08-02 2003-09-02 Ovonyx, Inc. Method for making small pore for use in programmable resistance memory element
US6511867B2 (en) * 2001-06-30 2003-01-28 Ovonyx, Inc. Utilizing atomic layer deposition for programmable device
US6605527B2 (en) * 2001-06-30 2003-08-12 Intel Corporation Reduced area intersection between electrode and programming element
US6673700B2 (en) * 2001-06-30 2004-01-06 Ovonyx, Inc. Reduced area intersection between electrode and programming element
US6643165B2 (en) 2001-07-25 2003-11-04 Nantero, Inc. Electromechanical memory having cell selection circuitry constructed with nanotube technology
US6737312B2 (en) 2001-08-27 2004-05-18 Micron Technology, Inc. Method of fabricating dual PCRAM cells sharing a common electrode
US6709958B2 (en) 2001-08-30 2004-03-23 Micron Technology, Inc. Integrated circuit device and fabrication using metal-doped chalcogenide materials
US6507061B1 (en) * 2001-08-31 2003-01-14 Intel Corporation Multiple layer phase-change memory
US6586761B2 (en) * 2001-09-07 2003-07-01 Intel Corporation Phase change material memory device
US6861267B2 (en) * 2001-09-17 2005-03-01 Intel Corporation Reducing shunts in memories with phase-change material
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
US6800563B2 (en) * 2001-10-11 2004-10-05 Ovonyx, Inc. Forming tapered lower electrode phase-change memories
US6566700B2 (en) * 2001-10-11 2003-05-20 Ovonyx, Inc. Carbon-containing interfacial layer for phase-change memory
US6791859B2 (en) 2001-11-20 2004-09-14 Micron Technology, Inc. Complementary bit PCRAM sense amplifier and method of operation
US6545903B1 (en) * 2001-12-17 2003-04-08 Texas Instruments Incorporated Self-aligned resistive plugs for forming memory cell with phase change material
US6512241B1 (en) 2001-12-31 2003-01-28 Intel Corporation Phase change material memory device
US6867638B2 (en) * 2002-01-10 2005-03-15 Silicon Storage Technology, Inc. High voltage generation and regulation system for digital multilevel nonvolatile memory
JP3948292B2 (en) 2002-02-01 2007-07-25 株式会社日立製作所 Semiconductor memory device and manufacturing method thereof
US6972430B2 (en) 2002-02-20 2005-12-06 Stmicroelectronics S.R.L. Sublithographic contact structure, phase change memory cell with optimized heater shape, and manufacturing method thereof
US7122281B2 (en) 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
JP3796457B2 (en) * 2002-02-28 2006-07-12 富士通株式会社 Nonvolatile semiconductor memory device
CN100514695C (en) 2002-03-15 2009-07-15 阿克松技术公司 Programmable structure of micro-electronics
US6579760B1 (en) * 2002-03-28 2003-06-17 Macronix International Co., Ltd. Self-aligned, programmable phase change memory
US6620715B1 (en) 2002-03-29 2003-09-16 Cypress Semiconductor Corp. Method for forming sub-critical dimension structures in an integrated circuit
WO2003085740A1 (en) 2002-04-09 2003-10-16 Matsushita Electric Industrial Co., Ltd. Non-volatile memory and manufacturing method thereof
US6864500B2 (en) 2002-04-10 2005-03-08 Micron Technology, Inc. Programmable conductor memory cell structure
US6605821B1 (en) * 2002-05-10 2003-08-12 Hewlett-Packard Development Company, L.P. Phase change material electronic memory structure and method for forming
US6864503B2 (en) 2002-08-09 2005-03-08 Macronix International Co., Ltd. Spacer chalcogenide memory method and device
US6850432B2 (en) 2002-08-20 2005-02-01 Macronix International Co., Ltd. Laser programmable electrically readable phase-change memory method and device
JP4133141B2 (en) * 2002-09-10 2008-08-13 株式会社エンプラス Socket for electrical parts
JP4190238B2 (en) 2002-09-13 2008-12-03 株式会社ルネサステクノロジ Nonvolatile semiconductor memory device
JP2006502578A (en) 2002-10-11 2006-01-19 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Electronic device with phase change material
US6992932B2 (en) * 2002-10-29 2006-01-31 Saifun Semiconductors Ltd Method circuit and system for read error detection in a non-volatile memory array
US6940744B2 (en) 2002-10-31 2005-09-06 Unity Semiconductor Corporation Adaptive programming technique for a re-writable conductive memory device
JP4928045B2 (en) 2002-10-31 2012-05-09 大日本印刷株式会社 Phase change type memory device and manufacturing method thereof
US6744088B1 (en) * 2002-12-13 2004-06-01 Intel Corporation Phase change memory device on a planar composite layer
US7589343B2 (en) 2002-12-13 2009-09-15 Intel Corporation Memory and access device and method therefor
US6791102B2 (en) * 2002-12-13 2004-09-14 Intel Corporation Phase change memory
KR100479810B1 (en) * 2002-12-30 2005-03-31 주식회사 하이닉스반도체 Non-volatile memory device
US6815266B2 (en) * 2002-12-30 2004-11-09 Bae Systems Information And Electronic Systems Integration, Inc. Method for manufacturing sidewall contacts for a chalcogenide memory device
EP1439583B1 (en) 2003-01-15 2013-04-10 STMicroelectronics Srl Sublithographic contact structure, in particular for a phase change memory cell, and fabrication process thereof
US7277317B2 (en) 2003-01-31 2007-10-02 Nxp B.V. MRAM architecture for low power consumption and high selectivity
US7115927B2 (en) 2003-02-24 2006-10-03 Samsung Electronics Co., Ltd. Phase changeable memory devices
KR100486306B1 (en) * 2003-02-24 2005-04-29 삼성전자주식회사 Phase-change memory device having self-heater structure
US6936544B2 (en) 2003-03-11 2005-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of removing metal etching residues following a metal etchback process to improve a CMP process
KR100504698B1 (en) 2003-04-02 2005-08-02 삼성전자주식회사 Phase change memory device and method for forming the same
KR100979710B1 (en) 2003-05-23 2010-09-02 삼성전자주식회사 Semiconductor memory device and fabricating method thereof
US20060006472A1 (en) 2003-06-03 2006-01-12 Hai Jiang Phase change memory with extra-small resistors
US7067865B2 (en) * 2003-06-06 2006-06-27 Macronix International Co., Ltd. High density chalcogenide memory cells
US6838692B1 (en) 2003-06-23 2005-01-04 Macronix International Co., Ltd. Chalcogenide memory device with multiple bits per cell
US20050018526A1 (en) 2003-07-21 2005-01-27 Heon Lee Phase-change memory device and manufacturing method thereof
US7132350B2 (en) 2003-07-21 2006-11-07 Macronix International Co., Ltd. Method for manufacturing a programmable eraseless memory
KR100615586B1 (en) 2003-07-23 2006-08-25 삼성전자주식회사 Phase change memory device including localized phase transition area in porous dielectric layer and method of forming the same
US7893419B2 (en) 2003-08-04 2011-02-22 Intel Corporation Processing phase change material to improve programming speed
US6815704B1 (en) 2003-09-04 2004-11-09 Silicon Storage Technology, Inc. Phase change memory device employing thermally insulating voids
US6927410B2 (en) * 2003-09-04 2005-08-09 Silicon Storage Technology, Inc. Memory device with discrete layers of phase change memory material
US20050062087A1 (en) 2003-09-19 2005-03-24 Yi-Chou Chen Chalcogenide phase-change non-volatile memory, memory device and method for fabricating the same
DE10345455A1 (en) 2003-09-30 2005-05-04 Infineon Technologies Ag Method for producing a hard mask and hard mask arrangement
US6910907B2 (en) 2003-11-18 2005-06-28 Agere Systems Inc. Contact for use in an integrated circuit and a method of manufacture therefor
US6808991B1 (en) 2003-11-19 2004-10-26 Macronix International Co., Ltd. Method for forming twin bit cell flash memory
US7485891B2 (en) 2003-11-20 2009-02-03 International Business Machines Corporation Multi-bit phase change memory cell and multi-bit phase change memory including the same, method of forming a multi-bit phase change memory, and method of programming a multi-bit phase change memory
KR100558548B1 (en) 2003-11-27 2006-03-10 삼성전자주식회사 Write driver circuit in phase change memory device and method for driving write current
US6937507B2 (en) * 2003-12-05 2005-08-30 Silicon Storage Technology, Inc. Memory device and method of operating same
US7928420B2 (en) 2003-12-10 2011-04-19 International Business Machines Corporation Phase change tip storage cell
US7291556B2 (en) 2003-12-12 2007-11-06 Samsung Electronics Co., Ltd. Method for forming small features in microelectronic devices using sacrificial layers
US7265050B2 (en) 2003-12-12 2007-09-04 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers
KR100569549B1 (en) 2003-12-13 2006-04-10 주식회사 하이닉스반도체 Phase change resistor cell and non-volatile memory device using the same
US7038230B2 (en) 2004-01-06 2006-05-02 Macronix Internation Co., Ltd. Horizontal chalcogenide element defined by a pad for use in solid-state memories
JP4124743B2 (en) 2004-01-21 2008-07-23 株式会社ルネサステクノロジ Phase change memory
KR100564608B1 (en) 2004-01-29 2006-03-28 삼성전자주식회사 Phase-change memory device
US6936840B2 (en) * 2004-01-30 2005-08-30 International Business Machines Corporation Phase-change memory cell and method of fabricating the phase-change memory cell
US7858980B2 (en) 2004-03-01 2010-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reduced active area in a phase change memory structure
JP4529493B2 (en) 2004-03-12 2010-08-25 株式会社日立製作所 Semiconductor device
KR100598100B1 (en) * 2004-03-19 2006-07-07 삼성전자주식회사 Method of fabricating a phase changeable memory device
DE102004014487A1 (en) 2004-03-24 2005-11-17 Infineon Technologies Ag Memory device with embedded in insulating material, active material
KR100532509B1 (en) 2004-03-26 2005-11-30 삼성전자주식회사 Trench capacitor using SiGe layer and method of fabricating the same
US7482616B2 (en) 2004-05-27 2009-01-27 Samsung Electronics Co., Ltd. Semiconductor devices having phase change memory cells, electronic systems employing the same and methods of fabricating the same
US6977181B1 (en) 2004-06-17 2005-12-20 Infincon Technologies Ag MTJ stack with crystallization inhibiting layer
US7359231B2 (en) 2004-06-30 2008-04-15 Intel Corporation Providing current for phase change memories
JP2006019455A (en) 2004-06-30 2006-01-19 Nec Electronics Corp Semiconductor device and manufacturing method thereof
KR100657897B1 (en) 2004-08-21 2006-12-14 삼성전자주식회사 Memory Device Comprising Voltage Controller and Method of Manufacturing the Same
US7365385B2 (en) 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
KR100610014B1 (en) 2004-09-06 2006-08-09 삼성전자주식회사 Semiconductor memory device capable of compensating for leakage current
US7443062B2 (en) 2004-09-30 2008-10-28 Reliance Electric Technologies Llc Motor rotor cooling with rotation heat pipes
TWI254443B (en) 2004-10-08 2006-05-01 Ind Tech Res Inst Multilevel phase-change memory, manufacture method and status transferring method thereof
KR100626388B1 (en) 2004-10-19 2006-09-20 삼성전자주식회사 Phase-changable memory device and method of forming the same
US7364935B2 (en) 2004-10-29 2008-04-29 Macronix International Co., Ltd. Common word line edge contact phase-change memory
DE102004052611A1 (en) 2004-10-29 2006-05-04 Infineon Technologies Ag Method for producing an opening at least partially filled with a filling material, method for producing a memory cell and memory cell
US20060108667A1 (en) 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US7202493B2 (en) 2004-11-30 2007-04-10 Macronix International Co., Inc. Chalcogenide memory having a small active region
KR100827653B1 (en) 2004-12-06 2008-05-07 삼성전자주식회사 Phase changeable memory cells and methods of forming the same
US7220983B2 (en) * 2004-12-09 2007-05-22 Macronix International Co., Ltd. Self-aligned small contact phase-change memory method and device
TWI260764B (en) 2004-12-10 2006-08-21 Macronix Int Co Ltd Non-volatile memory cell and operating method thereof
US20060131555A1 (en) 2004-12-22 2006-06-22 Micron Technology, Inc. Resistance variable devices with controllable channels
US20060138467A1 (en) 2004-12-29 2006-06-29 Hsiang-Lan Lung Method of forming a small contact in phase-change memory and a memory cell produced by the method
JP4646634B2 (en) 2005-01-05 2011-03-09 ルネサスエレクトロニクス株式会社 Semiconductor device
US7419771B2 (en) 2005-01-11 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a finely patterned resist
US7214958B2 (en) 2005-02-10 2007-05-08 Infineon Technologies Ag Phase change memory cell with high read margin at low power operation
US7099180B1 (en) 2005-02-15 2006-08-29 Intel Corporation Phase change memory bits reset through a series of pulses of increasing amplitude
US7229883B2 (en) 2005-02-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Phase change memory device and method of manufacture thereof
JP2006244561A (en) 2005-03-01 2006-09-14 Renesas Technology Corp Semiconductor apparatus
US7154774B2 (en) 2005-03-30 2006-12-26 Ovonyx, Inc. Detecting switching of access elements of phase change memory cells
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7166533B2 (en) 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
KR100675279B1 (en) 2005-04-20 2007-01-26 삼성전자주식회사 Phase change memory devices employing cell diodes and methods of fabricating the same
KR100668846B1 (en) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 Method of manufacturing phase change RAM device
US8237140B2 (en) 2005-06-17 2012-08-07 Macronix International Co., Ltd. Self-aligned, embedded phase change RAM
US7321130B2 (en) 2005-06-17 2008-01-22 Macronix International Co., Ltd. Thin film fuse phase change RAM and manufacturing method
US7514288B2 (en) 2005-06-17 2009-04-07 Macronix International Co., Ltd. Manufacturing methods for thin film fuse phase change ram
US7534647B2 (en) 2005-06-17 2009-05-19 Macronix International Co., Ltd. Damascene phase change RAM and manufacturing method
US7514367B2 (en) 2005-06-17 2009-04-07 Macronix International Co., Ltd. Method for manufacturing a narrow structure on an integrated circuit
US7238994B2 (en) 2005-06-17 2007-07-03 Macronix International Co., Ltd. Thin film plate phase change ram circuit and manufacturing method
US7598512B2 (en) 2005-06-17 2009-10-06 Macronix International Co., Ltd. Thin film fuse phase change cell with thermal isolation layer and manufacturing method
US20060289848A1 (en) 2005-06-28 2006-12-28 Dennison Charles H Reducing oxidation of phase change memory electrodes
US7309630B2 (en) 2005-07-08 2007-12-18 Nanochip, Inc. Method for forming patterned media for a high density data storage device
US7345907B2 (en) 2005-07-11 2008-03-18 Sandisk 3D Llc Apparatus and method for reading an array of nonvolatile memory cells including switchable resistor memory elements
US20070037101A1 (en) 2005-08-15 2007-02-15 Fujitsu Limited Manufacture method for micro structure
US7381982B2 (en) 2005-08-26 2008-06-03 Macronix International Co., Ltd. Method for fabricating chalcogenide-applied memory
US20070045606A1 (en) 2005-08-30 2007-03-01 Michele Magistretti Shaping a phase change layer in a phase change memory cell
US7615770B2 (en) 2005-10-27 2009-11-10 Infineon Technologies Ag Integrated circuit having an insulated memory
US7417245B2 (en) 2005-11-02 2008-08-26 Infineon Technologies Ag Phase change memory having multilayer thermal insulation
US20070111429A1 (en) 2005-11-14 2007-05-17 Macronix International Co., Ltd. Method of manufacturing a pipe shaped phase change memory
US7397060B2 (en) 2005-11-14 2008-07-08 Macronix International Co., Ltd. Pipe shaped phase change memory
US7450411B2 (en) 2005-11-15 2008-11-11 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7394088B2 (en) 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7635855B2 (en) 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7507986B2 (en) 2005-11-21 2009-03-24 Macronix International Co., Ltd. Thermal isolation for an active-sidewall phase change memory cell
US7449710B2 (en) 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7829876B2 (en) 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
US7479649B2 (en) 2005-11-21 2009-01-20 Macronix International Co., Ltd. Vacuum jacketed electrode for phase change memory element
US7599217B2 (en) 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
US7459717B2 (en) 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7605079B2 (en) 2005-12-05 2009-10-20 Macronix International Co., Ltd. Manufacturing method for phase change RAM with electrode layer process
US7642539B2 (en) 2005-12-13 2010-01-05 Macronix International Co., Ltd. Thin film fuse phase change cell with thermal isolation pad and manufacturing method
US7531825B2 (en) 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
US8062833B2 (en) 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US7292466B2 (en) 2006-01-03 2007-11-06 Infineon Technologies Ag Integrated circuit having a resistive memory
US7595218B2 (en) 2006-01-09 2009-09-29 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7741636B2 (en) 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7560337B2 (en) 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US20070158632A1 (en) 2006-01-09 2007-07-12 Macronix International Co., Ltd. Method for Fabricating a Pillar-Shaped Phase Change Memory Element
US7825396B2 (en) 2006-01-11 2010-11-02 Macronix International Co., Ltd. Self-align planerized bottom electrode phase change memory and manufacturing method
US7351648B2 (en) 2006-01-19 2008-04-01 International Business Machines Corporation Methods for forming uniform lithographic features
US7432206B2 (en) 2006-01-24 2008-10-07 Macronix International Co., Ltd. Self-aligned manufacturing method, and manufacturing method for thin film fuse phase change ram
US7456421B2 (en) 2006-01-30 2008-11-25 Macronix International Co., Ltd. Vertical side wall active pin structures in a phase change memory and manufacturing methods
US7426134B2 (en) 2006-02-24 2008-09-16 Infineon Technologies North America Sense circuit for resistive memory
US7910907B2 (en) 2006-03-15 2011-03-22 Macronix International Co., Ltd. Manufacturing method for pipe-shaped electrode phase change memory
US7928421B2 (en) 2006-04-21 2011-04-19 Macronix International Co., Ltd. Phase change memory cell with vacuum spacer
US8129706B2 (en) 2006-05-05 2012-03-06 Macronix International Co., Ltd. Structures and methods of a bistable resistive random access memory
US7608848B2 (en) 2006-05-09 2009-10-27 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
US7423300B2 (en) 2006-05-24 2008-09-09 Macronix International Co., Ltd. Single-mask phase change memory element
US7394089B2 (en) 2006-08-25 2008-07-01 International Business Machines Corporation Heat-shielded low power PCM-based reprogrammable EFUSE device
US20080090400A1 (en) 2006-10-17 2008-04-17 Cheek Roger W Self-aligned in-contact phase change memory device
US20080225489A1 (en) 2006-10-23 2008-09-18 Teledyne Licensing, Llc Heat spreader with high heat flux and high thermal conductivity
US20080101110A1 (en) 2006-10-25 2008-05-01 Thomas Happ Combined read/write circuit for memory
US20080137400A1 (en) 2006-12-06 2008-06-12 Macronix International Co., Ltd. Phase Change Memory Cell with Thermal Barrier and Method for Fabricating the Same
US20080165569A1 (en) 2007-01-04 2008-07-10 Chieh-Fang Chen Resistance Limited Phase Change Memory Material
US7515461B2 (en) 2007-01-05 2009-04-07 Macronix International Co., Ltd. Current compliant sensing architecture for multilevel phase change memory
US20080164453A1 (en) 2007-01-07 2008-07-10 Breitwisch Matthew J Uniform critical dimension size pore for pcram application
US7440315B2 (en) 2007-01-09 2008-10-21 Macronix International Co., Ltd. Method, apparatus and computer program product for stepped reset programming process on programmable resistive memory cell
US7456460B2 (en) 2007-01-29 2008-11-25 International Business Machines Corporation Phase change memory element and method of making the same
US7535756B2 (en) 2007-01-31 2009-05-19 Macronix International Co., Ltd. Method to tighten set distribution for PCRAM
US7701759B2 (en) 2007-02-05 2010-04-20 Macronix International Co., Ltd. Memory cell device and programming methods
US7463512B2 (en) 2007-02-08 2008-12-09 Macronix International Co., Ltd. Memory element with reduced-current phase change element
US8138028B2 (en) 2007-02-12 2012-03-20 Macronix International Co., Ltd Method for manufacturing a phase change memory device with pillar bottom electrode
US8008643B2 (en) 2007-02-21 2011-08-30 Macronix International Co., Ltd. Phase change memory cell with heater and method for fabricating the same
US7745807B2 (en) 2007-07-11 2010-06-29 International Business Machines Corporation Current constricting phase change memory element structure
TW200926356A (en) 2007-12-11 2009-06-16 Ind Tech Res Inst Method for fabricating phase-change memory

Also Published As

Publication number Publication date
US8178388B2 (en) 2012-05-15
CN101000892A (en) 2007-07-18
US7741636B2 (en) 2010-06-22
CN101000892B (en) 2010-04-14
US20100221888A1 (en) 2010-09-02
US20070161186A1 (en) 2007-07-12
TW200727413A (en) 2007-07-16

Similar Documents

Publication Publication Date Title
TWI325166B (en) Programmable resistive ram and manufacturing method
TWI321357B (en) Programmable resistive ram and manufacturing method
US7560337B2 (en) Programmable resistive RAM and manufacturing method
US7569844B2 (en) Memory cell sidewall contacting side electrode
TWI335662B (en) Memory cell with memory material insulation and manufacturing method
US7397060B2 (en) Pipe shaped phase change memory
US7682868B2 (en) Method for making a keyhole opening during the manufacture of a memory cell
US8106376B2 (en) Method for manufacturing a resistor random access memory with a self-aligned air gap insulator
US7394088B2 (en) Thermally contained/insulated phase change memory device and method (combined)
CN100502083C (en) Vertical side wall active pin structures in a phase change memory and manufacturing methods
US7816661B2 (en) Air cell thermal isolation for a memory array formed of a programmable resistive material
US7786461B2 (en) Memory structure with reduced-size memory element between memory material portions
US7463512B2 (en) Memory element with reduced-current phase change element
US7732800B2 (en) Resistor random access memory cell with L-shaped electrode
US8062923B2 (en) Thin film fuse phase change cell with thermal isolation pad and manufacturing method
US7919766B2 (en) Method for making self aligning pillar memory cell device
US7879645B2 (en) Fill-in etching free pore device
TW201019470A (en) Memory cell having improved mechanical stability
TW200838003A (en) Memory cell with memory element contacting ring-shaped upper end of bottom electrode
TWI357635B (en) Resistor random access memory cell with reduced ac