TWI238459B - Copper alloy interconnections for integrated circuits and methods of making same - Google Patents

Copper alloy interconnections for integrated circuits and methods of making same Download PDF

Info

Publication number
TWI238459B
TWI238459B TW090126739A TW90126739A TWI238459B TW I238459 B TWI238459 B TW I238459B TW 090126739 A TW090126739 A TW 090126739A TW 90126739 A TW90126739 A TW 90126739A TW I238459 B TWI238459 B TW I238459B
Authority
TW
Taiwan
Prior art keywords
copper
current density
tin
item
substrate
Prior art date
Application number
TW090126739A
Other languages
Chinese (zh)
Inventor
Valery M Dubin
Christopher D Thomas
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Application granted granted Critical
Publication of TWI238459B publication Critical patent/TWI238459B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/56Electroplating: Baths therefor from solutions of alloys
    • C25D3/58Electroplating: Baths therefor from solutions of alloys containing more than 50% by weight of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Formation of copper alloy interconnected lines on integrated circuits includes electroplating copper onto a seed layer wherein the concentration of the doping element or elements in the copper is controlled such that the core portion of the copper interconnect line has a low concentration of the doping element or elements, while surface portions of the copper interconnect line have higher concentrations of the doping element or elements. Copper alloys are plated at different current densities to provide doping element rich interfaces. In this way, electromigration resistance can be improved by having relatively higher doping concentrations at surface portions of an interconnect line while the desired low electrical resistivity of the interconnect is maintained by keeping the interior portions of the interconnect with a substantially lower doping concentration.

Description

1238459 A71238459 A7

五、發明説明(1 B7 本發明一般係關於積體電路製造的領域,且更尤甚者, 係指鋼合金互連及其形成。 JLi 半導體製造技術的進展已使積體電路發展到具有多層互 連。在此一積體電路中,於一互連層上製成.、圖樣之導電性 材料係藉由例如二氧化矽之材料薄膜與另一互連層上製成 圖樣之導電性材料呈電氣絕緣。這些導電性材料一般係一 金屬或金屬合金。導電材料於不同互連層之間的連接係藉 由於此等絕緣層中形成開口並提供一導電架構予以製成而 使於不同互連層製成圖樣之導電性材料彼此呈電氣接觸。 這些導電架構通常視為接觸窗或介層窗。 半導體製造技術中的其它進展已整合百萬個電晶體,其 中每一個電晶體皆能夠高速切換。將如此多個快速切換電 晶體合併至一積體電路的後果是提供運作期間的電源消耗 。提升速度而又能減少電源消耗的一項技術乃以能提供較 低電阻之金屬,如銅,取代積體電路上傳統之鋁或鋁合金 互連。本行人士將鑑知,電氣信號可藉由減少電阻經由一 積體電路上的互連路徑傳播得更快。再者,由於銅的電阻 比鋁的電阻小非常多,銅互連接線之剖面區域與鋁互連接 線相比較可製得更小而不會榕致基於互連電阻所增加之信 號傳播延遲。另外,因為介於兩電氣節點的電容係這些節 點之間重疊區域的函數,使用一較小的銅互連接線可減少 1238459V. Description of the invention (1 B7 The present invention generally relates to the field of integrated circuit manufacturing, and more particularly, refers to steel alloy interconnects and their formation. Advances in JLi semiconductor manufacturing technology have led to the development of integrated circuits with multiple layers Interconnection. In this integrated circuit, a patterned conductive material is made from a thin film of material such as silicon dioxide and another patterned conductive material. It is electrically insulated. These conductive materials are generally a metal or a metal alloy. The connection between conductive materials between different interconnect layers is made by forming openings in these insulating layers and providing a conductive framework to make them different. Conductive materials patterned in layers are in electrical contact with each other. These conductive structures are often referred to as contact windows or vias. Other advances in semiconductor manufacturing technology have integrated millions of transistors, each of which is capable of high speeds Switching. The consequence of merging so many fast-switching transistors into an integrated circuit is to provide power consumption during operation. It can increase speed and reduce power consumption. This technology replaces traditional aluminum or aluminum alloy interconnects on integrated circuits with metals that provide lower resistance, such as copper. People in the bank will know that electrical signals can be reduced by reducing the resistance through an integrated circuit. The interconnect path propagates faster. Furthermore, because the resistance of copper is much smaller than that of aluminum, the cross-sectional area of copper interconnects can be made smaller than aluminum interconnects without causing interconnect-based interconnections. Increased signal propagation delay due to resistance. In addition, because the capacitance between two electrical nodes is a function of the overlapping area between these nodes, the use of a smaller copper interconnect can reduce 1238459

------- 五、發明説明(2 寄生電容。a此方》,以基於銅之互連取代基於銘之互連 取決於所選擇的尺寸而能降低電阻、電容或兩者同時降低 〇 如上述所提,銅具有電氣優點,如每個橫切區域較低的 電阻,能夠降低寄生電容及提升電子遷移免疫力。基於這 些理由,積體電路製造商期望將銅包含在產品内。 儘管銅在積體電路互連接線上具有比鋁更.佳的電氣優點 ,純銅互連接線仍然遭受與電子遷移相關的缺陷。 因此,有必要在積體電路上提供基於銅之互連^此等基 於銅之互連具有改進之電子遷移電阻位準。 圖不簡述 圖1係一電鍍性崁刻結構之概要剖面圖,其描述一根據 本發明之非直接性包覆架構,其中摻雜物係集中在金屬薄 膜的上表面。 圖2係一電鑛性崁刻結構的概要剖面圖,其描述一根據 本發明之非直接性包覆架構,其中摻雜物已充分地擴散而 存留在金屬薄膜的上表面。 r — — 圖3係一電鍍性崁刻結構的概要剖面圖,其描述一根據 本發明之非直接性包覆架構,其表示位於銅介面的掺雜物 濃度。 圖4係一電鍍性崁刻結構的^概、要剖面圖,其描述一根據 本發明之非直接性包覆架構,其中摻雜物係集中在金屬薄 膜的下表面、側面及上表面。 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 1238459------- V. Description of the invention (2 Parasitic capacitance. A this side ", replacing copper-based interconnects with Ming-based interconnects Depending on the size selected, resistance, capacitance, or both can be reduced. 〇As mentioned above, copper has electrical advantages, such as lower resistance in each cross-cut area, which can reduce parasitic capacitance and improve the immunity of electron migration. For these reasons, integrated circuit manufacturers expect copper to be included in their products. Although copper has better electrical advantages than aluminum in integrated circuit interconnections, pure copper interconnections still suffer from defects related to electron migration. Therefore, it is necessary to provide copper-based interconnections on integrated circuits ^ Copper-based interconnects have improved levels of electron migration resistance. The figure does not briefly describe FIG. 1 is a schematic cross-sectional view of an electroplated etched structure, which depicts a non-direct cladding structure according to the present invention, in which dopants It is concentrated on the upper surface of the metal thin film. Figure 2 is a schematic cross-sectional view of an electro-mineralized engraving structure, which depicts a non-direct coating structure according to the present invention, in which the dopants have sufficiently diffused and exist. Remaining on the upper surface of the metal thin film. R — FIG. 3 is a schematic cross-sectional view of an electroplated etched structure, which depicts a non-direct cladding structure according to the present invention, which represents the dopant concentration at the copper interface. FIG. 4 is a schematic, cross-sectional view of an electroplated engraved structure, which depicts a non-direct coating structure according to the present invention, in which the dopant system is concentrated on the lower surface, the side surface, and the upper surface of the metal thin film. Paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 1238459

此等專有名詞金屬接線、路線、導線、導體、信號路徑 及信號媒介全部相關。此等上列相關專有名詞一般係可^ 換的,且自特定性至一般性依序排列。在此領域中,金屬 接線有時視為路線、導線、接線、互連或簡單金屬。 此等專有名詞接觸窗及介層窗兩者皆指來自不同交互層 之導體電氣連接的結構。有時將這些專有名詞用於本技藝 中以同時說明一結構將要完成之絕緣體中的.開口以及所完 成之結構本身。基於本揭露之目的,接觸窗及介層窗意指 已完成之結構。 NHE意指一正規化氫氣電極。 縮寫字ppm代表每百萬個有幾個部分。 基底亦可視為晶圓。晶圓可由半導體、非半導體、或半 導體與非半導體材料予以製成。矽晶圓上形成各種材料之 薄膜。其它材料如砷化鎵、藍寶石上覆矽、或絕緣體上覆 矽(SOI)皆可用於形成晶圓。 此處所用的專有名詞,垂直意指實質垂直於一基底的表 面0 本發明之具體實施例提供一浴池以將銅合金沉積於銅上 或銅合金晶種層。電鍍銅合金包含,但不侷限於,錫化銅 、碳化銅、硫化銅、銦化銅、鎘化銅、鉻化銅、鋅化銅、 叙化銅、鋒化銅、勤化銅、短化銅、鎮化銅、始化銅、錄 化銅、銖化銅、釕化銅、鎢化銅、鉑化銅、鈀化銅、铑化 銅、锇化銅。銅合金晶種層包含,但不侷限於,錫化銅、 鎂化銅、鋁化銅、鈦化銅、钽化銅、鎢化銅、銦化銅、銻 -8- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)These proper nouns are all related to metal wiring, routing, wires, conductors, signal paths, and signal media. The related proper nouns listed above are generally interchangeable and are arranged in order from specificity to generality. In this area, metal wiring is sometimes viewed as a route, wire, wiring, interconnect, or simple metal. These proper nouns, both contact windows and vias, refer to structures that electrically connect conductors from different interaction layers. These proper terms are sometimes used in the art to describe both the openings in an insulator to which a structure is to be completed and the structure itself to be completed. For the purposes of this disclosure, contact windows and interlayer windows mean completed structures. NHE means a normalized hydrogen electrode. The abbreviation ppm stands for several parts per million. The substrate can also be considered a wafer. Wafers can be made from semiconductor, non-semiconductor, or semiconductor and non-semiconductor materials. Thin films of various materials are formed on silicon wafers. Other materials such as gallium arsenide, silicon on sapphire, or silicon on insulator (SOI) can be used to form the wafer. As used herein, the proper term means perpendicular to the surface of a substrate. In a specific embodiment of the present invention, a bath is provided for depositing a copper alloy on copper or a copper alloy seed layer. Electroplated copper alloys include, but are not limited to, copper tin, copper carbide, copper sulfide, copper indium, copper cadmium, copper chrome, zinc zinc, copper, copper, copper, zinc Copper, annealed copper, copper, copper, copper, ruthenium, copper, tungsten, platinum, palladium, copper, rhodium, and hafnium. The copper alloy seed layer includes, but is not limited to, copper tin, copper magnesium, copper aluminide, copper titanium, copper tantalum, copper tungsten, copper indium, and antimony. 8- This paper is applicable to China Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

線 1238459Line 1238459

電μ在、度來控制與銅一起沉積之摻雜元素的濃度。依此方 法’含有很多一或多種摻雜元素的銅合金可在互連結構内 於特疋區域予以製成。尤甚者,形成了於互連之外部或介 面具有合金之基於銅的互連。 關於上述可於初始沉積階段與熱沉積階段具有高電流密 度(例如30至1〇〇 mA/cm2、的範圍内)以使銅沉積具有較 同的摻雜元素濃度(例如,大於〇· 5 wt% ) ‘。相反地,低 電流密度(例如,從〇· 5至30 mA/cifl2之範圍内),以使 銅/儿積具有較低之摻雜元素濃度(例如,小於〇 · 5 wt% ) 。在相同鍍具内沉積之後可短暫地,即使非立即地,實行 退火運作以形成並穩定銅合金微結構。此退火運作亦將摻 雜元素驅入晶粒邊界及介面。退火的溫度可自一低退火溫 度變化至一尚退火溫度,其中於第一運作期間施行低於 C的低退火溫度以增加銅晶粒的尺寸並將雜質驅至介面, 且於第二運作期間以大於25(TC的高退火溫度於介面優先 形成銅合金。 在本發明之另一具體實施例中,退火係於化學機械研磨 法(CMP)之後予以實行而使得摻雜元素可擴散至此等介面 並形成包覆結構。此後CMP退火運作可在鑛浴、獨立型火 爐或快速熱處理(RTP)設備内於一整合退火箱中以不同的 溫度(低溫和高溫)予以完成。此後CMp退火運作亦可在 姓刻終止/ ILD沉積期間或之身予以實行。 上部介面上具有銅合会之銅互逵 一根據本發明具體實施例之互連結構係於使用高電流密 -11- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公董) 1238459 A7 B7 五、發明説明(9 ) 度之沉積運作终端階段期間藉由優先共沉積一或多種具有 銅之摻雜元素予以形成,而沉積之主體係以相對較低的電 流密度予以完成以使銅沉積具有低濃度之_或多種摻雜元 素(&lt;0·5%)。在藉由電錢完成沉積之後,實行一退火運作以 使一或多種摻雜元素朝填滿銅之溝渠上部擴散而在位於晶 粒邊界的主體銅内部維持低濃度的錫(亦即&lt;1〇〇ppm)。 圖1至圖3更詳細地描述本結構與製程。·圖1表示一部 分部分處理過之晶圓的剖面β更尤甚者,圖1表示一層間 介電質(ILD) 102,已於該層間介電質内製作圖樣以形成溝 渠101及介層窗103。部分處理過之晶圓係置於含有銅離 子以及一或多種摻雜元素之離子的鍍浴内。接著將一層銅 104電鍍於ILD 102上以填滿溝渠1〇1及介層窗1〇3,且 ILD 102的上表面亦被覆銅1〇4。仍在用於電鑛銅1〇4之電 鍍性浴池内時,電流密度增加使得電鍍性浴池内的一或多 種摻雜元素與銅共沉積以形成銅合金層1〇6。電流密度係 選擇以使銅層104内的摻雜原子數量少於〇·5 wt· %且層銅 合金層106内的摻雜原子數量大於0.5 wt.%。 圖2表示在實行一退火運作之後之圖1結構。在此具體 實施例中,一退火運作係在一氮氣、氬氣或氮氣加上氫氣 (亦即形成氣體)之環境中以小於450°C之溫度實行0.5 至180分鐘。在另一具體實施例中,一退火運作係以兩步 驟予以實行··首先在氮氣、氬-氣、、氛氣加上氫氣(亦即形 成氣體)的環境中以介於l〇〇°C與250°C之間的溫度實行 〇·5至180分鐘之退火;以及在氮氣、氬氣或氮氣加上氫 -12- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 裝 訂The electrical μ is used to control the concentration of doping elements deposited with copper. In this way, a copper alloy containing many one or more doping elements can be made in a special region within the interconnect structure. In particular, copper-based interconnects with alloys on the outside or interface of the interconnect are formed. Regarding the above, it is possible to have a high current density (for example, in the range of 30 to 100 mA / cm2) in the initial deposition stage and the thermal deposition stage so that the copper deposition has a similar doping element concentration (for example, greater than 0.5 wt. %) '. Conversely, a low current density (for example, in the range from 0.5 to 30 mA / cifl2) so that the copper / child product has a lower doping element concentration (for example, less than 0.5 wt%). After deposition in the same plating tool, an annealing operation can be performed briefly, even if not immediately, to form and stabilize the copper alloy microstructure. This annealing operation also drives the dopant elements into the grain boundaries and interfaces. The annealing temperature can be changed from a low annealing temperature to a high annealing temperature, wherein a low annealing temperature lower than C is performed during the first operation period to increase the size of the copper grains and drive impurities to the interface, and during the second operation period A copper alloy is preferentially formed on the interface at a high annealing temperature greater than 25 ° C. In another specific embodiment of the present invention, the annealing is performed after the chemical mechanical polishing method (CMP) so that the doping element can diffuse to these interfaces. The cladding structure can be formed. Thereafter, the CMP annealing operation can be completed at a different temperature (low temperature and high temperature) in an integrated annealing box in a mineral bath, a separate furnace or a rapid thermal processing (RTP) device. Thereafter, the CMP annealing operation can also be performed. It is implemented during the termination of the last name / ILD deposition. The copper interface on the upper interface has the copper association. The interconnection structure according to the specific embodiment of the present invention is based on the use of high current density. National Standard (CNS) A4 specification (210X297 public director) 1238459 A7 B7 V. Description of the invention (9) During the end phase of the deposition operation, one or more tools are preferentially co-deposited. Doping elements of copper are formed, and the main system of deposition is completed at a relatively low current density so that the copper deposition has a low concentration of _ or more doping elements (&lt; 0.5%). After the deposition is completed, an annealing operation is performed to diffuse one or more doping elements toward the upper portion of the copper-filled trench while maintaining a low concentration of tin (ie, <100 ppm) inside the bulk copper located at the grain boundary. Figures 1 to 3 describe this structure and process in more detail. Figure 1 shows a section β of a partially processed wafer, and even more particularly, Figure 1 shows an interlayer dielectric (ILD) 102, which has been placed between the layers. Patterns are made in the dielectric to form trenches 101 and vias 103. Part of the processed wafer is placed in a plating bath containing copper ions and one or more doping element ions. A layer of copper 104 is then plated on the ILD The trench 102 and the interlayer window 103 are filled on 102, and the upper surface of ILD 102 is also covered with copper 104. When it is still in the electroplating bath for electric copper 104, the current density increases. Make one or more doping elements in the electroplating bath co-deposit with copper to shape Copper alloy layer 106. The current density is selected so that the number of doped atoms in the copper layer 104 is less than 0.5 wt.% And the number of doped atoms in the copper alloy layer 106 is greater than 0.5 wt.%. Figure 2 Shows the structure of Figure 1 after an annealing operation is performed. In this specific embodiment, an annealing operation is performed in an environment of nitrogen, argon, or nitrogen plus hydrogen (ie, forming a gas) at a temperature of less than 450 ° C. It is performed for 0.5 to 180 minutes. In another specific embodiment, an annealing operation is performed in two steps .... Firstly, in an environment of nitrogen, argon-gas, and atmosphere plus hydrogen (that is, forming a gas) Anneal at a temperature between 100 ° C and 250 ° C for 0.5 to 180 minutes; and nitrogen, argon, or nitrogen plus hydrogen-12-This paper size applies Chinese National Standard (CNS) A4 specifications (210 X 297 mm) Staple

線 1238459 五、發明説明(1〇 ) 氣(亦即形成氣體)的環境中以介於25〇cc與45〇。〔之間的 酿度實仃0.5至180分鐘之第二次退火。退火運作經由銅 層104之上部部分自銅合金層1〇6驅動(此等)摻雜元素 這二摻雜原子中的某些亦驅向銅層104之介面表面以形 成銅合金層108,如圖2所示。 圖3表示在實行一化學機械研磨法(CMp)運作之後之圖 2結構。此CMP運作移除覆蓋在ILD 1〇2上·部表面之金屬 因而產生具有一銅部分1〇4及一銅合金部分1〇8之互連接 線,如圖3所示。存在於互連結構上部表面之銅合金部分 其形狀在靠近溝渠垂直側壁附近時一般較薄且朝互連結構 的中間增厚。 ^ ― 4免土-义包覆的铜互遠钴4 在本發明之具體實施例中,一基於銅之互連結構係藉由 共沉積銅予以形成以將一或多種低溶解度摻雜元素驅至互 連結構之介面部分,其中該共沉積銅具有一或多種原處退 火電鍍銅摻雜材料之後的元素。此一互連結構亦可於初始 沉積步驟使用較高的電流密度(&gt;30 mA/cm2)藉由共電錢具 …有較咼濃度之摻雜元素予以形成,之後於低電流密度(〈3〇 mA/cm2)電鍍銅而在互連結構之主體内部部分得到較低濃 度之推雜元素,隨後於南電流在、度(&gt;30 mA/cm2)電鑛銅而 在上部部分,亦即互連結構較晚沉積之部分,得到相對較 问》辰度的推雜元素。 — 完成電鍍運作之後,實行退火運作以使摻雜元素擴散至 填滿銅之溝渠的上部。 -13- 1238459 A7 B7 五、發明説明(11 ) 圖4至圖6更加詳細地描述此結構與製程。圖4表示一 部分經過部分處理之晶圓的剖面。更尤甚著,圖4表示一 已製作圖樣於其内部產生溝渠4〇1及介層窗403的層間介 電質(ILD) 402。部分處理過的晶圓係置於内含一或多種摻 雜元素之離子及銅離子的電鍍性浴池中。接著將一層銅合 金404電鍍於ILD 402上而使得溝渠401和介層403的表 面及ILD 402的上部表面被覆銅合金4〇4。·在所描述的具 體實施例中,錫與銅共沉積以形成銅合金4〇4,且此層的 厚度係沉積至大約100至500埃。銅合金4〇4係藉由共沉 積來自電鍍性浴池之銅與一或多種摻雜元素予以形成。仍 在用於沉積銅合金404的電鍍性浴池中時,電流密度減少 而使得電鍍性浴池内的摻雜元素未與銅共沉積以形成銅層 406。如圖4所示,銅層406填滿溝渠4〇1和介層窗40 3未 佔滿銅合金層404的部分。此等電流密度係選擇以使銅合 金層404中的摻雜原子數量大於0.5 wt· %且層銅層406中 的挣雜原子數量少於〇· 5 wt· %。在形成層406之後,電流 密度增加以再次共沉積來自電鍍性浴池之銅與一或多種摻 雜70素之原子從而形成銅合金層408。 圖5係表示圖4結構實行退火運作之後。在此具體實施 例中’ 一退火運作係在氮氣、氬氣或氮氣加上氫氣(亦即 形成氣體)之環境中於低於450°C的溫度實行0.5至180 分鐘而完成。在另一具體實施例中,一退火運作係以兩步 驟予以實行:首先在氮氣、氬氣或氮氣加上氫氣(亦即形 成氣體)的環境中於10(TC至250°C之間的溫度實行0· 5至 •14-Line 1238459 V. Description of the invention (10) The environment of the gas (that is, the gas is formed) is between 25 cc and 45. [The degree of brewing is between 0.5 and 180 minutes for the second annealing. The annealing operation drives (these) doping elements from the copper alloy layer 106 through the upper part of the copper layer 104. Some of these two doping atoms are also driven to the interface surface of the copper layer 104 to form a copper alloy layer 108, such as Shown in Figure 2. Fig. 3 shows the structure of Fig. 2 after a chemical mechanical polishing (CMp) operation is performed. This CMP operation removes the metal covering the upper and upper surfaces of the ILD 102, thereby generating an interconnection line having a copper portion 104 and a copper alloy portion 108, as shown in FIG. The copper alloy portion present on the upper surface of the interconnect structure is generally thinner and thicker toward the middle of the interconnect structure near the vertical sidewalls of the trench. ^ ― 4 Soil-Free Coated Copper Mutual Cobalt 4 In a specific embodiment of the present invention, a copper-based interconnect structure is formed by co-depositing copper to drive one or more low-solubility doping elements. To the interface portion of the interconnect structure, wherein the co-deposited copper has one or more elements after in-situ annealing of the electroplated copper doped material. This interconnect structure can also be formed in the initial deposition step by using a higher current density (&gt; 30 mA / cm2) by a common electric tool ... with a higher concentration of doping elements, and then at a lower current density (< (30mA / cm2) copper electroplating to get a lower concentration of impurity elements in the internal part of the main body of the interconnect structure. That is, the later deposited part of the interconnected structure gets relatively complex elements. — After the plating operation is completed, an annealing operation is performed to diffuse the doping elements to the upper part of the trench that fills the copper. -13- 1238459 A7 B7 V. Description of the invention (11) Figures 4 to 6 describe this structure and process in more detail. Figure 4 shows a cross section of a partially processed wafer. More particularly, FIG. 4 shows an interlayer dielectric (ILD) 402 that has been patterned to generate trenches 401 and interlayer windows 403 inside. Part of the processed wafer is placed in a plating bath containing one or more doping element ions and copper ions. A layer of copper alloy 404 is then plated on ILD 402 so that the surface of trench 401 and interlayer 403 and the upper surface of ILD 402 are coated with copper alloy 404. In the specific embodiment described, tin is co-deposited with copper to form a copper alloy 40, and the thickness of this layer is deposited to about 100 to 500 Angstroms. Copper alloy 400 is formed by co-depositing copper from a plating bath with one or more doping elements. While still in the electroplating bath used to deposit the copper alloy 404, the current density is reduced so that the doping elements in the electroplating bath are not co-deposited with copper to form the copper layer 406. As shown in FIG. 4, the copper layer 406 fills the trenches 401 and the vias 403 which do not occupy the portion of the copper alloy layer 404. These current densities are selected such that the number of doped atoms in the copper alloy layer 404 is greater than 0.5 wt.% And the number of earning heteroatoms in the layer copper layer 406 is less than 0.5 wt.%. After the layer 406 is formed, the current density is increased to co-deposit copper from the electroplating bath and one or more atoms doped with 70 element to form a copper alloy layer 408. FIG. 5 shows the structure of FIG. 4 after the annealing operation is performed. In this embodiment, an annealing operation is performed in a nitrogen, argon, or nitrogen plus hydrogen (i.e., forming gas) environment at a temperature below 450 ° C for 0.5 to 180 minutes. In another specific embodiment, an annealing operation is performed in two steps: first in a nitrogen, argon, or nitrogen plus hydrogen (ie, forming gas) environment at a temperature of 10 (TC to 250 ° C) Implementation of 0.5 to 14

裝 訂Binding

線 1238459 A7 ____ B7 五、發明説明(12 ) 180分鐘之退火;且在氮氣、氬氣或氮氣加上氫氣(亦即 形成氣體)的環境中於250°C至450°C之間的溫度實行〇. 5 至180分鐘之第二次退火。退火運作經由一部分銅層4〇6 自銅合金層404、408驅動(此等)摻雜元素,如圖4所標 不。藉由將這些摻雜原子驅向銅層406之介面表面形成一 自動包覆之銅區。亦即,銅406係由後退火銅合金41〇予 以圍繞。 . 圖6表示實行一化學機械研磨法運作之後圖5的結構。 此CMP運作移除被覆在IL1) 4〇2上部表面上的金屬從而產 生具有一銅部分406及一銅合金部分410之個別互連接線 ,如圖6所示。存在於互連結構上部表面的銅合金部分其 形狀在靠近溝渠垂直側壁附近時一般較薄且朝互連結構的 中間變厚。 在本發明另一具體實施例中,退火運作係在以CMP移除 超出之金屬之後予以實行。此一後CMP退火運作可在鍍具 、獨立型火爐或快速熱處理(RTP)設備内於一整合退火箱中 以不同的溫度(低和高)予以完成。另外,根據本發明, 退火運作可在一蝕刻終止或ILD層之沉積期間或之前予以 ,— 一 實行。 圖7表示銅互連接線及介層窗由一 ILI)層予以圍繞之晶 圓之一部分的剖面圖。更尤甚者,銅互連接線和介層窗的 核心區域圍繞著一自動包覆I。、這些自動包覆層係由銅合 金予以形成。銅合金可用於,不僅如上所述改進電子遷移 特性’亦消除傳統銅互連接線中個別形成之銅擴散障礙之 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公釐) 1238459Line 1238459 A7 ____ B7 V. Description of the invention (12) 180-minute annealing; and implemented in the environment of nitrogen, argon or nitrogen plus hydrogen (ie forming gas) at a temperature between 250 ° C and 450 ° C 0.5 to 180 minutes of second annealing. The annealing operation drives (these) doping elements from the copper alloy layers 404, 408 through a portion of the copper layer 406, as shown in FIG. 4. By driving these doped atoms toward the interface surface of the copper layer 406, an auto-coated copper region is formed. That is, the copper 406 is surrounded by the post-annealed copper alloy 41. FIG. 6 shows the structure of FIG. 5 after a chemical mechanical polishing operation is performed. This CMP operation removes the metal covering the upper surface of IL1) 402 to produce individual interconnections having a copper portion 406 and a copper alloy portion 410, as shown in FIG. The copper alloy portion present on the upper surface of the interconnect structure is generally thinner and thicker toward the middle of the interconnect structure near the vertical sidewalls of the trench. In another embodiment of the present invention, the annealing operation is performed after the excess metal is removed by CMP. The subsequent CMP annealing operation can be completed at different temperatures (low and high) in an integrated annealing box in a plating tool, a stand-alone furnace or a rapid thermal processing (RTP) equipment. In addition, according to the present invention, the annealing operation may be performed during or before the termination of an etch or the deposition of an ILD layer. Fig. 7 shows a cross-sectional view of a portion of a crystal circle surrounded by an interconnect layer and a copper window. More specifically, the core area of the copper interconnect wiring and vias surrounds an autoclave I. 2. These automatic cladding layers are formed of copper alloy. Copper alloys can be used to not only improve the electromigration characteristics as described above, but also to eliminate the copper diffusion barriers individually formed in traditional copper interconnects. -15- This paper size applies to China National Standard (CNS) A4 (210X 297 mm) 1238459

線的黏著性得以改進。 一本發明某些具體實施例的優點在於一氮化矽蝕刻終止 層並不需要。 一本發明某些具體實施例的優點在於基於銅之互連之耐 磨性(wear resistance)得以改進。 一本發明某些具體實施例的優點在於一退火運作期間的 凸起結構得以減少。 一本發明某些具體實施例的優點在於相對於純銅,銅合 金與障礙層之間的黏著性得以改進,理由為銅合金的摻雜 成分可與障礙層(例如基於钽及銅之錫合金的障礙層)形 成一交錯金屬化合物。 一本發明某些具體實施例的優點在於銅互連接線帶有銅 合金之包覆提供一機械構造以支承此等銅接線。這在基於 層間介電材料之二氧化矽以較不嚴密之低k和超低k介電 材料予以替換時特別有用。 其它來自特別說明之裝置、潔淨液和製程的變型將顯見 於本行人本並具有本揭露之優點。因此,意指所有此等變 型及修改如附件申請專利範圍所界定係視為在本發明之精 神及範_内。 -18- 本纸張尺度適用中國國家標準(CNS) A4規格(21〇&gt;&lt;297公釐)The adhesion of the thread is improved. An advantage of certain embodiments of the present invention is that a silicon nitride etch stop layer is not required. An advantage of certain embodiments of the present invention is that the wear resistance of copper-based interconnects is improved. An advantage of certain embodiments of the present invention is that the raised structure is reduced during an annealing operation. An advantage of certain embodiments of the present invention is that compared to pure copper, the adhesion between the copper alloy and the barrier layer is improved, because the doping composition of the copper alloy can be compared with that of the barrier layer (such as those based on tantalum and copper-tin alloys). Barrier layer) to form a staggered metal compound. An advantage of certain embodiments of the present invention is that the copper interconnect wiring with a copper alloy coating provides a mechanical construction to support these copper wiring. This is particularly useful when silicon dioxide based interlayer dielectric materials are replaced with less stringent low-k and ultra-low-k dielectric materials. Other variations from the specially described devices, cleaning fluids, and processes will be apparent to the Bank and have the advantages of this disclosure. Therefore, it is intended that all such variations and modifications, as defined by the scope of the attached application patent, are deemed to be within the spirit and scope of the present invention. -18- This paper size is in accordance with Chinese National Standard (CNS) A4 (21〇 &gt; &lt; 297mm)

裝 訂Binding

line

Claims (1)

王/更正/補充 A8 B8 126739號專利申請案 ⑺ 中文申請專利範圍替換太(93年9月)漂 申請專利範圍 .一種電鍍性浴池,其包含一混合物,該混合物係由硫酸 、一包含苯磺酸之磺酸、一含銅化合物、一含氯化合物 、以及錫。 2·如申晴專利範圍第1項之電鍵性浴池,進一步包含至少 一有機性添加物。 3. 如申請專利範圍第2項之電鍍性浴池,其中該至少一有 機性添加物係選自由硫醇基烷基磺酸鈉、聚醚類、聚亞 胺以及聚醯胺所組成之群組。 4. 如申請專利範圍第3項之電鍍性浴池,進一步包含至少 一錯合劑。 5. 如申請專利範圍第4項之電鍍性浴池,其中至少一錯合 劑係選自由醋酸鹽、檸檬酸鹽、酒石酸鹽、以及EDTA 所組成的群組。 6. 如申請專利範圍第1項之電鍍性浴池,其中該苯磺酸之 濃度範圍介於 〇·〇〇5 m〇iesniter 至 2.5 moles/liter 之間 〇 7. 如申請專利範圍第1項之電鍍性浴池,其中苯磺酸的濃 度範圍介於1至50g/l之間;且其中來自含氯化合物之 氯離子的濃度範圍介於1〇至l〇〇nig/1之間。 8·如申°月專利範圍第2項之電鑛性浴池,其中苯石黃酸少於 鍍浴之10wt%。 9·如申請專利範圍第5項之電鍍性浴池,其中電鍍性浴池 内錫的來源材料係選自由硫酸锡(H)、贈酸錫(I〗)、溴化 錫(II)、氣化錫(II)、氟化錫(Π)、碘化錫(11)、以及氧化 74320-930929.doc 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 1238459 A8 B8 C8 D8 六、申請專利範圍 錫(II)所組成的群組。 10. 如申請專利範圍第5項之電鍍性浴池,其中含氣化合物 係氯化氫。 11. 一種在一積體電路上形成一基於銅之互連接線之方法 ’其包含: 長:供上方具有一介電層的基底,該介電層内具有溝渠 ’且δ亥溝渠内置有一晶種層; 將該基底置入一電鍍性浴池混合物,該電鑛性浴池混 合物係由硫酸、包含一苯續酸之一續酸、一含銅化合物 、一含氣化合物、以及錫; 自電鍍浴池電解形成一銅層以填覆該晶種層上方之 溝渠’該銅層内具有錫之分佈,其中該以電解形成一銅 層以填覆溝渠係包含: 將第一電壓施加至基底上以產生第一正電流密度; 在施加第一電壓至基底後,將第二電壓施加至基底上 以產生第二正電流密度,該第二正電流密度小於該第一 正電流密度;及 在施加第二電壓至基底後,將第三電壓施加至基底上 以產生第三正電流密度,該第三正電流密度大於該第二 正電流密度。 12. 如申請專利範圍第11項之方法,其中該第一電流密度 及該第三電流密度係30 mA/cm2至100 mA/cm2。 13. 如申請專利範圍第11項之方法,其中該第三電流密度 係 5 mA/cm2 至 30 mA/cm2。 74320-930929.doc _ 〇 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公董) 1238459 申請專利範圍 H ==範圍第11項之方法’進-步包含退火該銅 均勻分佈至該漠渠之邊界以便在其内形成該錫之非 ^ =利範圍第,11項之方法,進一步包含藉由化 16 — J :去移除該溝渠上方之銅層的上部部分。 .種在-積體電路中的互連結構,其包含: ps p广”内具有至少-溝渠的層間介電層,該溝渠具有 丨网開之垂直側壁; 一置於該至少一溝渠内的金屬導體; 。5::::屬導體内含一内部部份,内部部份含有小 元素之銅,該金屬導體具有-包含-上部二雜公&quot;分,該上部部分含有其内具有大於〇.5 wt % 4雜“的銅,且該上部部分具有 離該等溝渠垂直側壁之距離變化。 厚度依 17·如申請專利範圍第16項之互連結構,立中 係錫化銅合金’且該上部部分在該等至少一溝: 側壁的中間最厚。 /木之 學 於 部 據 分 垂直 74320-930929.doc 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)Wang / Correction / Supplement No. A8 B8 Patent Application No. 126739⑺ Chinese patent application scope replaces too (September 1993) Bleach application patent scope. A plating bath containing a mixture of sulfuric acid and a benzene sulfonate Acid sulfonic acid, a copper-containing compound, a chlorine-containing compound, and tin. 2. The bond bath according to item 1 of Shen Qing's patent scope, further comprising at least one organic additive. 3. The electroplating bath according to item 2 of the patent application scope, wherein the at least one organic additive is selected from the group consisting of sodium thiol alkyl sulfonate, polyethers, polyimines, and polyamidoamines. . 4. The electroplating bath according to item 3 of the patent application scope, further comprising at least one complexing agent. 5. For the electroplating bath according to item 4 of the patent application scope, at least one compound is selected from the group consisting of acetate, citrate, tartrate, and EDTA. 6. For example, the electroplating bath of the scope of patent application, wherein the concentration range of the benzenesulfonic acid is between 0.005 m0iesniter and 2.5 moles / liter. Electroplating bath, wherein the concentration range of benzenesulfonic acid is between 1 and 50 g / l; and the concentration range of chloride ions from chlorine-containing compounds is between 10 and 100nig / 1. 8. The electric mineral bath as described in item 2 of the patent, in which the benzoic acid is less than 10% by weight of the plating bath. 9. The electroplating bath according to item 5 of the patent application scope, wherein the source material of tin in the electroplating bath is selected from the group consisting of tin sulfate (H), acid donating tin (I), tin bromide (II), and vaporized tin. (II), tin fluoride (Π), tin iodide (11), and oxide 74320-930929.doc This paper size applies to China National Standard (CNS) A4 specifications (210X297 mm) 1238459 A8 B8 C8 D8 VI. Application The group of patent scope tin (II). 10. For the electroplating bath of item 5 of the patent application scope, the gas-containing compound is hydrogen chloride. 11. A method of forming a copper-based interconnect on a integrated circuit 'comprising: Length: for a substrate with a dielectric layer above, the dielectric layer having a trench' and a delta-hide trench with a crystal built in Seed layer; the substrate is placed in a plating bath mixture, the electro-mineral bath mixture is composed of sulfuric acid, a benzoic acid, a carboxylic acid, a copper-containing compound, a gas-containing compound, and tin; a self-plating bath Forming a copper layer by electrolysis to fill the trenches above the seed layer 'the copper layer has a distribution of tin, wherein forming a copper layer by electrolysis to fill the trenches comprises: applying a first voltage to a substrate to generate A first positive current density; after applying a first voltage to the substrate, applying a second voltage to the substrate to generate a second positive current density, the second positive current density being less than the first positive current density; and applying a second After the voltage is applied to the substrate, a third voltage is applied to the substrate to generate a third positive current density, and the third positive current density is greater than the second positive current density. 12. The method according to item 11 of the application, wherein the first current density and the third current density are 30 mA / cm2 to 100 mA / cm2. 13. The method according to item 11 of the application, wherein the third current density is 5 mA / cm2 to 30 mA / cm2. 74320-930929.doc _ 〇 This paper size is applicable to Chinese National Standard (CNS) A4 specification (210X297 public director) 1238459 The method of applying for patent scope H == scope item 11 'Procedure-further includes annealing the copper uniformly distributed to the desert The method of the boundary of the trench so as to form the non-limiting range of the tin, item 11, further includes removing the upper part of the copper layer above the trench by chemically 16-J:. An interconnect structure in a -integrated circuit, comprising: an interlayer dielectric layer having at least-a trench in the ps p wide ", the trench having a vertical side wall that is open from the grid; and one disposed in the at least one trench 5 :::: Metal conductor contains an internal part, the internal part contains copper of small elements, the metal conductor has -contains-the upper two heterogeneous male ", the upper part contains 0.5 wt% 4% copper, and the upper portion has a change in distance from the vertical sidewalls of the trenches. The thickness is according to 17. If the interconnect structure of the patent application No. 16 is used, the central part is a copper tin alloy 'and the upper part is in these at least one groove: the middle of the side wall is the thickest. / 木 之 学 于 部 Data Vertical 74320-930929.doc This paper size applies to China National Standard (CNS) A4 (210X297 mm) 觀; .正/更正/補无 126739號專利申請案 中文圖式替換頁(93年9月) _902 以一第一電流密度自一含有硫酸,磺酸,銅離子與摻雜離 子之電鍍性浴池將銅電鍍在一基底上 _904 以一第二電流密度自一含有硫酸,一磺酸,銅離子與摻雜 離子之電鍍性浴池將銅合金電鍍在銅上View;. Zheng / Correction / Supplement No. 126739 Patent Application Chinese Schematic Replacement Page (Sept. 1993) _902 From a plating bath containing sulfuric acid, sulfonic acid, copper ions and doped ions at a first current density Copper is electroplated on a substrate_904 A copper alloy is electroplated on copper at a second current density from an electroplating bath containing sulfuric acid, a sulfonic acid, copper ions and doped ions 」u虿咖ΰΐ26739號專利申請案 中文圖式替換頁(93年9月) _1002 以一第一電流密度自一含有硫酸,磺酸,銅離子與摻雜離 子的電鑛性浴池將銅合金電鍍在一基底上 _1004"U 虿 Caΰΐ Patent No. 26739 Chinese Schematic Replacement Page (Sept. 1993) _1002 Copper alloy is electroplated at a first current density from an electro-mineral bath containing sulfuric acid, sulfonic acid, copper ions and doped ions On a substrate_1004 以一第二電流密度自一含有硫酸,一磺酸,銅離子與摻雜 離子之電鍍性浴池將銅電鍍在銅合金上 _1006 以一第三電流密度自一含有硫酸,一磺酸,銅離子與摻雜 離子之電鍍性浴池將銅合金電鍍在銅上 _1008 退火基底Copper is electroplated on a copper alloy at a second current density from a plating bath containing sulfuric acid, a sulfonic acid, copper ions and doped ions_1006 at a third current density from a sulfuric acid, a sulfonic acid, copper Ion and ion-doped electroplating bath plating copper alloy on copper_1008 annealing substrate 圖10Figure 10
TW090126739A 2000-11-15 2001-10-29 Copper alloy interconnections for integrated circuits and methods of making same TWI238459B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US71400300A 2000-11-15 2000-11-15

Publications (1)

Publication Number Publication Date
TWI238459B true TWI238459B (en) 2005-08-21

Family

ID=24868422

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090126739A TWI238459B (en) 2000-11-15 2001-10-29 Copper alloy interconnections for integrated circuits and methods of making same

Country Status (5)

Country Link
EP (1) EP1338031A2 (en)
CN (1) CN1575508A (en)
AU (1) AU2002239767A1 (en)
TW (1) TWI238459B (en)
WO (1) WO2002045142A2 (en)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7074709B2 (en) * 2002-06-28 2006-07-11 Texas Instruments Incorporated Localized doping and/or alloying of metallization for increased interconnect performance
US6841458B2 (en) * 2002-09-12 2005-01-11 Intel Corporation Dopant interface formation
AU2003272573A1 (en) * 2002-09-26 2004-04-19 Advanced Micro Devices, Inc. Method of forming a copper interconnect with concentrated alloy atoms at copper-passivation interface
US6858124B2 (en) 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US7147767B2 (en) 2002-12-16 2006-12-12 3M Innovative Properties Company Plating solutions for electrochemical or chemical deposition of copper interconnects and methods therefor
US6884338B2 (en) 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
JP4178295B2 (en) * 2004-07-14 2008-11-12 富士通マイクロエレクトロニクス株式会社 Semiconductor device having wiring made of copper and method of manufacturing the same
US7169700B2 (en) * 2004-08-06 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Metal interconnect features with a doping gradient
DE102005014748B4 (en) * 2005-03-31 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Technique for electrochemical deposition of a chemical order alloy
JP4589835B2 (en) 2005-07-13 2010-12-01 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and semiconductor device
CN100431106C (en) * 2005-09-26 2008-11-05 财团法人工业技术研究院 Method for forming interconnected electroplating lead wire of nano-carbon tube and metal composite material
EP1845554A3 (en) * 2006-04-10 2011-07-13 Imec A method to create super secondary grain growth in narrow trenches
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
DE102008033174B3 (en) * 2008-07-15 2009-09-17 Enthone Inc., West Haven Cyanide-free electrolyte composition for the electrodeposition of a copper layer and method for the deposition of a copper-containing layer
CN102116828B (en) * 2010-12-24 2015-10-28 上海集成电路研发中心有限公司 The defining method of electro-migration lifetime of interconnected lines
JP5667485B2 (en) * 2011-03-17 2015-02-12 ルネサスエレクトロニクス株式会社 Semiconductor device manufacturing method and semiconductor device
CN102956541B (en) * 2011-08-19 2015-11-25 中芯国际集成电路制造(上海)有限公司 A kind of method forming copper-connection
CN114121785A (en) * 2011-11-04 2022-03-01 英特尔公司 Method and apparatus for forming self-aligned caps
KR20210118981A (en) 2011-11-04 2021-10-01 인텔 코포레이션 Methods and apparatuses to form self-aligned caps
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
CN103943556A (en) 2014-04-28 2014-07-23 上海集成电路研发中心有限公司 Method for processing electrocoppering film used for semiconductor copper connection process
CN105845620A (en) * 2015-01-16 2016-08-10 中芯国际集成电路制造(上海)有限公司 Method of making copper interconnection structure, semiconductor device and electronic apparatus
CN111900175A (en) * 2020-07-29 2020-11-06 北海惠科光电技术有限公司 Display panel and manufacturing method thereof

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5385661A (en) * 1993-09-17 1995-01-31 International Business Machines Corporation Acid electrolyte solution and process for the electrodeposition of copper-rich alloys exploiting the phenomenon of underpotential deposition
US6268291B1 (en) * 1995-12-29 2001-07-31 International Business Machines Corporation Method for forming electromigration-resistant structures by doping
US6022808A (en) * 1998-03-16 2000-02-08 Advanced Micro Devices, Inc. Copper interconnect methodology for enhanced electromigration resistance
EP1112125B1 (en) * 1998-06-30 2006-01-25 Semitool, Inc. Metallization structures for microelectronic applications and process for forming the structures
KR100656581B1 (en) * 1998-09-03 2006-12-12 가부시키가이샤 에바라 세이사꾸쇼 Method for plating substrate and apparatus
US6123825A (en) * 1998-12-02 2000-09-26 International Business Machines Corporation Electromigration-resistant copper microstructure and process of making
KR100385042B1 (en) * 1998-12-03 2003-06-18 인터내셔널 비지네스 머신즈 코포레이션 Method for forming electromigration-resistant structures by doping
US6110817A (en) * 1999-08-19 2000-08-29 Taiwan Semiconductor Manufacturing Company Method for improvement of electromigration of copper by carbon doping
US6387806B1 (en) * 2000-09-06 2002-05-14 Advanced Micro Devices, Inc. Filling an interconnect opening with different types of alloys to enhance interconnect reliability

Also Published As

Publication number Publication date
WO2002045142A3 (en) 2003-06-05
AU2002239767A1 (en) 2002-06-11
CN1575508A (en) 2005-02-02
WO2002045142A2 (en) 2002-06-06
WO2002045142A9 (en) 2003-02-06
EP1338031A2 (en) 2003-08-27

Similar Documents

Publication Publication Date Title
TWI238459B (en) Copper alloy interconnections for integrated circuits and methods of making same
US6933230B2 (en) Method for making interconnects and diffusion barriers in integrated circuits
EP1346408B1 (en) Method of electroless introduction of interconnect structures
US8698318B2 (en) Superfilled metal contact vias for semiconductor devices
KR100386155B1 (en) Dual etch stop/diffusion barrier for damascene interconnects
TWI598996B (en) Methods for producing interconnects in semiconductor devices
US6207222B1 (en) Dual damascene metallization
TWI234846B (en) Method of forming multi layer conductive line in semiconductor device
US20050227479A1 (en) Post ECP multi-step anneal/H2 treatment to reduce film impurity
US6380083B1 (en) Process for semiconductor device fabrication having copper interconnects
JP2004040101A (en) Alloying and/or local-doping of metallization for improving wiring performance
US7268075B2 (en) Method to reduce the copper line roughness for increased electrical conductivity of narrow interconnects (&lt;100nm)
US20060001160A1 (en) Surface treatment of metal interconnect lines
KR101076927B1 (en) Structure of copper wiring in semiconductor device and method of forming the same
US7309651B2 (en) Method for improving reliability of copper interconnects
JPH11283979A (en) Manufacture of semiconductor device
KR100421913B1 (en) Method for forming interconnect structures of semiconductor device
US7843067B2 (en) Method and structure of integrated rhodium contacts with copper interconnects
KR100451767B1 (en) Method for forming interconnect structures of semiconductor device
CN112687610B (en) Interconnect structure and method of forming the same
KR101098275B1 (en) Method for forming metal line of semiconductor device
KR100451766B1 (en) Method for forming interconnect structures of semiconductor device
KR100772252B1 (en) Method for manufacturing the copper line
KR20020092731A (en) Method for forming metal line using the dual damascene process
KR20040058952A (en) Method of forming a metal wiring in a semiconductor device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees