TW593736B - Method of forming a thin film using atomic layer deposition - Google Patents

Method of forming a thin film using atomic layer deposition Download PDF

Info

Publication number
TW593736B
TW593736B TW090114867A TW90114867A TW593736B TW 593736 B TW593736 B TW 593736B TW 090114867 A TW090114867 A TW 090114867A TW 90114867 A TW90114867 A TW 90114867A TW 593736 B TW593736 B TW 593736B
Authority
TW
Taiwan
Prior art keywords
reactor
predetermined pressure
reactant
pressure
chemically adsorbed
Prior art date
Application number
TW090114867A
Other languages
Chinese (zh)
Inventor
Yeong-Kwan Kim
Young-Wook Park
Seung-Hwan Lee
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Application granted granted Critical
Publication of TW593736B publication Critical patent/TW593736B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T117/00Single-crystal, oriented-crystal, and epitaxy growth processes; non-coating apparatus therefor
    • Y10T117/10Apparatus
    • Y10T117/1004Apparatus with means for measuring, testing, or sensing
    • Y10T117/1008Apparatus with means for measuring, testing, or sensing with responsive control means

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

The present invention provides a method of forming a thin film using atomic layer deposition (ALD). An ALD reactor having a single reaction space is provided. A batch of substrates is concurrently loaded into the single reaction space of the ALD reactor. Then, a gas containing reactants is introduced into the single reaction space, and a portion of the reactants is chemisorbed on top surfaces of the batch of substrates within the single reaction space. Non-chemically adsorbed reactants are then removed from the single reaction space. In accordance with one embodiment of the present invention, after introducing the gas containing reactants, non-chemically adsorbed reactants are diluted in the single reaction space to facilitate the removal of non-chemically adsorbed reactants.

Description

593736 經濟部中央標隼局員工消費合作社印製 A7 B7 五、發明説明(1 ) 發明背景 1 ·發明領域 本發明有關半導體裝置之領域,尤其有關使用原子層沉 積(ALD)形成半導體裝置之薄膜的方法。 2·相關技藝描述 於技藝界高度積合半導體裝置中形成薄膜需要許多嚴格 之製造要求,諸如低熱預算、優越之階度覆蓋性、準確之 薄膜厚度控制、單純之程序變數、及低微粒污染。 習用以化學氣相沉積CVD爲主之方法諸如低壓化學氣相 沉積(LPCVD)、電漿增強化學氣相沉積(pECVD)不再適於 在符合製造需求的情況下於技藝界裝置中形成薄膜。例 如,於典型CVD方法中,薄膜係於相對高溫下沉積。此非 所期望狀況,因爲對該裝置具有負面熱影響之機率。而 且,該CVD薄膜經常具有缺點諸如不均勻之厚度,即厚度 沿著裝置表面而變化或微粒污染。 就LPCVD而言,LPCVD薄膜之氫含量通常相當高,其階 度覆蓋性經常令人無法接受。 已提供該原子層沉積(ALD)方法以作爲該習用薄膜形成 技術之備擇方法,因爲該ALD方法可於低於習用以CVD爲 主之方法的溫度下進行,亦具有優越之階度覆蓋性。 其中一個該種ALD方法技術係揭示於美國專利第 6,124,158號中。此情況下,導入第一種反應物,以與經處 理之表面進行反應,以形成反應物質之經鍵結單層。導入 第二種反應物,以與該表面進行反應,而形成所需之薄 -4- 本紙張尺度適用中國國家標準(CNS > M規格(210x297公釐) - ϋ^— m n—^ ml Halt i· I (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製 593736 A7 ____B7 五、發明説明(2 ) 膜。該周期的每個步驟之後,該反應槽皆使用惰性氣體清 洗,以防止除表面上以外之處進行反應。該反應物之提供 及Μ洗奴係於相同壓力下進行,因爲製造設備之保持性 的因素。 然而,該種習用之ALD技術亦具有數項缺點,諸如因爲 諸如原子層之相對低生長速率之問題所致之低通量。此 外’習用ALD反應器諸如移動式波型反應器之反應空間係 設計成極小,以減少用以清洗副產物等之清洗體積。因 此,習用ALD反應器僅於各個操作中處理一或兩個晶圓, 一般係於單一反應器中的一個操作中處理一基材。該項缺 點使得許多習用ALD技術難以實際應用及於工業上可接受 地大量生產。 近來,已進行數項嚐試,以增加該ALD方法的通量。其 中一項嚐試係揭示於美國專利第6,042,652號中。此情況 下,該ALD反應器係包括許多模組及許多反應空間(階 段),即由許多經組裝之模組所分隔之空間。例如,低模 組係置於一頂模組之下方,以於其間產生一反應空間(一 階段),其僅能容裝單一半導體基材。 然而,因爲各個反應2間(階段)小且被分隔,即彼此隔 離,故各基材係逐一嵌入該反應空間(階段)中之一中。因 此,難以採用自動化晶圓輸送機構以裝載/卸除該多個晶 圓。結果,装載/卸除晶圓花費相當長之時間。而且,^ 裝載及處理之晶圓數目仍不足。 疋故,顯然為要一種可得到高通量的新穎ALd方法,其 -5- i紙張尺度適用中國國家標準(CNS〉Α4規格(21〇χ297公廣) ' --- (請先閲讀背面之注意事項再填寫本頁) •裝- 訂 經濟部中央標準局負工消费合作社印製 593736 A7 _B7_____ 五、發明説明(3 ) 可解決前述問題,同時仍提供高品質薄膜。 發明概述 本發明提出一種使用原子層沉積(ALD)以形成薄膜的方 法。提供一種具有單一反應空間之反應器。同時將一批基 材裝置於該反應器之單一反應空間中。 之後,將含有氣體之反應物導入該單一反應空間中,部 分該反應物化學吸附於位在該單一反應空間内之該批基材 或晶圓的頂面上。隨之,自該單一反應空間移除非化學性 吸附之反應物。 根據本發明之一具體實例,在導入含有氣體之反應物之 後,該非化學性吸附之反應物於該單一反應空間中經稀 釋,以助於移除非化學性吸附之反應物。 而且,根據本發明另一具體實例,揭示一種形成薄膜之 方法’其中反應器係備有單一反應空間。將m屋二各 具有處理表面…導入該反應空間中。該多個晶圓之處理表 面基本上係面向同一取向。將第一種反應物導入該反應空 間中’使得該第一種反應物部分化學吸附於供Ald使用之 多個晶圓之處理表面上。之後,自該反應空間移除該第一 種反應物之非化學性吸附部分。其次,將第二種反應物導 入該反應空間中。而且,該第二種反應物係部分化學吸附 於該多個晶圓之各晶圓的處理表面上。之後,自該反應空 間移除該第二種反應物之非化學吸附部分。 二 由以下本發明參照附圖進行之較佳具體實例的詳述,可 進一步明瞭本發明之前述及其他目的、特色及優點。 -6 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公| ) (請先閲讀背面之注意事項再填寫本頁) -裝 593736 經濟部中央標準局員工消費合作社印製 A7 ----_____ B7五、發明説明(4 ) 圖式簡單説明 圖1係爲本發明之—具體實例的ALD反應器的示意剖面 圖0 圖2係爲本發明之—具體實例之ALD的各個步驟中,説明 ALD反應器之壓力的圖。 圖3A· 3D係説明本發明之一具體實例形成ald薄膜的程 序步驟。 圖4係爲説明本發明之一具體實例的程序條件的圖。 圖5係爲説明根據本發明之一具體實例所進行之ALD方法 的結果之圖。 圖6係爲説明根據本發明之一具體實例進行之ALD方法的 結果之圖。 詳細描述 本發明大體上在於一種藉ALD技術製造薄膜之方法,經 由該方法使通量較習用ALD技術大幅增高。 下文描述中’陳列許多特定之細節,以充分明瞭本發 明。然而’一般熟習該項技術者應已知本發明可在排除此 等特定細節的情況下進行。在部分情況下,並未詳細出示 眾所周知之程序步驟及技術,以避免模糊本發明之焦點。 下文中,描述本發明之一具體實例,一種使用ALD形成 薄膜的方法。 參照圖1,示意一種ALD反應器10,具有位於程序管11中 之單一反應空間12。反應器10之其他零件諸如加熱器被省 略以簡化説明。該ALD反應器10以爐型垂直反應器(垂直 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ297公釐) (請先閲讀背面之注意事項再填寫本頁) -裝. 訂593736 Printed by A7 B7, Consumer Cooperative of the Central Bureau of Standards, Ministry of Economic Affairs 5. Description of the Invention (1) Background of the Invention 1. Field of the Invention The present invention relates to the field of semiconductor devices, especially to the use of atomic layer deposition (ALD) to form thin films of semiconductor devices. method. 2. Description of related technologies Forming thin films in highly integrated semiconductor devices in the art world requires many stringent manufacturing requirements, such as low thermal budgets, superior step coverage, accurate film thickness control, simple process variables, and low particulate pollution. Chemical vapor deposition (CVD) -based methods such as low pressure chemical vapor deposition (LPCVD) and plasma enhanced chemical vapor deposition (pECVD) are no longer suitable for forming thin films in technical devices under manufacturing conditions. For example, in a typical CVD method, a thin film is deposited at a relatively high temperature. This is not an expected situation because of the chance of having a negative thermal impact on the device. Moreover, the CVD film often has disadvantages such as uneven thickness, i.e., thickness varies along the surface of the device or particulate contamination. As far as LPCVD is concerned, the hydrogen content of LPCVD films is usually quite high, and its degree of coverage is often unacceptable. The Atomic Layer Deposition (ALD) method has been provided as an alternative to the conventional thin film formation technology, because the ALD method can be performed at a temperature lower than the conventional CVD-based method, and also has excellent level coverage . One such ALD method technology is disclosed in U.S. Patent No. 6,124,158. In this case, the first reactant is introduced to react with the treated surface to form a bonded monolayer of the reactant. Introduce the second reactant to react with the surface to form the required thickness. -4- The paper size applies to Chinese national standards (CNS > M specification (210x297 mm)-ϋ ^ — mn— ^ ml Halt i · I (Please read the precautions on the back before filling this page) Order printed by the Consumers Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs to print 593736 A7 ____B7 V. Description of the invention (2) Membrane. After each step of the cycle, the reaction tank All are cleaned with an inert gas to prevent the reaction from occurring except on the surface. The supply of the reactants and the washing are performed under the same pressure because of the retention of manufacturing equipment. However, this conventional ALD technology It also has several disadvantages, such as low throughput due to issues such as the relatively low growth rate of the atomic layer. In addition, the reaction space of 'conventional ALD reactors, such as mobile wave reactors, is designed to be extremely small to reduce The cleaning volume of cleaning by-products, etc. Therefore, conventional ALD reactors only process one or two wafers in each operation, and generally process one in one operation in a single reactor. This shortcoming makes many conventional ALD techniques difficult to practically use and industrially acceptable for mass production. Recently, several attempts have been made to increase the throughput of the ALD method. One attempt was disclosed in US Patent No. No. 6,042,652. In this case, the ALD reactor includes many modules and many reaction spaces (stages), that is, a space separated by many assembled modules. For example, a low module is placed on a top module Underneath, a reaction space (one stage) is created between them, which can only accommodate a single semiconductor substrate. However, because each reaction 2 (stage) is small and separated, that is, isolated from each other, each substrate is one by one Embedded in one of the reaction spaces (stages). Therefore, it is difficult to use an automated wafer transfer mechanism to load / unload the plurality of wafers. As a result, it takes a considerable time to load / unload wafers. Also, ^ The number of wafers loaded and processed is still insufficient. Therefore, it is clear that a new ALd method that can obtain high throughput is adopted. Its -5- i paper size is applicable to Chinese national standards (CNS> Α4 specifications (21 (χ297 公 广) '--- (Please read the notes on the back before filling out this page) • Binding-Order printed by the Central Standards Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 593736 A7 _B7_____ 5. Description of the invention (3) can solve the aforementioned problems At the same time, high-quality films are still provided. SUMMARY OF THE INVENTION The present invention proposes a method for forming a film using atomic layer deposition (ALD). A reactor with a single reaction space is provided. A batch of substrates is installed in a single reactor. In the reaction space, a gas-containing reactant is introduced into the single reaction space, and a part of the reactant is chemically adsorbed on the top surface of the batch of substrates or wafers located in the single reaction space. Subsequently, non-chemically adsorbed reactants are removed from the single reaction space. According to a specific example of the present invention, after introducing a gas-containing reactant, the non-chemically adsorbed reactant is diluted in the single reaction space to help remove the non-chemically adsorbed reactant. Moreover, according to another embodiment of the present invention, a method of forming a thin film is disclosed 'wherein the reactor is provided with a single reaction space. Each of the two m houses has a treatment surface ... introduced into the reaction space. The processing surfaces of the multiple wafers are substantially oriented in the same orientation. Introducing the first reactant into the reaction space 'causes the first reactant to be partially chemically adsorbed on the processing surfaces of the plurality of wafers for use by Ald. Thereafter, the non-chemically adsorbed portion of the first reactant is removed from the reaction space. Second, a second reactant is introduced into the reaction space. Moreover, the second reactant system is partially chemically adsorbed on the processing surface of each of the plurality of wafers. Thereafter, the non-chemically adsorbed portion of the second reactant is removed from the reaction space. 2. The foregoing and other objects, features, and advantages of the present invention will be further clarified by the following detailed description of preferred embodiments of the present invention with reference to the accompanying drawings. -6-This paper size applies to Chinese National Standard (CNS) A4 (210X297) | (Please read the notes on the back before filling out this page)-Installed 593736 Printed by the Consumer Standards Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs --- -_____ B7 V. Description of the invention (4) Brief description of the drawings Figure 1 is a schematic sectional view of an ALD reactor of a specific example of the present invention 0 Figure 2 is of each step of the ALD of a specific example of the present invention, A diagram illustrating the pressure in an ALD reactor. Figs. 3A and 3D illustrate a procedure of forming an ald film according to a specific example of the present invention. FIG. 4 is a diagram illustrating program conditions of a specific example of the present invention. Fig. 5 is a graph illustrating the results of an ALD method according to a specific example of the present invention. Fig. 6 is a graph illustrating the results of an ALD method according to a specific example of the present invention. DETAILED DESCRIPTION The present invention is generally directed to a method for manufacturing a thin film by ALD technology, by which the throughput is greatly increased compared to the conventional ALD technology. Many specific details are shown in the description below to fully understand the present invention. However, one of ordinary skill in the art will recognize that the invention may be practiced without these specific details. In some cases, well-known procedures and techniques have not been shown in detail to avoid obscuring the focus of the present invention. Hereinafter, a specific example of the present invention is described, a method for forming a thin film using ALD. Referring to FIG. 1, there is shown an ALD reactor 10 having a single reaction space 12 in a process tube 11. As shown in FIG. Other parts of the reactor 10 such as a heater are omitted to simplify the description. The ALD reactor 10 is a furnace-type vertical reactor (vertical. The paper size applies the Chinese National Standard (CNS) A4 specification (21 × 297 mm). (Please read the precautions on the back before filling out this page).)

593736 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(5 ) " ^ — 取向)爲佳’與美國專利第5,217,34〇號及第5,112,641號所 出示之習用LPCVD爐㈣。Μ,在本發明精神及範圍可 置換性地使用適於進行本發明之任何其他類型的反應器, 諸如水平取向者。 根據本發明,反應芝間丨2可意指置入基材丨5(或晶圓)且 發生ALD之各種程序的空間。而且,於本發明中,該單一 反應2間12並未分隔或隔離。此點異於習用反應器之反應 空間,諸如美國專利第6,〇42,552號及第ό,015,590號所出示 者,其中一 ALD反應器有多個(分隔)反應空間。此等習用 ALD反應器中,尤其是於美國專利第6,〇15,59〇號中,因爲 該多個(刀隔)反應空間各具有極狹有之剖面,以使得到清 洗效率之反應空間的體積縮至最小,可置於各個反應空間 中之基材數目極小,例如每個反應空間有一或兩個基材。 而且’因爲前述之結構限制,習用ALD反應器於此方面限 制了可置入反應器中之基材的總數。例如,美國專利第 6,〇42,652號所出示之形成各個反應空間的模組本身可於該 反應内聚得大量空間或體積。此等情況可大幅降低該ald 方法的通量。 然而,於本發明中,因爲該爐型ALD反應器10具有大型 體積單一反應空間12,而未經分隔,故該ALD反應器1〇中 可容納多於一百(1〇〇)片基材,如圖1所示。因此,—次 ALD操作所處理之基材數量大幅增加(大幅增加通量)。 處理上層形成有ALD薄膜之基材15時,實質同時地將一 批料14基材15置入該ALD反應器10之單一反應空間12中, (請先閲讀背面之注意事項再填寫本頁) - - mi 1 m HI Γ_ mi *- i ·雪囂 Ϊ1Χ -I— JL· 訂 -8 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 593736 經濟部中央標準局員工消費合作杜印製 A7 B7 五、發明説明(6 ) t圖1所t/本發明中,—批料14可意指置人該反應器10 進仃一單次ALD操作,以於該基材15上形成薄膜的基材 總數。根據本發明之一具體實例,該一批料14較佳係包含 約125- 135片基材。每片基材15皆具有處理表面口,以位 於其頂部爲佳。 本發月ALD方法中,在該基材丨5裝載/卸除期間,該基材 15批料14較佳係使用自動(即非手動)晶圓輸送機構18裝載 於ALD反應器10上爲佳,如圖"斤説明。該自動晶圓輸送 機構18可爲美國專利第5,217,34〇號及第5,U2,64i號所揭示 者。然而,在本發明精神及範圍内,可置換地使用適於進 行本發明之任何其他類型自動晶圓輸送機構。 換言之,於本發明中,因爲供單一 ALD操作使用之所有 產物基材15皆可置入單一反應空間12中,非分佈於一反應 器中之數個反應空間之間,故基材15之批料14的裝載/卸 除可自動且迅速地藉由晶圓輸送機構18完成。尤其,基材 15批料14係依預定方式排列,且嵌入舟皿19中。該舟孤 19-一般由石英或其他習用材料形成…之内表面上具有許 多溝槽,以容納各個基材15。裝有基材批料14之舟皿19置 入該ALD反應器1〇中,因此同時將基材15批料14裝載於該 ALD反應器10之單一反應空間12中,如圖1所示。此情況 下’該基材15之實質所有頂面17皆面向相同取向,以進行 自動化晶圓輸送。 此提供一重要之超越習用ALD技術諸如美國專利第 6,015,590號所揭示者之優點,尤其是通量,該習用技術中 -9- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ^--h--------^裝丨| (請先閲讀背面之注意事項再填寫本頁) *11 593736 經濟部中央橾準局員工消費合作社印裝 Α7 Β7 五、發明説明(7) 晶圓頂面係面向不同取向,因此自動化晶圓輸送極爲麻煩 或不可能。因此,於習用ALD技術中,僅有少數基材,大 部分情況下係一個,可逐一置於各反應空間中。此因該基 材需分佈於反應器中之數個反應空間之間,而該分佈幾乎 不可能或完全無法完成。此對於美國專利第6,〇42,652號所 揭示之習用ALD技術而言亦然,其中多個圓形半導體基材 係逐一輸送至該反應空間(階段)中,如前文背景中所述。 此種整體裝載過程花費長周期時間,大幅降低通量,因此 限制該ALD方法的工業應用。 如圖3A所示,根據習用ALD技術之方式,第一反應物40 或含有氣體之第一反應物係導入(給料步驟)通過圖1之輸 入16,諸如ALD反應器1〇之氣體供料線(未示),而進入該 單一反應空間12。因此,該第一反應物40部分化學性吸附 (化學吸附)於該單一反應空間12内之基材15批料14的處理 表面17上。如圖2所示,給料步驟31以於第一預定壓力P1 下進行爲佳,介於約〇·1托耳及约0.5托耳之間。 另一方面,於本發明中,爲了進一步增加ALD之通量, ALD之清洗時間需縮短。此因該清洗時間通常係視反應器 之體積而定。因爲本發明採用具有大型體積之爐型反應 器,故清洗體積實質上大於習用ALD技術,諸如美國專利 第6,042,552號或第6,015,590號所示之移動式波型裝置。 爲解決此項問題,根據本發明之一具體實例,在導入該 第一反應物40以有效地縮短清洗時間之後,該第一反應物 40之非化學吸附部分係於自ALD反應器1〇移除該第一反應 -10- 本紙張尺度適用中國國家標準(CNS ) Α4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁)593736 Printed by the Consumer Standards Cooperative of the Central Bureau of Standards of the Ministry of Economic Affairs A7 B7 V. Description of invention (5) " ^ — Orientation is better 'and the conventional LPCVD furnace shown in US Patent Nos. 5,217,340 and 5,112,641 Alas. M, within the spirit and scope of the present invention, any other type of reactor suitable for carrying out the present invention may be used interchangeably, such as a horizontally oriented one. According to the present invention, the reaction cell 2 may mean a space in which a substrate 5 (or a wafer) is placed and various procedures of ALD occur. Moreover, in the present invention, the single reaction 2 to 12 is not separated or isolated. This point is different from the reaction space of conventional reactors, such as those shown in U.S. Patent Nos. 6,042,552 and 6,015,590, in which one ALD reactor has multiple (separated) reaction spaces. In these conventional ALD reactors, especially in US Pat. No. 6,015,590, the plurality of (knife-separated) reaction spaces each have extremely narrow cross-sections, so that the reaction space to the cleaning efficiency is achieved The volume is reduced to a minimum, and the number of substrates that can be placed in each reaction space is extremely small, such as one or two substrates in each reaction space. And because of the aforementioned structural limitations, the conventional ALD reactor in this respect limits the total number of substrates that can be placed in the reactor. For example, the module forming each reaction space shown in U.S. Patent No. 6,042,652 itself can accumulate a large amount of space or volume within the reaction. These conditions can significantly reduce the throughput of the ald method. However, in the present invention, because the furnace-type ALD reactor 10 has a large-volume single reaction space 12 without partitioning, the ALD reactor 10 can accommodate more than one hundred (100) substrates. ,As shown in Figure 1. As a result, the number of substrates processed in one ALD operation has increased significantly (significantly increased throughput). When processing the substrate 15 with the ALD film formed on the upper layer, a batch of the substrate 14 is placed into the single reaction space 12 of the ALD reactor 10 at the same time. (Please read the precautions on the back before filling this page) --mi 1 m HI Γ_ mi *-i · XuehuoΪ1 × -I— JL · Order-8-This paper size applies to China National Standard (CNS) A4 (210X297 mm) 593736 Employees ’cooperation with the Central Standards Bureau of the Ministry of Economic Affairs Du printed A7 B7 V. Description of the invention (6) t Figure 1 t / In the present invention,-batch 14 can mean that the reactor 10 is put into a single ALD operation on the substrate 15 The total number of substrates forming the film. According to a specific embodiment of the present invention, the batch 14 preferably comprises about 125 to 135 substrates. Each of the substrates 15 has a treatment surface opening, preferably on the top thereof. In this month's ALD method, during the loading / unloading of the substrate 5, the batch 15 of the substrate 14 is preferably loaded on the ALD reactor 10 using an automatic (ie, non-manual) wafer transfer mechanism 18. As shown in the figure. The automatic wafer transfer mechanism 18 may be disclosed in U.S. Patent Nos. 5,217,340 and 5, U2,64i. However, within the spirit and scope of the present invention, any other type of automatic wafer transfer mechanism suitable for carrying out the present invention may be used interchangeably. In other words, in the present invention, because all the product substrates 15 for a single ALD operation can be placed in a single reaction space 12 and not distributed between several reaction spaces in a reactor, the batch of substrate 15 The loading / unloading of the material 14 can be performed automatically and quickly by the wafer transfer mechanism 18. In particular, the base material 15 batches 14 are arranged in a predetermined manner and embedded in the boat 19. The boat solitary 19-generally formed of quartz or other conventional materials ... has a plurality of grooves on its inner surface to accommodate each substrate 15. The boat 19 containing the substrate batch 14 is placed in the ALD reactor 10, so the substrate 15 batch 14 is simultaneously loaded into a single reaction space 12 of the ALD reactor 10, as shown in FIG. In this case, 'substantially all the top surfaces 17 of the substrate 15 face the same orientation for automated wafer transfer. This provides an important advantage over conventional ALD technologies, such as those disclosed in US Patent No. 6,015,590, especially the flux. The conventional technology in -9- this paper size applies the Chinese National Standard (CNS) A4 specification (210X297 mm) ^-h -------- ^ Equipment 丨 | (Please read the notes on the back before filling in this page) * 11 593736 Printed by the Consumers' Cooperatives of the Central Government Bureau of the Ministry of Economic Affairs Α7 Β7 V. Description of the invention ( 7) The top surface of the wafer is oriented differently, so automated wafer transfer is extremely cumbersome or impossible. Therefore, in the conventional ALD technology, there are only a few substrates, most of which are one and can be placed in each reaction space one by one. This is because the substrate needs to be distributed between several reaction spaces in the reactor, and the distribution is almost impossible or completely impossible. This is also true for the conventional ALD technology disclosed in U.S. Patent No. 6,040,652, in which a plurality of circular semiconductor substrates are conveyed one by one into the reaction space (stage), as described in the background above. Such an overall loading process takes a long cycle time, which greatly reduces the throughput, thus limiting the industrial application of the ALD method. As shown in FIG. 3A, according to the conventional ALD technology, the first reactant 40 or the first reactant system containing gas is introduced (feeding step) through the input 16 of FIG. 1, such as the gas supply line of the ALD reactor 10 (Not shown) and enter the single reaction space 12. Therefore, the first reactant 40 is partially chemically adsorbed (chemically adsorbed) on the processing surface 17 of the substrate 15 batch 14 in the single reaction space 12. As shown in FIG. 2, the feeding step 31 is preferably performed at a first predetermined pressure P1, which is between about 0.1 Torr and about 0.5 Torr. On the other hand, in the present invention, in order to further increase the flux of ALD, the cleaning time of ALD needs to be shortened. This is because the cleaning time usually depends on the volume of the reactor. Because the present invention uses a furnace-type reactor having a large volume, the cleaning volume is substantially larger than a conventional ALD technology, such as a mobile wave device shown in U.S. Patent No. 6,042,552 or 6,015,590. To solve this problem, according to a specific example of the present invention, after introducing the first reactant 40 to effectively shorten the cleaning time, the non-chemically adsorbed portion of the first reactant 40 is moved from the ALD reactor 10 In addition to this first reaction-10- This paper size applies Chinese National Standard (CNS) Α4 specification (210 X 297 mm) (Please read the precautions on the back before filling this page)

經濟部中央標準局員工消費合作社印製 593736 A7 B7______ 五、發明説明(8 ) 物40之非化學吸附部分之前,於該單一反應空間12中稀 釋。此情況下,該第一反應物40之非化學吸附部分係包括 物理性吸附(物理吸附)之反應物,即第一反應物40物理性 吸附於且鬆弛地保持於該第一反應物40之化學吸附部分上 或位於該ALD反應器10内之任何殘留反應性物質上。 就圖2之稀釋步驟33而言,圖1所示之ALD反應器10係包 括連接於排氣管25或粗加工管之壓力控制閥21,以自該 ALD反應器10移除該第一反應物40之經稀釋非化學吸附部 分。該排氣管25係連接於泵23,以自該反應器10卸除該第 一反應物40的非化學吸附部分。於該稀釋步驟33期間,控 制閥21實質關閉,惰性氣體經由輸入16提供於該反應器10 中,而該第一反應物40導入該ALD反應器10之過程實質停 止。即,ALD反應器10之排氣管25的傳導降低。 或於稀釋步驟33期間,將含量實質高於第一反應物40之 量的惰性氣體導入該ALD反應器1〇中,同時終止將該第一 反應物40導入該反應器10中。 如圖2所示,較佳係於該第一反應物4〇之非化學吸附部分 的稀釋期間,該反應器壓力自第一預定潘力P1增加至第二 預定壓力P2,因此該第二預定壓力P2係高於該第一預定壓 力P1。該第二預定壓力P2以較該第一預定壓力P1高約15倍 爲佳。 此等步驟使得該反應器10中之第一反應物4〇的非化學吸 附部分於短時間内被稀釋,例如數秒鐘内,因此在與習用 ALD技術比較之下,清洗步驟32之整體清洗時間及清洗效 -11 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210^7^57 (請先閲讀背面之注意事項再填寫本頁) * ϋ— muPrinted by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 593736 A7 B7______ V. Description of Invention (8) The non-chemically adsorbed part of substance 40 is diluted in this single reaction space 12. In this case, the non-chemically adsorbed portion of the first reactant 40 includes a physically adsorbed (physically adsorbed) reactant, that is, the first reactant 40 is physically adsorbed and held loosely in the first reactant 40. On the chemisorption portion or on any remaining reactive species within the ALD reactor 10. As for the dilution step 33 in FIG. 2, the ALD reactor 10 shown in FIG. 1 includes a pressure control valve 21 connected to the exhaust pipe 25 or a roughing pipe to remove the first reaction from the ALD reactor 10. The diluted non-chemically adsorbed portion of the product 40. The exhaust pipe 25 is connected to a pump 23 to remove the non-chemically adsorbed portion of the first reactant 40 from the reactor 10. During the dilution step 33, the control valve 21 is substantially closed, the inert gas is provided in the reactor 10 through the input 16, and the process of introducing the first reactant 40 into the ALD reactor 10 is substantially stopped. That is, the conduction of the exhaust pipe 25 of the ALD reactor 10 is reduced. Or, during the dilution step 33, an inert gas having a content substantially higher than that of the first reactant 40 is introduced into the ALD reactor 10, and the introduction of the first reactant 40 into the reactor 10 is terminated at the same time. As shown in FIG. 2, preferably during the dilution period of the non-chemically adsorbed portion of the first reactant 40, the reactor pressure is increased from the first predetermined Pan force P1 to the second predetermined pressure P2, so the second predetermined The pressure P2 is higher than the first predetermined pressure P1. The second predetermined pressure P2 is preferably about 15 times higher than the first predetermined pressure P1. These steps allow the non-chemically adsorbed portion of the first reactant 40 in the reactor 10 to be diluted in a short time, such as within a few seconds. Therefore, compared with the conventional ALD technology, the overall cleaning time of the cleaning step 32 And cleaning effect-11-This paper size applies to China National Standard (CNS) A4 specifications (210 ^ 7 ^ 57 (please read the precautions on the back before filling this page) * ϋ— mu

*1T 經濟部中央標準局員工消費合作社印製 593736 A7 B7_ 五、發明説明(9 ) 率大幅降低。此種稀釋過程大幅降低該ALD反應器1〇中第 一反應物40的非化學吸附部分之分壓。因此,在移除該第 一反應物40之非化學吸附部分之後,因爲反應物4〇已被稀 釋,故僅有極少量之第一反應物40的非化學吸附部分殘留 於該反應器10中,因此使清洗效率最大化。而且,因爲該 第一反應物40被稀釋,故可有效地防止該第一反應物4〇之 間之相互混合。 而且,如圖3B所示,該第一反應物40之經稀釋非化學吸 附部分於導入第二反應物42(給料步驟35)之前自該單一反 應空間12移除(抽空)該第一反應物40的經稀釋非化學吸附 部分,以藉化學交換形成圖3D所需之ALD薄膜44。故該第 一反應物40之非化學吸附部分的移除以使用泵23泵抽該反 應器10進行爲佳,以降低該反應器10之壓力至第三預定壓 力P3 (參照圖2)。該第三預定壓力P3係低於給料步驟31的 第一預定壓力P1。較佳係該第三預定壓力P3係較該第一預 定壓力P1低約0.5倍。 此步驟中,該壓力降低該第三預定壓力P3的過程可藉著 停止或減少該惰性氣體之導入及開啓控制閥21而完成。 即,排氣管線之傳導增加。 現在參照圖3C,該第二反應物42係導入該反應空間12 中,因此,該第二反應物42部分化學吸附於該基材15之批 料14的處理表面17上,以進行化學交換。當然,稀釋步驟 37以於該第二反應物42給料之後進行爲佳。 現在參照圖3D,該第二反應物42之非化學吸附部分使用 -12- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 装· 訂 593736 A7 _B7_._ 五、發明説明(1〇 ) 與前述在移除步驟34中施加於該第一反應物40者相同之方 法自該反應空間12移除(圖2之移除步驟38)。 前述導入該第一及第二反應物40,42及自反應空間12移 除該反應物40,42之非化學吸附部分的步驟可重複以達成 所需之薄膜厚度。 已知本發明所使用之清洗方法與反應物種類無關,因此 可用以形成各種ALD薄膜。該ALD薄膜有例如Al2〇3、 Ti02、Zr02、Hf02、Ta205、Nb205、Ce02、Y203、Si02、 ln203、Ru02或Ir02之氧化物層。其他實例如下:SrTi03、 PbTi03、SrRu03、CaRu03、(Ba,Sr)Ti03、Pb(Zr,Ti)03、 (Pb,La)(Zr,Ti)03、(Sr,Ca)Ru03、(Ba,Sr)Ru03、經 Sn摻 雜之In203(IT0)、經Fe摻雜之ln203、或經Zr摻雜之ln203之 複合氧化物層;SiN、NbN、ZrN、TiN、TaN、Ya3N5、 AIN、GaN、WN、或 BN 之氮化物層;WBN、WSiN、 TiSiN、TaSiN或 AlTiN之複合·氮化物層;Si、A卜 Cu、Ti、 Ta、Mo、Pt、Ru、Rh、Ir、W或 Ag之金屬層;A1、W、Ti或 Co之矽化物層;及金屬矽酸鹽材料(MuSixOJ。其中,金 屬,’M” 可爲铪(Hf)、錘(Zr)、妲(Ta)、鈦(Ti)、铯(Cs)或 鋁(A1)。熟習該項技術者已知該列示不具獨佔性及排他 性,絕不7艮制本發明之範圍。 實施例1* 1T Printed by the Consumer Cooperatives of the Central Standards Bureau of the Ministry of Economic Affairs 593736 A7 B7_ V. Description of invention (9) The rate has been significantly reduced. This dilution process greatly reduces the partial pressure of the non-chemically adsorbed portion of the first reactant 40 in the ALD reactor 10. Therefore, after the non-chemically adsorbed portion of the first reactant 40 is removed, only a small amount of the non-chemically adsorbed portion of the first reactant 40 remains in the reactor 10 because the reactant 40 has been diluted. , Thus maximizing cleaning efficiency. Moreover, since the first reactants 40 are diluted, it is possible to effectively prevent the first reactants 40 from being mixed with each other. Moreover, as shown in FIG. 3B, the diluted non-chemically adsorbed portion of the first reactant 40 is removed (evacuated) from the single reaction space 12 before being introduced into the second reactant 42 (feeding step 35). The diluted non-chemically adsorbed portion of 40 is used to form the ALD film 44 required by FIG. 3D by chemical exchange. Therefore, it is better to remove the non-chemically adsorbed portion of the first reactant 40 by using the pump 23 to pump the reactor 10 to reduce the pressure of the reactor 10 to a third predetermined pressure P3 (refer to FIG. 2). The third predetermined pressure P3 is lower than the first predetermined pressure P1 in the feeding step 31. Preferably, the third predetermined pressure P3 is about 0.5 times lower than the first predetermined pressure P1. In this step, the process of reducing the pressure by the third predetermined pressure P3 can be completed by stopping or reducing the introduction of the inert gas and opening the control valve 21. That is, the conductance of the exhaust line increases. Referring now to FIG. 3C, the second reactant 42 is introduced into the reaction space 12, so that the second reactant 42 is partially chemically adsorbed on the processing surface 17 of the batch 14 of the substrate 15 for chemical exchange. Of course, the dilution step 37 is preferably performed after the second reactant 42 is fed. Referring now to FIG. 3D, the non-chemically adsorbed portion of the second reactant 42 is used. -12- This paper size applies to China National Standard (CNS) A4 specifications (210X297 mm) (Please read the precautions on the back before filling this page) Binding · Order 593736 A7 _B7 _._ 5. Description of the invention (10) The same method as that applied to the first reactant 40 in the removing step 34 is removed from the reaction space 12 (the removing step of FIG. 2) 38). The aforementioned steps of introducing the first and second reactants 40, 42 and removing the non-chemically adsorbed portion of the reactants 40, 42 from the reaction space 12 may be repeated to achieve a desired film thickness. It is known that the cleaning method used in the present invention is independent of the kind of the reactant, and thus can be used to form various ALD films. The ALD film has, for example, an oxide layer of Al203, Ti02, Zr02, Hf02, Ta205, Nb205, Ce02, Y203, Si02, In203, Ru02, or Ir02. Other examples are as follows: SrTi03, PbTi03, SrRu03, CaRu03, (Ba, Sr) Ti03, Pb (Zr, Ti) 03, (Pb, La) (Zr, Ti) 03, (Sr, Ca) Ru03, (Ba, Sr ) Ru03, Sn-doped In203 (IT0), Fe-doped ln203, or Zr-doped ln203 composite oxide layer; SiN, NbN, ZrN, TiN, TaN, Ya3N5, AIN, GaN, WN Or nitride layer of BN; WBN, WSiN, TiSiN, TaSiN, or AlTiN compound / nitride layer; Si, AB, Cu, Ti, Ta, Mo, Pt, Ru, Rh, Ir, W, or Ag metal layer ; Silicide layers of A1, W, Ti or Co; and metal silicate materials (MuSixOJ. Among them, the metal, 'M' can be hafnium (Hf), hammer (Zr), hafnium (Ta), titanium (Ti) , Cesium (Cs) or aluminum (A1). Those skilled in the art know that the listing is not exclusive and exclusive, and will never make the scope of the present invention. Example 1

SiN薄膜係藉本發明ALD方法沉積。所使用之反應物係爲 藉遠距電漿(400瓦)活化之DCS (SiCl2H2)及NH3氣體。沉積 溫度係爲375°C。反應物之流速係DCS爲500 seem且NH3爲 -13- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 593736 經濟部中央標隼局員工消費合作社印裝 A7 B7 五、發明説明(11 ) 2000 seem。在移除非化學吸附之反應物之前進行稀釋時, 將5000 seem之>12氣體導入反應器中。DCS供料、DCS清 洗、NH3供料及NH3清洗的各步驟之時間及壓力係列於表i 中,另外説明於圖4中。而且,圖5係説明前述ALD方法的 結果。 表1 項目 DCS給料 DCS清洗 NH3給料 NH3清洗 稀釋 移除 稀釋 移除 壓力 <0.1— 2.0 2.0— >10-> <0.1— 0.25 0.25—> >10— (托耳) 2.0 >10 <0.1 0.25 >10 <0.1 時間(秒) 7.5 10 4 6 1.5 10 4 6 則述ALD方法之生長速率係爲i埃/周期,得到良好之 ALD處理特性。 此外,已發現不使用本發明清洗方法時,會產生以下問 通。首先,若使用惰性氣體諸如Ar或N2於與反應物給料步 驟相同之壓力下進行清洗步驟,則反應器中可保留實質量 之惰性氣體。降低該反應物之分壓。是故,增加後續給料 步驟之反應物給料時間。此外,該清洗時間亦增加。其 /人’右該、’目洗係僅藉著果抽進行-如同本發明之一且體實 例般地,在泵抽之前未進行稀釋_則該泵抽花費明顯較長 時間。 實施例2 HCD(Si2Cl6)儲存於室溫下,使用5〇〇 sccmiN2氣體作爲載 體氣體而導入反應器中。之後,該清洗係藉著使用5〇〇〇 sccm -14 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁)The SiN film is deposited by the ALD method of the present invention. The reactants used were DCS (SiCl2H2) and NH3 gas activated by remote plasma (400 watts). The deposition temperature is 375 ° C. The flow rate of the reactants is 500 seem with DCS and -13 with NH3. This paper size is applicable to the Chinese National Standard (CNS) A4 specification (210X297 mm) 593736 Printed by the Consumer Cooperatives of the Central Standardization Bureau of the Ministry of Economic Affairs. (11) 2000 seem. When diluting before removing non-chemically adsorbed reactants, 5000 seem > 12 gas was introduced into the reactor. The time and pressure series of each step of DCS feeding, DCS cleaning, NH3 feeding and NH3 cleaning are shown in Table i, and they are also described in FIG. 4. Moreover, Fig. 5 illustrates the results of the aforementioned ALD method. Table 1 Items DCS feed DCS cleaning NH3 feed NH3 cleaning dilution removal dilution removal pressure < 0.1- 2.0 2.0- > 10- > < 0.1- 0.25 0.25- > > 10- (Torr) 2.0 > 10 < 0.1 0.25 > 10 < 0.1 Time (seconds) 7.5 10 4 6 1.5 10 4 6 Then the growth rate of the ALD method is i Angstrom / period, and good ALD processing characteristics are obtained. In addition, it has been found that when the cleaning method of the present invention is not used, the following problems arise. First, if an inert gas such as Ar or N2 is used to perform the cleaning step at the same pressure as the reactant feeding step, a solid mass of the inert gas can be retained in the reactor. Reduce the partial pressure of the reactants. Therefore, increase the reactant feeding time in the subsequent feeding step. In addition, the cleaning time is increased. It is only by fruit pumping-like one of the present invention and, as a practical example, that no dilution is performed before pumping-the pumping takes significantly longer. Example 2 HCD (Si2Cl6) was stored at room temperature and introduced into a reactor using 500 sccmiN2 gas as a carrier gas. After that, the cleaning was performed by using 5000 sccm -14. This paper size is applicable to China National Standard (CNS) A4 (210X 297 mm) (Please read the precautions on the back before filling this page)

訂 593736 經濟部中央榡準局員工消費合作衽印製 A7 B7五、發明説明(12) < %氣體稀釋非化學吸附反應物,之後自該反應器泵除 (移除)該非化學吸附反應物而進行。其次,提供2〇〇〇 sccm 之遠距電漿(400瓦)NH3,藉5000 sccmiN2氣體稀釋非化學 吸附反應物,之後自反應器泵除(移除)該非化學吸附反應 物,而完成該清洗。 此情況下,HCD係提供於該反應器歷經2〇秒。該反應器 壓力係自0.1托耳變成2托耳,之後保持於2托耳。清洗期間 之壓力係於稀釋步驟(4秒)中由2托耳變成1〇托耳,之後於 泵抽(6秒)中降低至〇.丨托耳。提供NH3(3(^>、)及泵抽(4+6 秒)係使用前述方式進行。圖6係説明前述ALD方法的結果。 生長速率係爲2.3埃/周期,而得到良好ALD處理特性。 前述本發明特性有部分如下: 1·給料步驟期間之反應器壓力及泵抽步驟期間者可相 異。 2·不同反應物於各給料步驟之反應器壓力可實質相同或 相異。 3.可發現泵抽步驟係包括一稀釋步驟,其中該反應器壓 力係自反應物給料步驟中之壓力增加,及一移除或抽除步 驟’其壓力係降低至低於反應物給料步驟期間之壓力。 使用此等特色,可得到以下效果。 1 ·各反應物之給料步驟係與分壓及時間有關(如Langmuire 所説明之反應物曝露相依性)。是故,藉著於反應物給料 期間增加所提供之反應物的分壓,則可縮短處理時間。 (請先閲讀背面之注意事項再填寫本頁) -ϋ^ I I n^i m m Γϋ ϋ— sal m 1 J —ϋ am -Order 593736 Consumption cooperation with employees of the Central Bureau of Standards of the Ministry of Economic Affairs print A7 B7 V. Description of the invention (12) <% gas dilutes non-chemically adsorbed reactants, and then pumps (removes) the non-chemically adsorbed reactants from the reactor And proceed. Secondly, a 200 sccm long-range plasma (400 watts) of NH3 was provided, and the non-chemically adsorbed reactant was diluted by 5000 sccmiN2 gas, and then the non-chemically adsorbed reactant was pumped (removed) from the reactor to complete the cleaning. . In this case, HCD was supplied to the reactor for 20 seconds. The reactor pressure was changed from 0.1 Torr to 2 Torr, and then maintained at 2 Torr. The pressure during washing was changed from 2 Torr to 10 Torr during the dilution step (4 seconds), and then reduced to 0.1 Torr during pumping (6 seconds). Providing NH3 (3 (^ >,) and pumping (4 + 6 seconds) was performed using the aforementioned method. Figure 6 illustrates the results of the aforementioned ALD method. The growth rate was 2.3 Angstroms / cycle, and good ALD processing characteristics were obtained The characteristics of the present invention are as follows: 1. The reactor pressure during the feeding step and the pumping step may be different. 2. The reactor pressure of different reactants at each feeding step may be substantially the same or different. 3. It can be found that the pumping step includes a dilution step, wherein the reactor pressure is increased from the pressure in the reactant feeding step, and a removal or pumping step, whose pressure is reduced to a pressure lower than the pressure during the reactant feeding step Using these features, the following effects can be obtained: 1 · The feeding step of each reactant is related to the partial pressure and time (such as the dependency of the reactant exposure as described by Langmuire). Therefore, by increasing the reactant feeding period The partial pressure of the reactants provided can shorten the processing time. (Please read the precautions on the back before filling this page) -ϋ ^ II n ^ imm Γϋ ϋ — sal m 1 J —ϋ am-

、1T 線 -15- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X 297公釐) 593736 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(13) '' 2應保持定壓之習用則方法不同地,各反應物之仏 之壓:係於清洗之後完成。因此,可自較低壓力得到所需 3·當孩清洗係於具有大型體積之反應器内進行時, 加U丨生氣體,以稀釋該反應物。之後,進行泵抽,以於 周期時間内得到所需之清洗效果。 、a 總足,本發明提供許多優於習用ALD技術之優點,克服 〃午多習用ALD技術之缺點。例如,本發明大幅增加該ald 方法之通量。尤其,根據本發明較佳具體實例,因爲本發 明之爐型ALD反應器具有大型體積而未分隔之單一反應空 間’故其一次可容裝且處理多於100片基材,實質上多於 任何其他習用ALD技術。而且,因爲單一ALD方法所使用 之所有產物晶圓皆可置入單一反應空間中,不分佈於一反 應器中之數個反應空間中,該基材批料之裝載/卸除可藉 自動晶圓輸送機構自動且迅速地完成。此外,非化學吸附 反應物係於自該反應空間移除非化學吸附反應物之前,於 單一反應2間中稀釋,該清洗時間可大幅縮短,而使清洗 效果最大化。 除此等優點之外,本發明ALD反應器較習用ALD反應器 平價且較易維修。因此,本發明ALD方法增加通量及產 能,以便可使用ALD進行大量生產。 使用較佳具體實例描述且説明本發明原理之後,已知本 發明可在不偏離該原理的情況之下,修飾其配置及細節。 吾人申請涵蓋於本發明精神及範圍内之修飾及變化。 -16- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐〉 (請先閲讀背面之注意事項再填寫本頁) •裝· 訂 ·線1T line -15- This paper size applies to Chinese National Standard (CNS) A4 (210X 297 mm) 593736 Printed by the Consumers' Cooperative of the Central Standards Bureau of the Ministry of Economic Affairs A7 B7 5. Invention Description (13) '' 2 should be kept fixed The conventional method of pressure is different. The pressure of each reactant is completed after washing. Therefore, the required pressure can be obtained from a lower pressure. 3. When the cleaning is carried out in a reactor with a large volume, U? Gas is added to dilute the reactant. After that, the pump is pumped to obtain the desired cleaning effect within the cycle time. In general, the present invention provides many advantages over the conventional ALD technology, and overcomes the shortcomings of the conventional ALD technology at noon. For example, the present invention significantly increases the throughput of the ald method. In particular, according to the preferred embodiment of the present invention, because the furnace-type ALD reactor of the present invention has a large volume without a single reaction space, it can hold and process more than 100 substrates at a time, substantially more than any Other conventional ALD technologies. Moreover, because all product wafers used in a single ALD method can be placed in a single reaction space and not distributed among several reaction spaces in a reactor, the loading / unloading of the substrate batch can be performed by automatic crystallisation. The round conveying mechanism is completed automatically and quickly. In addition, the non-chemically adsorbed reactants are diluted in a single reaction room 2 before the non-chemically adsorbed reactants are removed from the reaction space, and the cleaning time can be greatly shortened to maximize the cleaning effect. In addition to these advantages, the ALD reactor of the present invention is cheaper and easier to maintain than conventional ALD reactors. Therefore, the ALD method of the present invention increases throughput and productivity so that ALD can be used for mass production. After describing and explaining the principle of the present invention using preferred specific examples, it is known that the present invention can modify its configuration and details without departing from the principle. My application covers modifications and variations that fall within the spirit and scope of the invention. -16- This paper size applies to Chinese National Standard (CNS) A4 (210X297 mm) (Please read the precautions on the back before filling this page) • Binding, Binding, Threading

Claims (1)

593736 ϊ ^ \4' 第09^^867號專利申請案 中文申續)專利範iL隻瘦务(92年10月)、申清專利祀_轉#【’丨 A8 B8 C8 D8593736 \ ^ \ 4 'No. 09 ^^ 867 Patent Application (continued in Chinese)) Patent iL only thin service (October 1992), application for clear patent __ ## ’A8 B8 C8 D8 1 . 一種使用原子層沉積(ALD)形成薄膜之方法,包括: 提供一反應器,具有單一反應空間; 同時將一批基材裝載於該反應器之單一反應空間中; 將含有反應物之氣體導入該單一反應空間中,將反應 物之一部分化學吸附於位在該單一反應空間内之基材 頂面上; 於該單一反應空間_稀釋非化學性吸附之反應物;及 自該單一反應空間移除非化學性吸附之反應物。 2·如申請專利範圍第1項之方法,其中該含有反應物之氣 體的導入係於第一預定壓力下進行,而該稀釋係進行 至第二預定壓力,其中該第二預定壓力係高於該第一 預定壓力。 3·如申請專利範圍第2項之方法,其中該第一預定壓力係 介於0.1托耳及0.5托耳之間。 4.如申請專利範圍第2項之方法,其中該第二預定壓力係 較該第一預定壓力高1.5倍。 5·如申請專利範圍第1項之方法,其中該含有反應物之氣 體的導入係於第一預定壓力下進行, 其中該移除係包括泵抽該反應器,以使該反應器之壓 力降低至第三預定壓力,且 其中該第三預定壓力係低於該第一預定壓力。 6.如申請專利範圍第5項之方法,其中該第三預定壓力較 該第一預定壓力低0.5倍。 7·如申請專利範圍第1項之方法,其中該裝載係包括使用 O:\71\71703-921022.d〇c 本纸银尺度適用中國家揉率(CNS) A4規格<210X297公釐) 593736 A8 B8 C8 申請專利範圍 自動化晶圓輸送機構輸送該批基材。 8·—種使用原子層沉積(ALD)形成薄膜的方法,包括·· 提供一半導體基材於一反應器中; 將含有反應物之氣體導入處於第一預定壓力下之反 應器中’使该反應物之一部分化學吸附於該基材表面 上; 於該反應器中稀釋非化學吸附之反應物,使得反應器 之壓力增加至第二預定壓力;及 自該反應器移除經稀釋之非化學吸附反應物。 9·如申請專利範圍第8項之方法,其中該第一預定壓力係 介於0·1托耳及〇·5托耳之間。 10如申請專利範圍第8項之方法,其中該第二預定壓力係 較該第一預定壓力高1,5倍。 11·如申請專利範圍第8項之方法,其中該移除係藉泵抽該 反應器進行,以使反應器壓力降低至第三預定壓力, 其中該第三預定壓力係低於該第一預定壓力。 12·如申請專利範圍第1丨項之方法,其中該第三預定壓力 係較該第一預定壓力低0.5倍。 13·如申請專利範圍第8項之方法,其中該反應器係包括一 壓力控制閥,連接於排氣管,以移除經稀釋之非化學 吸附反應物’其中該稀釋係包括實質關閉該控制閥, 並提供一惰性氣體於該反應器中,同時實質停止將含 有反應物之氣體導入該反應器中。 14.如申請專利範圍第8項之方法,其中該反應器係包括一1. A method for forming a thin film using atomic layer deposition (ALD), comprising: providing a reactor with a single reaction space; loading a batch of substrates in the single reaction space of the reactor; and loading a gas containing a reactant Introduce into the single reaction space, and chemically adsorb a part of the reactants on the top surface of the substrate located in the single reaction space; in the single reaction space_dilute non-chemically adsorbed reactants; and from the single reaction space Remove non-chemically adsorbed reactants. 2. The method according to item 1 of the patent application range, wherein the introduction of the reactant-containing gas is performed at a first predetermined pressure, and the dilution is performed to a second predetermined pressure, wherein the second predetermined pressure is higher than The first predetermined pressure. 3. The method according to item 2 of the patent application range, wherein the first predetermined pressure is between 0.1 Torr and 0.5 Torr. 4. The method of claim 2 in the patent application range, wherein the second predetermined pressure is 1.5 times higher than the first predetermined pressure. 5. The method according to item 1 of the patent application range, wherein the introduction of the reactant-containing gas is performed at a first predetermined pressure, and wherein the removal includes pumping the reactor to reduce the pressure of the reactor To a third predetermined pressure, and wherein the third predetermined pressure is lower than the first predetermined pressure. 6. The method of claim 5 in the patent application range, wherein the third predetermined pressure is 0.5 times lower than the first predetermined pressure. 7. The method according to item 1 of the scope of patent application, wherein the loading system includes the use of O: \ 71 \ 71703-921022.d〇c The silver scale of this paper is applicable to China National Rolling Rate (CNS) A4 Specification < 210X297 mm) 593736 A8 B8 C8 Patent application scope The automated wafer conveying mechanism conveys the batch of substrates. 8. A method for forming a thin film using atomic layer deposition (ALD), comprising: providing a semiconductor substrate in a reactor; introducing a gas containing a reactant into the reactor at a first predetermined pressure; A portion of the reactant is chemically adsorbed on the surface of the substrate; the non-chemically adsorbed reactant is diluted in the reactor so that the pressure of the reactor is increased to a second predetermined pressure; and the diluted non-chemical is removed from the reactor Adsorption of reactants. 9. The method according to item 8 of the patent application, wherein the first predetermined pressure is between 0.1 Torr and 0.5 Torr. 10 The method according to item 8 of the patent application range, wherein the second predetermined pressure is 1.5 times higher than the first predetermined pressure. 11. The method according to item 8 of the scope of patent application, wherein the removing is performed by pumping the reactor to reduce the reactor pressure to a third predetermined pressure, wherein the third predetermined pressure is lower than the first predetermined pressure pressure. 12. The method of claim 1 丨, wherein the third predetermined pressure is 0.5 times lower than the first predetermined pressure. 13. The method according to item 8 of the patent application, wherein the reactor includes a pressure control valve connected to the exhaust pipe to remove the diluted non-chemically adsorbed reactants', wherein the dilution system includes substantially closing the control Valve, and provide an inert gas in the reactor, while substantially stopping the introduction of the reactant-containing gas into the reactor. 14. The method of claim 8 in the scope of patent application, wherein the reactor includes a 裝 玎 « O:\71\71703-921022.d〇C -2-Decoration «O: \ 71 \ 71703-921022.d〇C -2- 壓力控制閥,連接於一排氣管,其中該稀釋係包括提 供惰性氣體,其量實質上高於導入反應器中之氣體反 應物之量,同時停止將氣體反應物導入該反應器中。 K 一種使用ALD形成薄膜之方法,包括: 提供多個晶圓於單一反應器中; 於第一預定壓力下將氣體反應物導入該單一反應内, 使該反應物之一部分化學吸附於該多片基材之頂面 上; 將該單一反應器中之非化學吸附反應物稀釋至第二預 定壓力;及 自該單一反應器移除經稀釋之非化學吸附反應物, 其中該第二預定壓力係高於該第一預定壓力。 16.如申請專利範圍第15項之方法,其中該反應器係包括 一壓力控制閥,連接於排氣管,其中該稀釋係包括實 質關閉該控制闊,並提供惰性氣體於該反應器中,同 時停止將氣體反應物導入該反應器中。 17·如申請專利範圍第15項之方法,其中該反應器係包括 一壓力控制閥,連接於排氣管,其中該稀釋係包括提 供一惰性氣體於該反應器中,其量實質多於該氣體反 應器,同時停止將氣體反應物導入該反應器中。 18. 如申請專利範圍第15項之方法,其中該第一預定壓力 係介於0.1托耳及0.5托耳之間。 19. 如申請專利範圍第1 5項之方法,其中該第二預定壓力 係較該第一預定壓力高1.5倍。 O:\71\71703-921022.d〇C 本紙張尺度逋用中國國家揉準(CNS) A4规格<21〇x297公釐) 20· =請專利範圍s15項之方法,其中該移除係藉泵柚 孩槽進行,以使反應器壓力降低至第三預定壓力, 其中該第三預定壓力係低於該第一預定壓力。 21·如申請專利範圍第2〇項之方法,其中該第三預定壓力 係較該第一預定壓力低0.5倍。 22.如申請專利範圍第㈣之方法,其中該移除係藉栗抽 該槽進行,以使反應器壓力降低至第三預定壓力, 其中该第三預定壓力係低於該第一預定壓力。 23·如申請專利範圍第15項之方法,其中該反應器係為爐 式反應器,其中實質所有基材頂面皆面向相同方向, 以進行自動化晶圓輸送。 24.如申請專利範圍第15項之方法,其中該多片基材之數 量係多於一百片。 25·如申請專利範圍第i 5項之方法,其中該反應係具有單 一反應空間,用以進行原子層沉積,使得所有基材皆 放置於該單一反應空間内。 26· —種用以形成薄膜之原子層沉積(ALD)方法,包括: a) 將一或多片半導體基材嵌入一槽内; b) 於第一預定壓力下將第一氣體反應物導入一反應器 中,使得該反應物之一部分化學吸附於該一或多片基 材的表面上; c) 藉著注射一惰性氣體於該槽中,以稀釋該反應器中 之非化學吸附第一反應物,而使該反應器之壓力較該 第一預定壓力增高; -4 - 〇:\71\71703-921022.d〇C 本纸張尺度適用中國困家揉準(CNS) A4规格(210 X 297公釐) 593736A pressure control valve is connected to an exhaust pipe, wherein the dilution system includes supplying an inert gas, the amount of which is substantially higher than the amount of the gaseous reactant introduced into the reactor, while stopping the introduction of the gaseous reactant into the reactor. K A method for forming a thin film using ALD, comprising: providing a plurality of wafers in a single reactor; introducing a gaseous reactant into the single reaction at a first predetermined pressure, so that a part of the reactant is chemically adsorbed on the multiple pieces The top surface of the substrate; diluting the non-chemically adsorbed reactants in the single reactor to a second predetermined pressure; and removing the diluted non-chemically adsorbed reactants from the single reactor, wherein the second predetermined pressure is Above the first predetermined pressure. 16. The method according to item 15 of the patent application, wherein the reactor includes a pressure control valve connected to the exhaust pipe, and the dilution system includes substantially closing the control valve and providing an inert gas in the reactor, At the same time, the introduction of gaseous reactants into the reactor was stopped. 17. The method according to item 15 of the application, wherein the reactor includes a pressure control valve connected to the exhaust pipe, and the dilution system includes providing an inert gas in the reactor in an amount substantially larger than the Gas reactor, while introducing gaseous reactants into the reactor is stopped. 18. The method of claim 15 in which the first predetermined pressure is between 0.1 Torr and 0.5 Torr. 19. The method according to item 15 of the patent application range, wherein the second predetermined pressure is 1.5 times higher than the first predetermined pressure. O: \ 71 \ 71703-921022.d〇C The size of this paper is Chinese National Standard (CNS) A4 < 21〇x297 mm) 20 · = Method of patent scope s15, where the removal is It is performed by pumping the grapefruit trough to reduce the reactor pressure to a third predetermined pressure, wherein the third predetermined pressure is lower than the first predetermined pressure. 21. The method of claim 20, wherein the third predetermined pressure is 0.5 times lower than the first predetermined pressure. 22. The method according to the scope of patent application, wherein the removing is performed by pumping the tank to reduce the reactor pressure to a third predetermined pressure, wherein the third predetermined pressure is lower than the first predetermined pressure. 23. The method according to item 15 of the patent application range, wherein the reactor is a furnace reactor in which substantially the top surfaces of all substrates face the same direction for automated wafer transfer. 24. The method of claim 15 in which the number of substrates is more than one hundred. 25. The method according to item i 5 of the scope of patent application, wherein the reaction system has a single reaction space for atomic layer deposition, so that all substrates are placed in the single reaction space. 26 · An atomic layer deposition (ALD) method for forming a thin film, comprising: a) embedding one or more semiconductor substrates in a tank; b) introducing a first gaseous reactant at a first predetermined pressure In the reactor, a part of the reactant is chemically adsorbed on the surface of the one or more substrates; c) by injecting an inert gas into the tank to dilute the non-chemically adsorbed first reaction in the reactor And the pressure of the reactor is higher than the first predetermined pressure; -4-〇: \ 71 \ 71703-921022.d〇C This paper size is applicable to China Standards for Crisis (CNS) A4 (210 X 297 mm) 593736 d) 自該槽移除該非化學吸附之第一反應物; e) 於第二預定壓力下,將第二氣體反應物導入該反應 器中’以藉由化學交換形成單一原子金屬層; 0於該反應器中稀釋非化學吸附之反應物,以增加該 反應器之壓力;及 g)自該槽移除該非化學吸附之反應物。 27·如申請專利範圍第26項之方法,其中該第一預定壓力 實質上與該第二預定壓力相同。 28·如申請專利範圍第26項之方法,其中該第一預定壓力 係異於該第二預定壓力。 29·如申請專利範圍第26項之方法,其中,於該第一及第 二稀釋期間,該反應器壓力係增加至個別不低於該第 一及第二預定壓力的1.5倍。 30·如申請專利範圍第26項之方法,其中該移除係藉著泵 抽該槽至第三預定壓力而進行,該第三預定壓力實質 上低於第一或第二預定壓力。 31·如申請專利範圍第26項之方法,其中該單一原子層係 為 Al2〇3、Ti02、Zr02、Hf02、Ta205、Nb205、Ce02、 Y2O3、Si02、In2〇3、Ru02 或 Ir02之氧化物層。 32·如申請專利範圍第26項之方法,其中該單一原子層係 為 SrTi03、PbTi03、SrRu03、CaRu03、(Ba,Sr)Ti03、 Pb(Zr,Ti)〇3、(Pb,La)(Zr,Ti)03、(Sr,Ca)Ru03、(Ba, Sr)Ru03、經 Sn摻雜之 In203(IT0)、經 Fe摻雜之 ln203、 或經Zr摻雜之ln203之複合氧化物層。 O:\71\71703-921022.d〇c * 5 - --_-___!-;-- 本紙乐尺度逋用中國國家樑準(CNS) A4规格(210X297公釐)d) removing the non-chemically adsorbed first reactant from the tank; e) introducing a second gaseous reactant into the reactor under a second predetermined pressure to form a monoatomic metal layer by chemical exchange; 0 in Dilute non-chemically adsorbed reactants in the reactor to increase the pressure in the reactor; and g) remove the non-chemically adsorbed reactants from the tank. 27. The method of claim 26, wherein the first predetermined pressure is substantially the same as the second predetermined pressure. 28. The method of claim 26, wherein the first predetermined pressure is different from the second predetermined pressure. 29. The method of claim 26, wherein during the first and second dilution periods, the pressure of the reactor is increased to not less than 1.5 times the first and second predetermined pressures. 30. The method of claim 26, wherein the removing is performed by pumping the tank to a third predetermined pressure, which is substantially lower than the first or second predetermined pressure. 31. The method of claim 26, wherein the single atomic layer is an oxide layer of Al2O3, Ti02, Zr02, Hf02, Ta205, Nb205, Ce02, Y2O3, Si02, In2O3, Ru02, or Ir02 . 32. The method according to item 26 of the application, wherein the single atomic layer system is SrTi03, PbTi03, SrRu03, CaRu03, (Ba, Sr) Ti03, Pb (Zr, Ti) 〇3, (Pb, La) (Zr Ti) 03, (Sr, Ca) Ru03, (Ba, Sr) Ru03, a composite oxide layer doped with Sn doped In203 (IT0), Fe doped ln203, or Zr doped ln203. O: \ 71 \ 71703-921022.d〇c * 5---_____!-;-This paper uses the Chinese National Standard (CNS) A4 (210X297 mm) 装 訂 Λ ^3736Binding Λ ^ 3736 申清專利範圍 33·如申請專利範圍第26項之方法,其中該單一原子層係 為 SiN、NbN、ZrN、TiN、TaN、Ya3N5、AIN、GaN、 WN、或BN之氮化物層。 34,如申請專利範圍第26項之方法,其中該單一原子層係 為 WBN、WSiN、TiSiN、TaSiN 或 AlTiN 之複合氮化物 層。 35如申請專利範圍第26項之方法,其中該單一原子層係 為 Si、Al、Cu、Ti、Ta、Mo、Pt、Ru、Rh、Ir、W 或 Ag 之金屬層。 36·如申請專利範圍第26項之方法,其中該單一原子層係 為Al、W、Ti或Co之石夕化物層。 37·如申請專利範圍第26項之方法,其中該單一原子層係 為金屬矽酸鹽材料(MNxSix02),金屬,,M,,係選自由铪 (Hf)、锆(Zr)、钽(Ta)、鈦(Ti)、铯(Cs)及鋁(A1)所組成 之群。 38.如申請專利範圍第26項之方法,其另外包括重複步驟 (b) - ( g)中之至少一步驟的步驟。 39· —種形成薄膜之方法,包括: a) 提供一反應器,具有單一反應空間; b) 將多片具有一處理表面之晶圓裝栽於該反應空間 内,其中該晶圓之處理表面係面向實質相同方向; c) 將一第一反應物導入該反應空間内,其中該第一反 應物之一部分係化學吸附於該多片晶圓之各晶圓之處 理表面上; -6- O:\71\71703.92l022.doc 本紙張尺度遴用中國钃家揉準(CNS) A4规格<210 X 297公釐) 593736 8 8 8 8 A B c D 申請專利範圍 d) 自該反應空間移除該第一反應物的非化學吸附部 分; e) 將一第二反應物導入該反應空間内,其中該第二反 應物之一部分係化學吸附於該多片晶圓中各晶圓的處 理表面上;及 f) 自該反應空間移除該第二反應物的非化學吸附部 分。 40.如申請專利範圍第39項之方法,其另外包括重複步驟 (c) - ( f)中之至少一步驟的步驟。 O:\71\7l703-921022.doc 本纸張尺度遑用中國國家揉準<CNS) A4規格(210 X 297公釐)Claiming Patent Scope 33. The method of claim 26, wherein the single atomic layer is a nitride layer of SiN, NbN, ZrN, TiN, TaN, Ya3N5, AIN, GaN, WN, or BN. 34. The method of claim 26, wherein the single atomic layer is a compound nitride layer of WBN, WSiN, TiSiN, TaSiN, or AlTiN. 35. The method of claim 26, wherein the single atomic layer is a metal layer of Si, Al, Cu, Ti, Ta, Mo, Pt, Ru, Rh, Ir, W, or Ag. 36. The method of claim 26, wherein the single atomic layer is a petrified layer of Al, W, Ti, or Co. 37. The method of claim 26, wherein the single atomic layer is a metal silicate material (MNxSix02), and the metal, M, is selected from the group consisting of hafnium (Hf), zirconium (Zr), and tantalum (Ta ), Titanium (Ti), cesium (Cs) and aluminum (A1). 38. The method of claim 26, further comprising the step of repeating at least one of steps (b) to (g). 39 · A method for forming a thin film, including: a) providing a reactor with a single reaction space; b) mounting a plurality of wafers having a processing surface in the reaction space, wherein the processing surface of the wafer Are oriented in substantially the same direction; c) introducing a first reactant into the reaction space, wherein a part of the first reactant is chemically adsorbed on the processing surface of each of the plurality of wafers; -6- O : \ 71 \ 71703.92l022.doc This paper size is selected from China Standards (CNS) A4 < 210 X 297 mm) 593736 8 8 8 8 AB c D Application scope of patent d) Remove from this reaction space A non-chemically adsorbed portion of the first reactant; e) introducing a second reactant into the reaction space, wherein a portion of the second reactant is chemically adsorbed on a processing surface of each of the plurality of wafers And f) removing the non-chemically adsorbed portion of the second reactant from the reaction space. 40. The method of claim 39, further comprising the step of repeating at least one of steps (c)-(f). O: \ 71 \ 7l703-921022.doc The size of this paper is based on Chinese national standards < CNS) A4 size (210 X 297 mm)
TW090114867A 2001-05-31 2001-06-19 Method of forming a thin film using atomic layer deposition TW593736B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/872,203 US6828218B2 (en) 2001-05-31 2001-05-31 Method of forming a thin film using atomic layer deposition

Publications (1)

Publication Number Publication Date
TW593736B true TW593736B (en) 2004-06-21

Family

ID=25359056

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090114867A TW593736B (en) 2001-05-31 2001-06-19 Method of forming a thin film using atomic layer deposition

Country Status (6)

Country Link
US (1) US6828218B2 (en)
JP (1) JP4167411B2 (en)
KR (1) KR100417893B1 (en)
CN (1) CN1312757C (en)
DE (1) DE10132882B4 (en)
TW (1) TW593736B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
TWI612561B (en) * 2012-11-26 2018-01-21 Hitachi Int Electric Inc Semiconductor device manufacturing method, substrate processing device, and recording medium
TWI661078B (en) * 2013-10-03 2019-06-01 Jsw Afty股份有限公司 Atomic layer deposition apparatus and atomic layer deposition method

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW581822B (en) * 2001-07-16 2004-04-01 Applied Materials Inc Formation of composite tungsten films
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7220312B2 (en) * 2002-03-13 2007-05-22 Micron Technology, Inc. Methods for treating semiconductor substrates
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
KR20030081144A (en) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 Vertical semiconductor manufacturing apparatus
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100448714B1 (en) * 2002-04-24 2004-09-13 삼성전자주식회사 Insulating layer in Semiconductor Device with Multi-nanolaminate Structure of SiNx and BN and Method for Forming the Same
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20060124058A1 (en) * 2002-11-11 2006-06-15 Hitachi Kokusai Electric Inc. Substrate processing device
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
CN1777696B (en) * 2003-03-14 2011-04-20 杰努斯公司 Methods and apparatus for atomic layer deposition
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP2007523994A (en) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド Atomic layer deposition of barrier materials
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
KR100527048B1 (en) * 2003-08-29 2005-11-09 주식회사 아이피에스 Method for depositing thin film on wafer
US20050056219A1 (en) * 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
DE10350752A1 (en) * 2003-10-30 2005-06-09 Infineon Technologies Ag A method of forming a dielectric on a copper-containing metallization and capacitor assembly
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2006001431A1 (en) 2004-06-29 2006-01-05 Matsushita Electric Industrial Co., Ltd. Zoom lens system, imaging device, and camera
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR100593659B1 (en) * 2004-07-21 2006-06-28 삼성전자주식회사 Atomic layer deposition method, method of manufacturing gate structure using same and method of manufacturing capacitor
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4639686B2 (en) * 2004-07-27 2011-02-23 Jsr株式会社 Chemical vapor deposition material and chemical vapor deposition method
JP4515191B2 (en) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 Deposition method
KR100611072B1 (en) * 2004-08-11 2006-08-10 삼성전자주식회사 Method of manufacturing a thin layer using atomic layer deposition, and method of manufacturing a gate structure and a capacitor using the same
JP4661130B2 (en) * 2004-08-17 2011-03-30 Jsr株式会社 Chemical vapor deposition method
KR100566699B1 (en) 2004-08-17 2006-04-03 삼성전자주식회사 Phase-changeable memory device and method of manufacturing the same
US7892983B2 (en) * 2004-10-07 2011-02-22 Hitachi Kokusai Electric Inc. Substrate processing apparatus and producing method of semiconductor device
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP5025484B2 (en) * 2004-10-26 2012-09-12 アーエスエム インターナショナル エヌ ヴィ Method for depositing lead-containing oxide film
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
KR20060072338A (en) * 2004-12-23 2006-06-28 주식회사 하이닉스반도체 Method for forming dielectric film and method for forming capacitor in semiconductor device using the same
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
JP4355672B2 (en) * 2005-03-15 2009-11-04 三井造船株式会社 Thin film formation method
KR100652420B1 (en) * 2005-03-23 2006-12-01 삼성전자주식회사 Method of manufacturing a dielectric film and method of manufacturing Metal Insulator Metal capacitor having the dielectric film and batch type atomic layer deposition apparatus for manufacturing the dielectric film
KR100676201B1 (en) * 2005-05-24 2007-01-30 삼성전자주식회사 Method of manufacturing semiconductor device used Atomic Layer DepositionALD
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
CN101448977B (en) * 2005-11-04 2010-12-15 应用材料股份有限公司 Apparatus and process for plasma-enhanced atomic layer deposition
KR100713925B1 (en) * 2005-12-28 2007-05-07 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
KR101047230B1 (en) * 2006-03-28 2011-07-06 가부시키가이샤 히다치 고쿠사이 덴키 Substrate treating apparatus
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7501355B2 (en) 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
TWI395335B (en) * 2006-06-30 2013-05-01 Applied Materials Inc Nanocrystal formation
US20100036144A1 (en) * 2006-07-20 2010-02-11 Ce Ma Methods for atomic layer deposition
CN103981568A (en) * 2006-07-31 2014-08-13 应用材料公司 Methods of forming carbon-containing silicon epitaxial layers
WO2008033186A1 (en) * 2006-07-31 2008-03-20 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8092695B2 (en) * 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7692222B2 (en) 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7928019B2 (en) * 2007-08-10 2011-04-19 Micron Technology, Inc. Semiconductor processing
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP4486135B2 (en) * 2008-01-22 2010-06-23 東京エレクトロン株式会社 Temperature control mechanism and processing apparatus using the same
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP5541223B2 (en) * 2010-07-29 2014-07-09 東京エレクトロン株式会社 Film forming method and film forming apparatus
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
TWI562204B (en) * 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
CN102153132B (en) * 2011-03-02 2012-11-21 复旦大学 Method for preparing high-density zinc oxide nano granules
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TWI461566B (en) 2011-07-01 2014-11-21 Ind Tech Res Inst Deposition nozzle and apparatus for thin film deposition process
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6105967B2 (en) * 2012-03-21 2017-03-29 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
TWI595112B (en) 2012-10-23 2017-08-11 蘭姆研究公司 Sub-saturated atomic layer deposition and conformal film deposition
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9209134B2 (en) * 2013-03-14 2015-12-08 Intermolecular, Inc. Method to increase interconnect reliability
CN103333536A (en) * 2013-06-06 2013-10-02 南京航空航天大学 Application of monoatomic layer boron nitride in surface coating
JP6346022B2 (en) * 2013-07-31 2018-06-20 京セラ株式会社 Thin film forming method and solar cell element manufacturing method
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR101764959B1 (en) * 2014-03-21 2017-08-03 주식회사 엘지화학 Apparatus for High Speed Atomic Layer Deposition and Deposition Method Using the Same
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
JP6460874B2 (en) * 2015-03-26 2019-01-30 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN105568256A (en) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 Implementation method for preparing thin film through atomic layer deposition technology
KR101820237B1 (en) 2016-04-29 2018-01-19 한양대학교 산학협력단 Pressurized manufacturing method for metal monolayer, Structure for metal monolayer, Pressurized manufacturing apparatus for metal monolayer
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10388721B2 (en) 2017-01-24 2019-08-20 International Business Machines Corporation Conformal capacitor structure formed by a single process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR20190035147A (en) 2017-09-26 2019-04-03 김영대 An alternative fuel fabrication equipment and fabrication methods using ordinary life
KR102214902B1 (en) * 2017-10-18 2021-02-15 한양대학교 산학협력단 Manufacturing Method for TMDC Layer and Manufacturing Apparatus thereof
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
US10774422B2 (en) 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
SG11202111962QA (en) 2019-05-01 2021-11-29 Lam Res Corp Modulated atomic layer deposition
US20220302119A1 (en) * 2021-03-17 2022-09-22 Changxin Memory Technologies, Inc. Dram and formation method thereof
CN114381710A (en) * 2022-01-17 2022-04-22 西安交通大学 Preparation method of GaN film, GaN film and application of GaN film

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JPH01305894A (en) * 1988-06-03 1989-12-11 Matsushita Electric Ind Co Ltd Thin film crystal growth device and growth method
US5217340A (en) 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
JPH07105357B2 (en) 1989-01-28 1995-11-13 国際電気株式会社 Wafer transfer method and apparatus in vertical CVD diffusion apparatus
JP3265042B2 (en) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
FI100409B (en) 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
KR19990074809A (en) * 1998-03-14 1999-10-05 윤종용 Thin Film Manufacturing Method
FI105313B (en) 1998-06-03 2000-07-14 Planar Systems Oy Process for the preparation of thin film electroluminescence structures
KR100510473B1 (en) * 1998-07-03 2005-10-25 삼성전자주식회사 Method for forming upper electrode of a capacitor using ALD
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
AU6336700A (en) * 1999-06-24 2001-01-09 Gadgil, Prasad Narhar Apparatus for atomic layer chemical vapor deposition
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
KR100737901B1 (en) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. Method for depositing nanolaminate thin films on sensitive surfaces
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
KR100647442B1 (en) * 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
TWI612561B (en) * 2012-11-26 2018-01-21 Hitachi Int Electric Inc Semiconductor device manufacturing method, substrate processing device, and recording medium
TWI661078B (en) * 2013-10-03 2019-06-01 Jsw Afty股份有限公司 Atomic layer deposition apparatus and atomic layer deposition method

Also Published As

Publication number Publication date
KR20020091743A (en) 2002-12-06
JP4167411B2 (en) 2008-10-15
CN1312757C (en) 2007-04-25
US6828218B2 (en) 2004-12-07
JP2002367992A (en) 2002-12-20
KR100417893B1 (en) 2004-02-11
DE10132882A1 (en) 2002-12-05
CN1389910A (en) 2003-01-08
US20030013320A1 (en) 2003-01-16
DE10132882B4 (en) 2005-04-14

Similar Documents

Publication Publication Date Title
TW593736B (en) Method of forming a thin film using atomic layer deposition
US7220312B2 (en) Methods for treating semiconductor substrates
TWI446404B (en) Method of manufacturing semiconductor device, cleaning method, and substrate processing apparatus
KR102282188B1 (en) Etching method and etching apparatus
TWI443719B (en) A substrate processing method, a program and a recording medium
KR101692416B1 (en) Deposition of metal films using alane-based precursors
JP5207615B2 (en) Film forming method and substrate processing apparatus
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
TWI543339B (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
TWI612566B (en) Semiconductor device manufacturing method and memory medium
KR102651019B1 (en) Film formation method and film formation equipment
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
KR102650982B1 (en) Film forming method, semiconductor device manufacturing method, film forming apparatus, and system for manufacturing semiconductor devices
CN114729452A (en) Method and apparatus for treating a surface of a semiconductor substrate

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent