TW459370B - Method to manufacture MIM capacitor by dual damascene process - Google Patents

Method to manufacture MIM capacitor by dual damascene process Download PDF

Info

Publication number
TW459370B
TW459370B TW89123568A TW89123568A TW459370B TW 459370 B TW459370 B TW 459370B TW 89123568 A TW89123568 A TW 89123568A TW 89123568 A TW89123568 A TW 89123568A TW 459370 B TW459370 B TW 459370B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
capacitor
trench
stop layer
Prior art date
Application number
TW89123568A
Other languages
Chinese (zh)
Inventor
You-Luen Du
Jia-Shiung Tsai
Ming-Hua Ji
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Priority to TW89123568A priority Critical patent/TW459370B/en
Application granted granted Critical
Publication of TW459370B publication Critical patent/TW459370B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

The present invention is a method to manufacture MIM capacitor, which can be matched with dual damascene process. First, form metal layer/dielectric layer/metal layer capacitor in the interconnect dielectric layer, then use dual damascene etching process to complete the electrode connection of interconnection, dielectric layer and the top electrode of the capacitor.

Description

459 37 Ο 五、發明說明(i) I發明領域: 」 本發明係有關於半導體製程,特別是指一種能與雙鑲 嵌配合的製程,以製造金屬層/介電層/金屬層(ΜΙΜ)電容 之方法。 ί 發明背景: 積體電路之製程之目標,除了係使得晶片内元件的體 積小,以達到高密度、高良率及降低單位成本之外,元件 丨之其他的性能更是關鍵,例如元件的速度表現。然而元件 !尺寸縮小的同時,隨著元件的密集,將也使後繼元件連接 !的金屬導線相對變細,而提高阻值,而變得不利於其速度 I 表現,除此之外,内連接金屬導線乃至内連線間介電層的 介電常數大小都是元件速度表現的重要關鐽,這是因導線 之阻值R,與上下層導線及同層相鄰導線之間會有電容(:存 i在,一如熟悉相關技術之人士所共知,R C值愈低代表較低 之時間延遲,與愈快的反應速度。 | 因此業界無不朝開發低阻值的金屬導線及低介電常數 I内連線間介電層而努力。在金屬導線方面,目前内連線已 I有使用銅製程代替鋁製程的報告,例如I BM在1 9 9 7年的宣 ; i 告,已說明銅製程時代的到來。銅製程除了可以降低阻 值,而加強速度外,對於電遷移的問題,銅導線已有研究459 37 〇 5. Description of the invention (i) I Field of invention: The present invention relates to semiconductor processes, especially a process capable of cooperating with dual damascene to manufacture metal layer / dielectric layer / metal layer (MI) capacitors. Method. Background of the invention: In addition to the goal of the integrated circuit manufacturing process, in addition to making the volume of the components in the chip small in order to achieve high density, high yield and reduce unit cost, other performance of the component is more critical, such as the speed of the component which performed. However, as the size of components! Shrinks, as the components become denser, the metal wires connecting subsequent components will become thinner, which will increase the resistance value and become unfavorable for its speed I performance. In addition, the internal connection The dielectric constant of the metal layer and even the dielectric layer between interconnects is an important factor in the speed performance of the device. This is because the resistance value R of the wire has a capacitance between the upper and lower wires and adjacent wires of the same layer ( : Exist, as everyone familiar with the related technology knows, the lower the RC value is, the lower the time delay and the faster the response speed. | Therefore, the industry is always developing low-value metal wires and low dielectrics. I work hard with the dielectric layer between the interconnects within the constant I. At present, there are reports on the use of copper instead of aluminum in the interconnects. For example, I BM declared in 1977; Explains the arrival of the copper process era. In addition to reducing the resistance value and enhancing the speed of the copper process, copper wires have been researched on the problem of electromigration.

第4頁 4 59 37 0 五、發明說明(2) 報告證實小於鋁導線。因此,導線電阻值部八已 已有多暫種時/得 解決。而低介電常數(low-k)介電層方面 品 開發成功’例如以化學氣相沉積法沉稽夕〗 一 _ …k ,. Ά ^ i〇w-k W ^ 等等,各家產品各有利弊,因此各大半蒌牌/ Ulr、H〇sp τ导體廠皆 #4- ^ 〇 3节其擁言曼 coral或以紅塗式方法沉積之low-k的…、鑽石、 的對象 高階的互補式金氧半電晶體(CMOS)邏輯電路 > m或以下的製程’已開始應用銅做為雙鑲私实/· 11 ΐ 〜守 '硬料, |相信必然成為未來發展趨勢°除此之外,嵌入式 “embedded DR A M)DRA Μ技術’將先進的DRAM部分與邏輯雷 :路合併在一起更是一種高性能"系統和D R A Μ記憶胞做在同 1一晶片11 (system-on-chip)之高階技術ΐ也是目前以及未 |來流行的趨勢。 iPage 4 4 59 37 0 5. Description of the invention (2) The report confirms that it is smaller than the aluminum wire. Therefore, the wire resistance value has already been solved for many temporary periods. And low-k dielectric layer products have been successfully developed, for example, Shen Jixi by chemical vapor deposition method _ _k, Ά ^ i〇wk W ^ and so on, each product has its own Pros and cons, so most of the major brands / Ulr, Hοsp τ conductor factories are # 4- ^ 〇3 its prestige Man coral or low-k ..., diamonds, objects of high-order deposition of red-painted method Complementary metal-oxide-semiconductor (CMOS) logic circuits> Processes of m or below 'have begun to use copper as a dual-inlay material / · 11 ΐ ~ Shou', which is bound to become a future development trend. In addition, the embedded "embedded DR AM) DRA M technology 'combines advanced DRAM parts and logic mines: it is a high-performance " system and DRA M memory cells on the same chip11 (system- The high-end technology of on-chip) is also a current and future trend. i

I | 然而,目前DRAM製程之電容多在第一階金屬内連接導 丨線製造之前就先製造。但當DRAM記憶胞部分與邏輯電路一 起製造時,就會有DRAM區的高度比邏輯電絡區的,^大很 ,的問題。理由無他,主要來自DRAM區製造之電f =度。 高地勢的DRAM區將導致邏輯電路區接觸洞的表 寬比(high-aspect-ratio)的問題。 , >,為解決上述問 因此,就習知的嵌入式DRAM技術而s , + 成成本上升’ 題,就需要比較複雜的製程’如此一來將以 459370 五、發明說明(3) 而良率改善有限的困境,因為高深寬比的接觸洞可改善的 情況實在有限。 可惜的是,目前已知的製程方法,仍然沒有和銅雙鑲 嵌可以互相搭配的3 - D電容製程,因此習知技術已不敷所 需。 有鑑於如上所述的事實,本發明將提供一垂直3 - D的 Μ I Μ電容製程方法,本製程方法並可以完全和嵌入式DRAM 之銅雙鑲嵌製程技術匹配,因此,對於習知技術的高深寬 比的接觸洞所造成的困擾也可以消除。 發明目的及概述: 本發明之目的在解決傳統嵌入式DRAM記憶胞製造過程 中由於電容高度而造成邏輯區的接觸洞有高深寬比的問 題。 本發明之另一目的係提供一垂直3 - D的Μ I Μ電容製程方 法,本製程方法並可以完全與銅雙鑲嵌製程技術匹配。 本發明揭露一種利用鑲嵌製程先將金屬層/介電層/金 屬層(Μ I Μ )電容形成於内連線介電層之間,再利用雙鑲嵌 的蝕刻步驟完成内連線、介層及電容頂部電極連接。本發 4 59 37 0 五、發明說明(4) 第形,二圖 一,後第阻 成著然一光 形接,成一 已。上形第 並中線再一 。 ,其導,成區 板於屬上形渠 基成金層,溝 一 形及止後容 供線層終隨電 提導電刻。義 先屬介钱上定 首金一 一層以 :一第第電, 驟少於於介上 步至層層二層 下含止電第止 以包終介該終 含並刻二於刻 包,触第層姓 法層一 一止二 方電第成終第 之介一形刻於 明一成再蝕案 接著,施以蝕刻技術以蝕刻第二蝕刻終止層以形成一 開口及蝕刻第二介電層,以形成電容溝渠,以第一光阻圖 案為罩幕;再去除該第一光阻圖案;隨後,經由開口蝕刻 第二介電層,以使得第二介電層向開口兩側邊内縮,以擴 :大電容溝渠之表面積;再去除電容溝渠底部曝露之第一蝕 i刻終止層;之後*形成一第一導體層於電容溝渠底部、侧 i壁、開口側壁及電容溝渠岸上(在此及後面所述"電容溝渠 岸上'W系指電容溝渠上邊緣兩側的介電層表面);再接著, 形成一保護層於電容溝渠内,以保護該第一導體層,做為 底部電極。 隨之,施以蝕刻技術以蝕刻電容溝渠岸上及開口侧壁 I之第一導體層,以電容溝渠内之第一導體層作為底部電 極;再去除該保護層,並形成一電容介電層於底部電極及 電容溝渠岸上;再形成一第二導體層,以填滿電容溝渠; 之後,施以化學/機械式研磨製程,以第二蝕刻終止層為 研磨停止層;再形成一第三介電層於第二蝕刻終止層上; 459 37 Ο 丨五、發明說明(5) 再形成一硬式罩幕層於第三介電層上;隨後,形成一第二 光阻圖案於硬式罩幕層上以定義介層洞。再轉移第二光阻 丨圖案至硬式罩幕層;再去除該第二光阻圖案,以硬式罩幕 為罩幕,蝕刻第三介電層、第二蝕刻終止層、第二介電 層’以停止於第一蝕刻終止層上,以形成介層洞;再形成 一第三光阻圖案於硬式罩幕層上以定義導線溝渠;隨後, 姓刻第三介電層停止於第一姓刻終止層上,同時触刻介層 洞内之第一蝕刻終止層以露出金屬導線。再去除第三光阻 圖案;隨後,形成金屬阻障層於介層洞及導線溝渠的所有 :表面;最後以銅金屬回填介層洞及導線溝渠;及施以化學 機械式研磨的製程以該第三介電層為研磨終止層。 發明詳細說明: 請參考如圖一所示的橫截面示意圖。首先提供一具有 元件(未圖示)之基板,並覆以第一介電層110於其上,此 外並有一導線1 0 5,例如銅導線,埋入第一介電層1 1 0之 中,其上表面和第一介電層10 5的上表面接近同平面。I | However, most of the current DRAM manufacturing capacitors are manufactured before the first-stage metal interconnects are manufactured. However, when the DRAM memory cell part is manufactured together with the logic circuit, there is a problem that the height of the DRAM area is larger than that of the logic network area. The reason is nothing else, mainly from the f = degrees of electricity produced in the DRAM area. High terrain DRAM areas will cause high-aspect-ratio problems in the contact holes of the logic circuit area. > In order to solve the above-mentioned problem, the conventional embedded DRAM technology will increase the cost, and a more complicated process is needed. In this way, it will be better with 459370 V. Description of the invention (3) The dilemma of limited rate improvement is because the conditions that can be improved by high aspect ratio contact holes are really limited. Unfortunately, the currently known manufacturing methods still do not have a 3-D capacitor manufacturing process that can be used with copper dual inlays, so the conventional technology is no longer sufficient. In view of the facts described above, the present invention will provide a vertical 3-D capacitor capacitor manufacturing method. This manufacturing method can completely match the copper dual damascene process technology of embedded DRAM. Therefore, for the conventional technology, The trouble caused by high aspect ratio contact holes can also be eliminated. OBJECTS AND SUMMARY OF THE INVENTION The purpose of the present invention is to solve the problem of high aspect ratio of the contact holes in the logic area caused by the height of the capacitor during the manufacturing process of the conventional embedded DRAM memory cell. Another object of the present invention is to provide a vertical 3-D capacitor capacitor manufacturing method, which can be completely matched with the copper dual damascene process technology. The invention discloses a method in which a metal layer / dielectric layer / metal layer (M IM) capacitor is first formed between interconnect dielectric layers by a damascene process, and then the interconnect, dielectric layer and Capacitor top electrode connection. This issue 4 59 37 0 V. Description of the invention (4) The first form, the second figure, the first, and the second hindering form a clear connection, forming one. Upper shape and midline again. The guide plate is formed into a gold layer on the upper canal, the groove is shaped and the back-end supply line layer is finally electrically conductive. Yixian belongs to the first deposit of deposit money, one layer at a time: first, the first electricity, which is less than the step from the first step to the lower layer of the second layer. The first layer of the first layer, the second layer, the second layer, the first layer, the second layer, the first layer, and the second layer were engraved in the Ming Yicheng re-etching case. Next, an etching technique was applied to etch the second etch stop layer to form an opening and etch the second A dielectric layer to form a capacitor trench with the first photoresist pattern as a mask; the first photoresist pattern is removed; and then, the second dielectric layer is etched through the opening so that the second dielectric layer faces both sides of the opening The edge is retracted to expand: the surface area of the large-capacity trench; the first etch stop layer exposed at the bottom of the capacitance trench is removed; and then a first conductor layer is formed at the bottom of the capacitance trench, the side wall, the opening sidewall, and the capacitance trench On shore ("W" on the shore of the capacitor trench described herein refers to the surface of the dielectric layer on both sides of the upper edge of the capacitor trench); and then, a protective layer is formed in the capacitor trench to protect the first conductor layer, As the bottom electrode. Subsequently, an etching technique is applied to etch the first conductive layer on the bank of the capacitor trench and the side wall I of the opening, and the first conductive layer in the capacitor trench is used as the bottom electrode; the protective layer is removed, and a capacitor dielectric layer is formed on The bottom electrode and the capacitor trench are formed; a second conductor layer is formed to fill the capacitor trench; after that, a chemical / mechanical polishing process is performed, and the second etching stop layer is used as a polishing stop layer; and a third dielectric is formed. Layer on the second etch stop layer; 459 37 〇 Ⅴ. Description of the invention (5) a hard mask layer is formed on the third dielectric layer; then, a second photoresist pattern is formed on the hard mask layer To define the mesoscopic hole. Then transfer the second photoresist pattern to the hard mask layer; remove the second photoresist pattern and use the hard mask as the mask to etch the third dielectric layer, the second etch stop layer, and the second dielectric layer ' Stopping on the first etch stop layer to form a via hole; forming a third photoresist pattern on the hard mask layer to define a wire channel; subsequently, the third dielectric layer is stopped on the first layer On the stop layer, a first etch stop layer in the via hole is simultaneously touched to expose the metal wires. Then the third photoresist pattern is removed; subsequently, a metal barrier layer is formed on all of the vias and the lead trenches: the surface; finally, the vias and the lead trenches are backfilled with copper metal; and a chemical mechanical polishing process is applied to the The third dielectric layer is a polishing stop layer. Detailed description of the invention: Please refer to the schematic cross-sectional view shown in FIG. First, a substrate with a component (not shown) is provided, and a first dielectric layer 110 is coated thereon. In addition, a lead 105, such as a copper lead, is buried in the first dielectric layer 1 10. Its upper surface and the upper surface of the first dielectric layer 105 are close to the same plane.

I | 仍請參考圖一,接著一第一蝕刻終止層1 1 5於第一介 :電層1 1 0上,第一姓刻終止層1 1 5可以是以電毁輔助化學氣 相沉積法(P E C V D )沉積ti碎氧化層(SiON)或者碳化5夕層, 厚度約3 0 0 - 6 0 0埃。接者再沉積第二介電層1 2 0,為降低導 i線層與層之間寄生電容的效應,第二介電層120以一較佳 459 37 0 五 '發明說明(6) 的實施例而言,是一 1 〇 w - k的介電層。例如,以化學氣相 :沉積法沉積之1 〇 w - k黑鑽石、c 〇 r a 1或以旋塗式(s p i η - ο η ) 方法沉積之low-k的SiLK、Flair、H0SP等。 接者,再以相同的方法沉積一第二蝕刻終止層1 2 5於 第二介電層1 2 0上,第二蝕刻終止層1 2 5同樣可以是以電漿 輔助化學氣相沉積法(PECVD )沉積氮化矽層或者碳化矽 :層,厚度約8 0 0 - 1 2 0 0埃。請注意,第二蝕刻終止層丨2 5的 钱刻速率,最好具有比第一姓刻終止層1 1 5低。因此,以 一較佳的實施例而言,第二姓刻終止層1 2 5是It化石夕層而 :第_ 一餘刻終止層1 1 5則是氮ί夕氧化層,因此可以滿足第二 丨姓刻終止層1 2 5較第一蝕刻終止層1 1 5低蝕刻速率的要求。 丨此外,第二鞋刻終止層1 2 5較第一 ϋ刻終止層1丨5厚些,這 ί樣更可確保當第一蝕刻終止層11 5移除時仍可保住部分的 1第二蝕刻終止層1 2 5未被除去》 此外,第二介電層1 2 0的厚度將決定於電容的高度。 ! i典型的範圍以5 0 0 0埃至1 2 0 0 0埃為最佳。接著再形成光阻 圖案1 3 0於第二蝕刻終止層1 2 5上以定義電容溝渠的位置, ! 如圖所示電容溝渠係形成於DRAM區126。雙鑲嵌製程的部 分將待DRAM區12 6電容完成後,再與邏輯電路區12 7的介層 洞導線及電容頂部電極導線同時進行。 1 請參考圖二,一電漿乾式蝕刻接著以光阻圖案為罩幕I | Still refer to FIG. 1, and then a first etch stop layer 1 1 5 is on the first dielectric: electrical layer 1 1 0, and the first etch stop layer 1 1 5 may be a chemically assisted chemical vapor deposition method. (PECVD) deposits a Ti oxide layer (SiON) or a carbonized layer, with a thickness of about 300-600 angstroms. In order to reduce the effect of the parasitic capacitance between the i-conducting layer and the layer, the second dielectric layer 120 is further deposited. The second dielectric layer 120 is implemented with a preferred 459 37 0 5 'invention description (6) For example, it is a 10w-k dielectric layer. For example, 10 w-k black diamond deposited by chemical vapor deposition method, c 0 r a 1 or low-k SiLK, Flair, HOSP, etc. deposited by spin coating method (s p i η-ο η). Then, a second etch stop layer 1 2 5 is deposited on the second dielectric layer 1 2 0 by the same method. The second etch stop layer 1 2 5 can also be a plasma-assisted chemical vapor deposition method ( PECVD) deposits a silicon nitride layer or a silicon carbide: layer, with a thickness of about 800-1200 angstroms. Please note that the second etching stop layer 2 5 preferably has a lower etching rate than the first last stop layer 1 1 5. Therefore, in a preferred embodiment, the second last stop layer 1 2 5 is an It fossil evening layer and the first _ last minute stop layer 1 1 5 is a nitrogen oxide layer, so it can satisfy the first Second, the etch stop layer 1 2 5 requires a lower etch rate than the first etch stop layer 1 1 5.丨 In addition, the second etch stop layer 1 2 5 is thicker than the first etch stop layer 1 丨 5, which can further ensure that when the first etch stop layer 11 5 is removed, a part of the 1 second The etch stop layer 1 2 5 has not been removed. In addition, the thickness of the second dielectric layer 1 2 0 will depend on the height of the capacitor. ! i A typical range is 50 Angstroms to 12 Angstroms. Then, a photoresist pattern 130 is formed on the second etch stop layer 125 to define the position of the capacitor trench. The capacitor trench is formed in the DRAM region 126 as shown in the figure. Part of the dual damascene process will be performed at the same time as the 126 capacitors in the DRAM area and the vias in the logic circuit area and the top electrode wires in the capacitor. 1 Please refer to Figure 2. A plasma dry etching followed by a photoresist pattern as a mask

第9頁 4 59 37 Ο 五'發明說明(7) 蝕刻第二蝕刻終止層1 2 5及第二介電層1 2 0,並停止於第一 ' 蝕刻終止層115。在光阻圖案13 0剝除後,一等向性蝕刻接 i 著對第二介電層12 0钮刻,以形成向開口 13 5兩側擴大的凹 i i陷部分。例如如圖所示,向開口 13 5各退後"a1'的長度。以 | i 一較佳的實施例而言,n a"的長度約為5 0 0埃至1 0 0 0埃為最 ' i佳,本步驟的等向性姓刻可以是選用適當的化學钮刻劑以 i乾式或濕式均可,例如乾式等向性鞋刻可以以〇霜漿為餘 | 丨刻劑利用於有機L 〇 w - K的介電層材料,而C V D介電層氧化石夕 為基底之材料可以用含氟的蝕刻氣體,而濕式蝕刻可以使 用稀釋的氫氟酸或BOE溶液。 1 ! 請參考圖三’再施以全面性的姓刻方式,以钱穿曝露 之第一蝕刻終止層1 1 5,用以曝露出金屬導線1 0 5以連接後 繼的電容底部電極。第二蝕刻終止層1 2 5由於如先前所述 , :使用比第一钱刻終止層1 1 5低的姓刻速率之材質。且較第 一蝕刻終止層1 1 5厚些,因此仍可保住部分的第二蝕刻終 止層125未被除去。 ; i ! | 隨後,以化學氣相沉積法形成電容底部電極1 4 0,以 i 一較佳的實施例而言,電容底部電極1 4 0的材料可以導電 | 丨性佳、低沉積溫度例如小於4 5 0°C,且化學氣相沉積法沉 積時具有均勻一致性的材料做為底部電極1 4 0的材料,例 如選用TiN、TiN/W之類的金屬材料。底部電極的厚度一般 而言只要是稍小於上述"a ”的大小,例如約3 0 0至6 0 0埃即Page 9 4 59 37 〇 5 'Description of the invention (7) The second etch stop layer 1 2 5 and the second dielectric layer 1 2 0 are etched, and stop at the first etch stop layer 115. After the photoresist pattern 130 is peeled off, an isotropic etching is then performed on the second dielectric layer 120 to form a recessed portion that is enlarged toward both sides of the opening 13 5. For example, as shown in the figure, the lengths of " a1 'are retracted toward the openings 13 and 5 respectively. Taking a preferred embodiment of | i, the length of n a " is about 500 angstroms to 100 angstroms is the best. The isotropic surname engraving in this step may be the selection of an appropriate chemical button. The etchants can be dry or wet, for example, dry isotropic shoe engraving can be done with 〇 cream slurry | 丨 etchants are used in the dielectric layer material of organic L 〇w-K, and CVD dielectric layer oxide For the substrate material, fluorine-containing etching gas can be used, and wet etching can use diluted hydrofluoric acid or BOE solution. 1! Please refer to Figure 3 ’and then apply a comprehensive surname engraving method. The first etch stop layer 1 1 5 exposed through money is used to expose the metal wire 1 0 5 to connect the subsequent bottom electrode of the capacitor. The second etch stop layer 1 2 5 uses a material with a lower surname engraving rate than the first money etch stop layer 1 15 as described previously. It is thicker than the first etch stop layer 115, so it can still keep part of the second etch stop layer 125 unremoved. i! | Subsequently, the capacitor bottom electrode 1 4 0 is formed by a chemical vapor deposition method. In a preferred embodiment, the material of the capacitor bottom electrode 1 40 can be conductive | Material that is less than 450 ° C and has uniformity during chemical vapor deposition as the material of the bottom electrode 140, for example, metal materials such as TiN and TiN / W are selected. In general, the thickness of the bottom electrode is only slightly smaller than the above " a '', for example, about 300 to 600 Angstroms.

第10頁 4 5 9 37 Ο 五、發明說明(8) 可,典型厚度約為40 0埃左右。 j 一保護性材料1 4 5接著沉積於上述電容溝渠内之底部 j電極1 4 0上並溢出於電容溝渠岸上的表面上。保護性的材 i料1 4 5係用以保護電容溝渠内之底部電極1 4 0,受到後續之 i 丨蝕刻步驟所蝕刻。因此,以可以旋塗法形成的光阻、底部 抗反射塗層或者其他可適用旋塗法形成的有機材質均可。 ! 接著一回蝕刻接著實施,以回蝕刻保護性材料1 4 5使 :得僅有電容溝渠内仍留有保護性材料1 4 5。如果保護性材 丨料1 4 5是選用光阻材料時例如正光阻,則此時也可以採用 ί低能量的部分曝光方式,如此,僅上半的保護性材料1 4 5 被顯影,因此可以很容易去除。此外,留於電容溝渠内的 保護性材料1 4 5之高度也不是重要關鍵,只要能覆蓋電容 溝渠内側壁及底部之底部電極,並露出電容溝渠岸上之底Page 10 4 5 9 37 〇 5. Description of the invention (8) Yes, the typical thickness is about 40 Angstroms. A protective material 1 4 5 is then deposited on the bottom of the capacitor trench j electrode 1 40 and overflows onto the surface on the bank of the capacitor trench. The protective material 1 4 5 is used to protect the bottom electrode 1 40 in the capacitor trench, and is etched by subsequent i 丨 etching steps. Therefore, a photoresist that can be formed by spin coating, an anti-reflection coating at the bottom, or other organic materials that can be formed by spin coating can be used. Etching is then performed one after the other to etch back the protective material 1 4 5 so that only the protective material 1 4 5 remains in the capacitor trench. If the protective material 1 4 5 is a photoresist material, such as a positive photoresist, then a low-energy partial exposure method can also be used. In this way, only the upper protective material 1 4 5 is developed, so it can be developed. It is easy to remove. In addition, the height of the protective material 1 4 5 remaining in the capacitor trench is not critical, as long as it can cover the inner wall of the capacitor trench and the bottom electrode at the bottom, and expose the bottom of the capacitor trench bank.

I 部電極即可。 | 請參考圖四所示的橫截面示意圖,接著利用保護性材 i料14 5保護電容溝渠内之底部電極,施以非等向性蝕刻技 i術以去除電容溝渠岸上表面及第二蝕刻終止層125開口侧 壁上的底部電極材料。以確保上述開口側壁的底部電極材 料被去除而達到隔離底部電極和頂部電極之效果。 緊接著,在保護性材料1 4 5去除後,一高介電常數的I electrode is sufficient. Please refer to the cross-sectional diagram shown in Figure 4, and then use protective material 14 5 to protect the bottom electrode in the capacitor trench, and apply an anisotropic etching technique to remove the upper surface of the capacitor trench bank and the second etching stop The layer 125 is a bottom electrode material on the side wall of the opening. In order to ensure that the bottom electrode material of the opening side wall is removed to achieve the effect of separating the bottom electrode and the top electrode. Immediately after the protective material 1 4 5 is removed, a high dielectric constant

第11頁 459 37 0 j --------—- 丨五、發明說明(9) 介電層1 5 0接著沉積於電容溝渠内的底部電極上,以做為 電容介電層。以一較佳的實施例而言,介電層1 5 0最好選 :擇適合於以化學氣相沉積法沉積時具有均勻一致性,及適 合低溫材料沉積者,例如T a 20抨為一例。介電層1 5 0之厚 度約為4 0 - 1 0 0埃。Page 11 459 37 0 j --------——- 丨 V. Description of the invention (9) A dielectric layer 1 50 is then deposited on the bottom electrode in the capacitor trench as a capacitor dielectric layer. In a preferred embodiment, the dielectric layer 1 50 is preferably selected: one suitable for uniform deposition during chemical vapor deposition and suitable for low temperature material deposition, such as Ta 20 as an example. . The thickness of the dielectric layer 150 is about 40-100 Angstroms.

I 隨後,電容頂部電極材料1 5 5接著沉積於介電層1 5 0 上。除填滿電容溝渠外並溢出於電容溝渠岸上的表面上, ! 再施以回蝕刻或者化學/機械式研磨的製程,以去除電容 溝渠岸上的頂部電極材料。電容頂部電極材料也可以選用 :如同上述底部電極1 4 0之金屬材料或其他導電性材料如複 丨晶矽層等。 丨 回蝕刻或者化學/機械式研磨製程,係以第二蝕刻終 止層1 2 5做為研磨終止層。因此電容溝渠岸上的介電層1 5 0 也一併去除。 請參考圖五所示的橫截面示意圖。第三介電層1 6 0接 ;著沉積於第二蝕刻終止層1 2 5上,第三介電層1 6 0之材料選 |擇如同第二介電層。可以選用low_k的介電層,例如以化I Subsequently, the capacitor top electrode material 1 5 is then deposited on the dielectric layer 1 50. In addition to filling the capacitor trench and spilling onto the surface of the capacitor trench bank, an etch-back or chemical / mechanical grinding process is performed to remove the top electrode material on the capacitor trench bank. The material of the capacitor top electrode can also be selected as the above-mentioned metal material of the bottom electrode 140 or other conductive materials such as a crystalline silicon layer.丨 The etch-back or chemical / mechanical polishing process uses the second etching stop layer 1 2 5 as the polishing stop layer. Therefore, the dielectric layer 150 on the bank of the capacitor trench is also removed. Please refer to the schematic diagram of the cross section shown in Figure 5. The third dielectric layer 160 is connected; deposited on the second etch stop layer 125, the material of the third dielectric layer 160 is selected as the second dielectric layer. Low_k dielectric layer can be selected, such as

I 1學氣相沉積法沉積之黑鑽石、c 〇 r a 1或以旋塗式(s p 1 η - q η ) 方法沉積之的S i L Κ、F 1 a i r、Η 0 S Ρ等,其甲之一。當然在 沉積第三介電層1 6 0前也可以再沉積一第三蝕刻終止層(未 ;圖示)於第二蝕刻終止層者1 2 5之上,以保護頂部電極1 5 5I 1 learn black diamond deposited by vapor deposition method, 〇ra 1 or S i L κ, F 1 air, Η 0 S Ρ etc. deposited by spin coating method (sp 1 η-q η), one. Of course, before depositing the third dielectric layer 160, a third etch stop layer (not shown) may be deposited on the second etch stop layer 1 2 5 to protect the top electrode 1 5 5

第12頁 459370 五、發明說明(ίο) 蝕適 已要 前只 之, 強過 加不 者。 或力。 ,能的 傷止性 g 停擇 Inu. JJ 至、》 S 5 遷 蚀钮是 的之便 中層層 程止止 製終終 嵌刻刻 鑲蝕蝕 雙二三 行第第 進之, 在次理 於一處 免刻當 仍請參考圖五所示的橫截面示意圖,接著,形成一硬 i 式罩幕1 6 5於於第三介電層1 6 0上,硬式罩幕1 6 5係選自S i Μ 或SiC。接著再形成光阻圖案(未圖示)。光阻圖案(未圖 示)係在邏輯電路區1 2 7定義連接第一層導線1 0 5的介層洞 | 1 6 8位置。首先施以一非等向性蝕刻,以轉移光阻圖案(未 :i圖示)至硬式罩幕。再去除光阻圖案後以硬式罩幕為覃Page 12 459370 V. Description of the invention (ίο) Erosion should be the only one before, and better than the one that is not. Or force. Injury-resistant g can be selected Inu. JJ to, "S 5 migration button Yes, the middle layer of the stop, the end of the stop, the final embedded etched etched double two or three lines first, in the second order Please refer to the schematic cross-sectional view shown in Figure 5 at a time when there is no engraving. Next, a hard i-type mask 1 6 5 is formed on the third dielectric layer 16 0, and a hard-type mask 1 6 5 is selected. From SiM or SiC. Then, a photoresist pattern (not shown) is formed. The photoresist pattern (not shown) defines a via hole | 1 6 8 in the logic circuit area 1 2 7 to connect the first layer of wires 105. First, an anisotropic etching is performed to transfer the photoresist pattern (not shown in the figure) to the hard mask. After removing the photoresist pattern, a hard mask is used

I i幕,再施以一非等向性蝕刻,第三介電層160、第二蝕刻I i screen, and then perform an anisotropic etching, the third dielectric layer 160, the second etching

I i終止層12 5及第二介電層120。蝕刻停止於第一蝕刻終止層 :1 i 5上。 : 之後,請參考圖六所示的橫載面示意圖,以光阻圖案 1 7 5為罩幕,再次施以一非等向性蝕刻,蝕刻硬式罩幕 1 6 5、第三介電層1 6 0以形成導線溝渠1 8 0 a、 1 8 0 b於其中, 並停於第二蝕刻終止層1 2 5上,以曝露電容的頂部電極, 丨同時介層洞1 6 8中之第一蝕刻終止層1 1 5也蝕刻去除以曝露 i金屬導線1 0 5。 在去除光阻圖案1 7 5後,接著,再沉積金屬阻障層1 8 5 於所有的表面上,金屬阻障層18 5係選自氮化组、氮化 鈦,及鈦的其中之一種。最後,再沉積銅1 9 0,分別填入I i stop layer 125 and the second dielectric layer 120. Etching stops on the first etch stop layer: 1 i 5. : After that, please refer to the schematic diagram of the horizontal plane shown in FIG. 6, and use the photoresist pattern 1 5 5 as the mask, and then apply an anisotropic etching again to etch the hard mask 1 6 5 and the third dielectric layer 1 60 to form a wire trench 1 8 0 a, 1 8 0 b therein, and stop on the second etch stop layer 1 2 5 to expose the top electrode of the capacitor, and at the same time, the first of the via holes 1 6 8 The etch stop layer 1 1 5 is also etched away to expose the i metal wire 105. After removing the photoresist pattern 175, a metal barrier layer 185 is then deposited on all surfaces. The metal barrier layer 185 is selected from the group consisting of a nitride group, titanium nitride, and titanium. . Finally, copper is deposited again and filled in separately.

第13頁 4 59 37 G :五、發明說明(11) j 丨介層洞1 6 8及導線溝渠1 8 0 a、1 8 0 b °再以化學/機械式研磨 的製程去除導線溝渠岸上的多餘銅層,硬式罩幕165,而 |完成電容與雙鑲嵌製程。 ; 以上所述僅為本發明之較佳實施例而已,並非用以限 i定本發明之申請專利範圍;凡其它未脫離本發明所揭示之 :精神下所完成之等效改變或修飾,均應包含在下述之申請 專利範圍内。Page 13 4 59 37 G: V. Description of the invention (11) j 丨 Interlayer hole 1 6 8 and wire channel 1 8 0 a, 1 8 0 b ° The chemical / mechanical grinding process is used to remove the Excess copper layer, hard mask 165, and complete capacitor and dual damascene process. The above is only a preferred embodiment of the present invention, and is not intended to limit the scope of the patent application for the present invention; any other equivalent changes or modifications made without departing from the spirit of the present invention: It is included in the scope of patent application described below.

第14頁 459370 圖式簡單說明 本發明的較佳實施例將於往後之說明文字中輔以下列圖形 做更詳細的闡述: 圖一顯示依據本發明之方法以光阻圖案定義DRAM區的 丨電容的橫截面示意圖。 圖二顯示依據本發明之方法施以非等向性蝕刻再施以 等向性蝕刻以形成向開口後退的電容溝渠於第二介電層之 i中的橫載面示意圖。 j 圖三顯示依據本發明之方法形成底部電極及保護層於 :電容溝渠内部之橫截面示意圖。 圖四顯示依據本發明之方法形成電容介電層、頂部電 極。再予以化學/機械式研磨製程以去除電容溝渠岸上多 丨餘之金屬層的橫截面示意圖。 i 圖五顯示依據本發明之方法,在形成介層洞於邏輯 區,再以光阻圖案定義連接線溝渠的橫截面示意圖。 圖六顯示依據本發明之方法形成金屬阻障層於内連線 ! 溝渠及介層洞側壁及底部再回填銅金屬及施以化學/機械 式研磨製程以完成本發明的橫截面示意圖。 斕號對照表: j導線105 第一介電層110 i第一蝕刻終止層115 第二介電層120 第二蝕刻終止層125 DRAM區126 j459370 on page 14 illustrates the preferred embodiment of the present invention briefly in the following explanatory texts with the following figures for more detailed explanation: Figure 1 shows a method of defining a DRAM area with a photoresist pattern according to the method of the present invention 丨Schematic cross-section of a capacitor. FIG. 2 is a schematic diagram of a cross-section of a second dielectric layer i in which a capacitive trench receding to the opening is formed by applying anisotropic etching and then applying isotropic etching according to the method of the present invention. j FIG. 3 is a schematic cross-sectional view of forming a bottom electrode and a protective layer inside the capacitor trench according to the method of the present invention. Figure 4 shows the formation of a capacitor dielectric layer and a top electrode according to the method of the present invention. A schematic cross-sectional view of a chemical / mechanical grinding process to remove excess metal layers on the banks of capacitor trenches. i Figure 5 is a schematic cross-sectional view of a method for forming a via hole in a logic region according to the present invention, and then defining a connection line trench with a photoresist pattern. Figure 6 shows a cross-sectional schematic diagram of forming a metal barrier layer on the interconnects according to the method of the present invention, and backfilling copper metal on the sidewalls and bottoms of trenches and vias and applying a chemical / mechanical polishing process to complete the present invention. No. comparison table: j wire 105 first dielectric layer 110 i first etch stop layer 115 second dielectric layer 120 second etch stop layer 125 DRAM area 126 j

第15頁 459370 I圖式簡單說明 邏輯電路區1 2 7 開口 135 保護性材料1 4 5 頂部電極1 5 5 :硬式罩幕165 光阻圖案1 7 5 金屬阻障層1 8 5 5 6 ο 極 1—- —一 3 1 電層層 案部電電 圖底介介 阻容容三 光電電第 介層洞1 6 8 導線溝渠1 8 0 a、1 8 0 b 銅1 9 ΟPage 15 459370 I Schematic description of the logic circuit area 1 2 7 Opening 135 Protective material 1 4 5 Top electrode 1 5 5: Hard mask 165 Photoresist pattern 1 7 5 Metal barrier layer 1 8 5 5 6 ο pole 1 — — — 1 3 1 Electrical layer bottom case Electrical diagram Bottom Dielectric resistance Capacitance Three Photoelectric first dielectric layer hole 1 6 8 Wire trench 1 8 0 a, 1 8 0 b Copper 1 9 Ο

第16頁Page 16

Claims (1)

459 37 Ο 六、申請專利範圍 丨1. 一種製造電容於内連線金屬層之間之方法,該方法至 · 少包含以下步驟: I 提供一基板,該基板已形成一第一介電層於其上,且 ;金屬導線形成於其中,並且該金屬導線與該第一介電層同 丨 平面; I 形成一第一钱刻終止層於該第一介電層及該金屬導線 L i上; 形成一第二介電層於該第一#刻終止層上; 形成一第二蝕刻終止層於該第二介電層上; 施以微影及蝕刻技術以形成電容溝渠區於該第二介電 層中,其中該電容溝渠區以該第一光阻圖案為罩幕蝕刻該 i第二蝕刻終止層以形成之開口為開口 ,且該開口寬度小於 丨該第二介電層中之電容溝渠區寬度,且該電容溝渠區之該 、容溝渠底部連接第一蝕刻終止層下之該金屬導線; i 形成一第一導體層於電容溝渠底部、側壁、該開口侧 壁及該電容溝渠岸上;459 37 〇 6. Application scope 丨 1. A method for manufacturing a capacitor between interconnect metal layers, the method at least includes the following steps: I Provide a substrate, the substrate has formed a first dielectric layer on Thereon, and; a metal wire is formed therein, and the metal wire is coplanar with the first dielectric layer; I forms a first etch stop layer on the first dielectric layer and the metal wire Li; Forming a second dielectric layer on the first #etch stop layer; forming a second etch stop layer on the second dielectric layer; applying lithography and etching techniques to form a capacitor trench region on the second dielectric layer In the electrical layer, the capacitor trench region uses the first photoresist pattern as a mask to etch the i-second etch stop layer to form an opening formed as an opening, and the width of the opening is smaller than the capacitor trench in the second dielectric layer. The width of the capacitor trench, and the bottom of the capacitor trench and the bottom of the capacitor trench are connected to the metal wire under the first etch stop layer; i forming a first conductor layer on the bottom of the capacitor trench, the sidewall, the opening sidewall and the bank of the capacitor trench; 第17頁 459370 六、申請專利範圍 研磨停止層以完成電容頂部電極。 I I j :2 如申請專利範圍第1項之方法,其中上述之形成電容溝 渠區之步驟至少包含: 形成一第一光阻圖案於該第二蝕刻終止層上,以定義 I 電容溝渠區; 施以非等向蝕刻技術以蝕刻該第二蝕刻終止層以形成 I 一開口及蝕刻該第二介電層,以形成電容溝渠,以該 :第一光阻圖案為罩幕; 去除該第一光阻圖案; 經由該開口等向性蝕刻該第二介電層,以使得該第二 i介電層向開口兩側邊後退,以擴大該電容溝渠之表面積; 丨及 ! 蝕穿該電容溝渠底部曝露之第一蝕刻終止層。 ;3 ·如申請專利範圍第2項之方法,其中上述之等向性姓刻 以使得該第二介電層向開口兩側邊後退至約50 0埃至1000 埃。 :4.如申請專利範圍第1項之方法,其中上述之第一蝕刻終 止層厚度約3 0 0 - 6 0 0埃,且係選自氮矽氧化層、或S 1 C其中 ;之一。 I 5.如申請專利範圍第1項之方法,其中上述之第二蝕刻終Page 17 459370 6. Scope of patent application Grind the stop layer to complete the capacitor top electrode. II j: 2 The method according to item 1 of the scope of patent application, wherein the step of forming the capacitor trench region at least includes: forming a first photoresist pattern on the second etch stop layer to define the I capacitor trench region; Anisotropic etching is used to etch the second etch stop layer to form an I opening and etch the second dielectric layer to form a capacitor trench. The first photoresist pattern is used as a mask. The first light is removed. Resist pattern; isotropically etch the second dielectric layer through the opening, so that the second i dielectric layer recedes to both sides of the opening to expand the surface area of the capacitor trench; and etch through the bottom of the capacitor trench The exposed first etch stop layer. ; 3. The method according to item 2 of the scope of patent application, wherein the above-mentioned isotropic surname is engraved so that the second dielectric layer recedes to about 50 angstroms to 1,000 angstroms toward both sides of the opening. 4. The method according to item 1 of the scope of patent application, wherein the thickness of the first etching stop layer is about 300-600 angstroms, and is one selected from the group consisting of silicon nitride oxide or S 1 C; I 5. The method according to item 1 of the scope of patent application, wherein the above-mentioned second etching ends 第丨8頁 4 59 37 Ο i π --— 六、申請專利範圍 止層厚度約800-120 0埃,且係選自氮化矽層、或Si C層其 中之一。 6, 如申請專利範圍第1項之方法,其中上述之第一介電 層、第二介電層係一 l〇w-k的介電層並選自以化學氣相沉 積法沉積之low-k黑鑽石、coral或以旋塗式(spin-on)方 法沉積之low-k的SiLK、Flair、H0SP等其中之一。 7. 如申請專利範圍第1項之方法,其中上述之保護層係旋 i塗法形成的光阻、底部抗反射塗層或者旋塗法形成的有機 丨塗層其中之一。 8 ·如申請專利範圍第1項之方法,在施以化學/機械式研 磨製程後更包含形成介層洞以連接邏輯區的導線,及導線 溝渠以連接電容之頂部電極。 j 9.如申請專利範圍第8項之方法,其中上述之形成介層洞 :以連接邏輯區的導線,及導線溝渠以連接電容之頂部電極 至少包含以下步驟: : 形成一第三介電層於該第二蝕刻終止層上; ! 形成一硬式罩幕層於該第三介電層上; i 形成一第二光阻圖案於該硬式罩幕層上以定義介層 洞; 轉移該第二光阻圖案至該硬式罩幕層;Page 丨 8 4 59 37 Ο i π --- VI. Scope of patent application The thickness of the stop layer is about 800-120 Angstroms, and it is one of the silicon nitride layer or Si C layer. 6. The method according to item 1 of the scope of patent application, wherein the first dielectric layer and the second dielectric layer are 10wk dielectric layers and are selected from low-k black deposited by chemical vapor deposition. Diamond, coral or low-k SiLK, Flair, HOSP etc. deposited by spin-on method. 7. The method according to item 1 of the patent application scope, wherein the protective layer is one of photoresist formed by spin coating, bottom anti-reflection coating, or organic coating formed by spin coating. 8 · The method of item 1 of the scope of patent application, after applying the chemical / mechanical grinding process, further includes a wire forming a via to connect the logic region, and a wire trench to connect the top electrode of the capacitor. j 9. The method according to item 8 of the scope of patent application, wherein the above-mentioned formation of a via hole: a wire connecting the logic region and a wire trench connecting the top electrode of the capacitor includes at least the following steps: forming a third dielectric layer On the second etch stop layer;! Forming a hard mask layer on the third dielectric layer; i forming a second photoresist pattern on the hard mask layer to define a via hole; transferring the second A photoresist pattern to the hard mask curtain layer; 第19頁 4 5 9 37 0 :六、申請專利範圍 去除該第二光阻圖案; | 以該硬式罩幕為罩幕,蝕刻該第三介電層、該第二蝕 刻終止層、該第二介電層,以停止於該第一蝕刻終止層 i上,以形成介層洞; 形成一第三光阻圖案於該硬式罩幕層上以定義導線溝 渠; 钱刻該第三介電層停止於該第一钮刻終止層上,同時 钱刻該介層洞内之該第一蝕刻終止層以露出該金屬導線; 去除該第三光阻圖案; 形成金屬阻障層於該介層洞及該導線溝渠的所有表 面; 回填該介層洞及該導線溝渠以銅金屬;及 施以化學/機械式研磨的製程以該第三介電層為研磨 :終止層。 1 〇,如申請專利範圍第9項之方法,其中上述之硬式罩幕 I 層係氮化矽層或碳化矽。 |ll,如申請專利範圍第9項之方法,其中上述之金屬阻障 ,層係選自II化叙 ' 氮化鈦,及鈦的其中之一種。 ! I 1 2. —種利用雙鑲嵌製程同時製造電容之方法,該方法至 i少包含以下步驟: ' 提供一基板,該基板已形成一第一介電層於其上,且Page 19 4 5 9 37 0: Sixth, the scope of patent application for removing the second photoresist pattern; | Using the hard mask as a mask, etching the third dielectric layer, the second etch stop layer, the second A dielectric layer is stopped on the first etch stop layer i to form a dielectric hole; a third photoresist pattern is formed on the hard mask layer to define a wire channel; the third dielectric layer is engraved to stop On the first button stop layer, and simultaneously engraving the first etch stop layer in the via hole to expose the metal wire; removing the third photoresist pattern; forming a metal barrier layer on the via hole and All surfaces of the lead trench; backfill the via hole and the lead trench with copper metal; and a process of chemical / mechanical polishing using the third dielectric layer as a polishing: stop layer. 10. The method of item 9 in the scope of patent application, wherein the hard mask I layer is a silicon nitride layer or silicon carbide. ll, as in the method of claim 9 of the scope of patent application, wherein the above-mentioned metal barrier, the layer is selected from the group consisting of titanium nitride and titanium. I 1 2. —A method for simultaneously manufacturing capacitors using a dual damascene process, which at least includes the following steps: 'Provide a substrate on which a first dielectric layer has been formed, and 第20頁 459370 六、申請專利範圍 金屬導線形成於其中; 依序形成一第一钱刻終止層、第二介電層、第二钱刻 |終止層於該第一介電層及該金屬導線上; ! 形成一第二蝕刻終止層於該第二介電層上; ,施以微影及蝕刻技術以形成電容溝渠區於該第二介電層 中,其中該電容溝渠區以該第一光阻圖案為罩幕蝕刻該第 二蝕刻終止層以形成之開口為開口 ,且該開口寬度小於該 ;第二介電層中之電容溝渠區寬度,且該電容溝渠區之該電 丨容溝渠底部連接第一银刻終止層下之該金屬導線; 丨 形成一第一導體層於電容溝渠底部、侧壁、該開口側 壁及該電容溝渠岸上; 形成一保護層於電容溝渠内,以保護該第一導體層; 做為底部電極; i施以蝕刻技術以蝕刻該電容溝渠岸上及該開口側壁之該第 一導體層,該電容溝渠内之該第一導體層係作為底部電 I 極t 去除該保護層; ; 形成一電容介電層於該底部電極及該電容溝渠岸上; 形成一第二導體層,以填滿該電容溝渠; 施以化學/機械式研磨製程,以該第二蝕刻終止層為 |研磨停止層; 1 形成一第三介電層於該第二蝕刻終止層上; 形成一硬式覃幕層於該第三介電層上; ! 施以介層洞及導線溝渠之雙鑲嵌蝕刻,其中該介層洞Page 20 459370 6. The scope of the patent application metal wire is formed in it; a first stop layer, a second dielectric layer, and a second stop layer are sequentially formed on the first dielectric layer and the metal conductive layer. Online;! Forming a second etch stop layer on the second dielectric layer; and applying lithography and etching techniques to form a capacitor trench region in the second dielectric layer, wherein the capacitor trench region starts with the first The photoresist pattern is a mask to etch the second etch stop layer to form an opening formed as an opening, and the width of the opening is smaller than that; the width of the capacitor trench region in the second dielectric layer, and the capacitor trench in the capacitor trench region The bottom is connected to the metal wire under the first silver etch stop layer; 丨 forming a first conductor layer on the bottom of the capacitor trench, the sidewall, the opening sidewall and the bank of the capacitor trench; forming a protective layer in the capacitor trench to protect the capacitor trench The first conductor layer is used as the bottom electrode; i is an etching technique to etch the first conductor layer on the bank of the capacitor trench and the side wall of the opening, and the first conductor layer in the capacitor trench serves as the bottom electrode I t Removing the protective layer; forming a capacitor dielectric layer on the bottom electrode and the bank of the capacitor trench; forming a second conductor layer to fill the capacitor trench; applying a chemical / mechanical polishing process to the second etching The stop layer is a grinding stop layer; 1 a third dielectric layer is formed on the second etch stop layer; a hard Qin curtain layer is formed on the third dielectric layer; a via hole and a wire trench are applied; Double damascene etch, in which the interlayer hole 第21頁 4 59 37 0 六、申請專利範圍 接連邏輯區之導線,該導線溝渠至少一連接至該頂部電 極; 形成一第二光阻圖案於該硬式罩幕層上以定義介層 洞; 轉移該第二光阻圖案至該硬式罩幕層; 去除該第二光阻圖案; 以該硬式覃幕為罩幕,蝕刻該第三介電層、該第二蝕 刻終止層、該第二介電層,以停止於該第一 I虫刻終止層 上,以形成介層洞; 形成一第三光阻圖案於該硬式罩幕層上以定義導線溝 渠; I虫刻該第三介電層停止於該第一姓刻终止層上,同時 姓刻該介層洞内之該第一姓刻終止層以露出該金屬導線; 去除該第三光阻圖案; 形成金屬阻障層於該介層洞及該導線溝渠的所有表 面; 回填該介層洞及該導線溝渠以銅金屬;及 施以化學/機械式研磨的製程以該第三介電層為研磨 終止層。 1 3.如申請專利範圍第1 2項之方法,其中上述之形成電容 溝渠區於該第二介電層中之步驟至少包含: 形成一第一光阻圖案於該第二蝕刻終止層上,以定義 電容溝渠區,Page 21 4 59 37 0 6. The patent application scope is a wire connected to the logic area, at least one of the wire trench is connected to the top electrode; a second photoresist pattern is formed on the hard mask layer to define a via hole; transfer The second photoresist pattern to the hard mask layer; removing the second photoresist pattern; using the hard Qin curtain as a mask, etching the third dielectric layer, the second etch stop layer, and the second dielectric Layer to stop on the first I etch stop layer to form a via hole; to form a third photoresist pattern on the hard mask layer to define a wire channel; I etch the third dielectric layer to stop On the first engraved termination layer, and simultaneously engraving the first engraved termination layer in the via hole to expose the metal wire; removing the third photoresist pattern; forming a metal barrier layer on the via hole And all surfaces of the lead trench; backfilling the via hole and the lead trench with copper metal; and performing a chemical / mechanical polishing process using the third dielectric layer as a polishing stop layer. 13. The method according to item 12 of the scope of patent application, wherein the step of forming a capacitor trench region in the second dielectric layer at least includes: forming a first photoresist pattern on the second etch stop layer, To define the capacitor trench area, 第22頁 ^-59 37 0 I六、申請專利範圍 施以非等向蝕刻技術以蝕刻該第二蝕刻終止層以形成 一開口及蝕刻該第二介電層,以形成電容溝渠,以該第一 光阻圖案為罩幕; 去除該第一光阻圖案; 經由該開口等向性蝕刻該第二介電層,以使得該第二 :介電層向開口兩側邊後退,以擴大該電容溝渠之表面積; k I ; 蝕穿該電容溝渠底部曝露之第一蝕刻終止層。 1 4.如申請專利範圍第1 3項之方法,其中上述之第一蝕刻 終止層厚度約3 0 0 - 6 0 0埃,且係選自氮矽氧化層、或S i C其 令 -— 0 :1 5.如申請專利範圍第1 3項之方法,其中上述之第二蝕刻 終止層厚度約8 0 0 - 1 2 0 0埃,且係選自氮化矽層、或S 1 C層 I 1其中之一。 1 1 6.如申請專利範圍第1 3項之方法,其中上述之第二介電 層、第二介電層及第二介電層係一 low-k的介電層並選自 以化學氣相沉積法沉積之1 〇 w - k黑鑽石、c 〇 r a丨或以旋塗 式(spin-on)方法沉積之 low-k 的 SiLK、Flair、H0SP等其 i中之一。Page 22 ^ -59 37 0 I. Application scope: Applying non-isotropic etching technology to etch the second etch stop layer to form an opening and etch the second dielectric layer to form a capacitor trench. A photoresist pattern is a mask; the first photoresist pattern is removed; the second dielectric layer is isotropically etched through the opening so that the second: the dielectric layer recedes to both sides of the opening to expand the capacitor The surface area of the trench; k I; etched through the first etch stop layer exposed at the bottom of the capacitor trench. 14. The method according to item 13 of the scope of patent application, wherein the thickness of the first etch stop layer is about 300-600 angstroms, and is selected from a silicon nitride oxide layer, or SiC, which makes- 0: 1 5. The method according to item 13 of the scope of patent application, wherein the thickness of the second etching stop layer is about 8 0 0-1 2 0 0 angstroms, and is selected from a silicon nitride layer or an S 1 C layer. I 1 is one of them. 1 1 6. The method according to item 13 of the scope of patent application, wherein the second dielectric layer, the second dielectric layer, and the second dielectric layer are a low-k dielectric layer and are selected from chemical gas One of iW-k black diamonds, cora, or low-k SiLK, Flair, HOSP, etc. deposited by a spin-on method using phase deposition. 第23頁Page 23
TW89123568A 2000-11-08 2000-11-08 Method to manufacture MIM capacitor by dual damascene process TW459370B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89123568A TW459370B (en) 2000-11-08 2000-11-08 Method to manufacture MIM capacitor by dual damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89123568A TW459370B (en) 2000-11-08 2000-11-08 Method to manufacture MIM capacitor by dual damascene process

Publications (1)

Publication Number Publication Date
TW459370B true TW459370B (en) 2001-10-11

Family

ID=21661852

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89123568A TW459370B (en) 2000-11-08 2000-11-08 Method to manufacture MIM capacitor by dual damascene process

Country Status (1)

Country Link
TW (1) TW459370B (en)

Similar Documents

Publication Publication Date Title
CN102420210B (en) Device with through-silicon via (tsv) and method of forming the same
US10490500B2 (en) Metal line structure and method
US20050176239A1 (en) Method for making contact making connections
TW202114117A (en) Semiconductor structure
CN110890315B (en) Semiconductor structure with Damascus structure and preparation method thereof
CN104733371B (en) The align structures of silicon hole forming method and semiconductor devices
CN208706618U (en) Semiconductor structure with damascene structure
CN103377991B (en) The formation method of groove
KR20150067748A (en) Bi-layer hard mask for robust metalization profile
TW200425298A (en) Fabrication method for a damascene bitline contact
CN103594415B (en) The forming method of semiconductor device
CN103066014A (en) Copper/ air gap preparation method
CN211350636U (en) Semiconductor device with a plurality of transistors
TWI707401B (en) Fully aligned via in ground rule region
CN103928394B (en) The preparation method of metal interconnect structure
TW459370B (en) Method to manufacture MIM capacitor by dual damascene process
TWI245325B (en) Semiconductor device with partially recessed hard mask and method for contact etching thereof
KR100387265B1 (en) Method of manufacturing a metal wiring and a capacitor in a semiconductor device
US7015149B2 (en) Simplified dual damascene process
CN104392959A (en) Method for manufacturing dual damascene structure
CN111211095A (en) Method for manufacturing conductive interconnection line
TW473924B (en) Method for reducing leakage current of interconnect dielectric layer in dual damascene process
TW379418B (en) Damascence involving borderless via technologies
CN102420177A (en) Method for producing super-thick top-layer metal by adopting dual damascene process
EP4270473A1 (en) Interconnect structure having different dimensions for connected circuit blocks in an integrated circuit

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent