TW452932B - Manufacturing method for self-aligned contact - Google Patents

Manufacturing method for self-aligned contact Download PDF

Info

Publication number
TW452932B
TW452932B TW89119717A TW89119717A TW452932B TW 452932 B TW452932 B TW 452932B TW 89119717 A TW89119717 A TW 89119717A TW 89119717 A TW89119717 A TW 89119717A TW 452932 B TW452932 B TW 452932B
Authority
TW
Taiwan
Prior art keywords
contact window
manufacturing
self
layer
patent application
Prior art date
Application number
TW89119717A
Other languages
Chinese (zh)
Inventor
Meng-Chang Liou
Shi-Jiou Wang
Original Assignee
Vanguard Int Semiconduct Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp filed Critical Vanguard Int Semiconduct Corp
Priority to TW89119717A priority Critical patent/TW452932B/en
Application granted granted Critical
Publication of TW452932B publication Critical patent/TW452932B/en

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A manufacturing method for self-aligned contact which includes the following steps: forming the wires on the substrate; depositing on the wires to form the etching stop layer; and depositing to form the sacrificial oxide layer; after defining the wiring structure, forming the spacer on both sides of the wiring structure; removing the sacrificial oxide to make the shape of the spacer protruded like a horn; next, depositing a dielectric with smooth upper surface on the substrate and the wiring structure; forming the connection of the contact hole and the substrate between the wiring structure; filling the conductive material into the contact hole to form the plugs.

Description

93 2 6076twf.doc/ΟΟβ A7 B7 五、發明說明(/) 本發明是有關於一種dram的製程,且特別是有關於 一種導線間自行對準接觸窗(self-aligned contact )的製造方 法。 在動態隨機存取記億體製程中,自行對準的主要目 的是爲了使絕緣層的隔離效果不會因後續進行的蝕刻步驟 而出現瑕疵。 第1A圖至第1B圖係繪示爲一種無自行對準接觸窗 的製造流程剖面圖。 首先請參照第1A圖,一種無自行對準接觸窗之製造 方法主要是在基底100上形成導線102,沈積一上表面平 坦之介電層104於基底100與導線102上,接著於兩導線 102間定義並蝕刻出接觸窗開口 106與基底100連接。 接著請參照第1B圖,於接觸窗開口 106內側形成絕 緣之間隙壁108,最後沈積導體材質形成導體插塞110。 當積集度日益提昇,導線結構間的距離會隨之縮短, 以上述方法製作接觸窗,在蝕刻介電層形成接觸窗開口 時,可能會因爲對不準而使導線暴露在接觸窗開口中。 習知提供了兩種自行對準接觸窗的製造方法,以改善 上述方法可能造成對不準之缺點,其中一種方法請參照第 2A-2C圖,而另一種方法請參照第2A、2D-2F圖。 請參照第2A圖,一般的自行對準接觸窗製造方法爲: 在基底200上形成導線202,沈積一層頂蓋層(cap layer )204 於導線202上,再覆蓋一層絕緣層206於基底200及頂蓋 層204上。 3 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注$項再填窝本頁)93 2 6076twf.doc / ΟΟβ A7 B7 V. Description of the invention (/) The present invention relates to a process of dram, and in particular to a method of manufacturing a self-aligned contact between wires. In the dynamic random access memory system, the main purpose of self-alignment is to prevent the isolation effect of the insulation layer from being defective due to subsequent etching steps. Figures 1A to 1B are cross-sectional views showing the manufacturing process of a self-aligned contact window. First, referring to FIG. 1A, a method for manufacturing a non-self-aligned contact window mainly includes forming a conductive line 102 on a substrate 100, depositing a flat upper surface of a dielectric layer 104 on the substrate 100 and the conductive line 102, and then on two conductive lines 102 The contact window openings 106 are defined and etched to connect with the substrate 100. Next, referring to FIG. 1B, an insulating gap wall 108 is formed inside the contact window opening 106, and finally a conductor material is deposited to form a conductor plug 110. When the degree of accumulation is increasing, the distance between the lead structures will be shortened accordingly. When the contact window is manufactured by the above method, when the contact layer opening is formed by etching the dielectric layer, the lead may be exposed to the contact window opening due to misalignment. . The conventional method provides two methods for manufacturing self-aligned contact windows to improve the disadvantages of the above methods, which may cause inaccuracies. For one method, please refer to Figures 2A-2C, and for the other method, please refer to Figures 2A and 2D-2F. Illustration. Referring to FIG. 2A, a general self-aligning contact window manufacturing method is: forming a conductive wire 202 on a substrate 200, depositing a cap layer 204 on the conductive wire 202, and then covering an insulating layer 206 on the substrate 200 and Top cover layer 204. 3 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) (please read the note on the back before filling in this page)

A. — lll· — — — 訂----------線 I 經濟邹智慧財產局員工消費合作社印製 4 5 29 3 2 6076twf.d〇c/006 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明(之) 接著請參照第2B圖,進行蝕刻至暴露出頂蓋層204 並於導線202兩側形成絕緣之間隙壁206a。 最後,請參照第2C圖,沈積一上表面平坦之介電層 208於基底200及頂蓋層204上,於兩導線202間定義並 蝕刻部分介電層208形成接觸窗開口 210與基底200連接’ 最後沈積導體材質於接觸窗開口中形成導體插塞110。 以此方法製作接觸窗,在介電層的蝕刻過程中頂蓋 層與間隙壁會被部分蝕刻而變薄甚至穿透,形成隔離上的 弱點而導致隔離效果不良的困擾。 首先請參照第2A圖,另一種自行對準接觸窗製造方 法。在基底200上形成導線202,於導線202上沈積一層 頂蓋層204,再覆蓋一層絕緣層206於頂蓋層204與基底200 上,但不進行回蝕刻的步驟,因此沒有形成間隙壁結構。 接著請參照第2D圖,沈積一上表面平坦之介電層208 於絕緣層206上。 接著請參照第2E圖,於兩導線202間定義並蝕刻部 分介電層208形成接觸窗開口 210與基底200連接。 最後請參照第2F圖,沈積導體材質於接觸窗中形成 導體插塞212。 以此方法進行介電層蝕刻過程中’接觸窗開口底部 的絕緣層會與介電層一倂被蝕刻,爲了避免蝕刻過度而引 起隔絕上弱點的困擾,所以接觸窗開口底部的絕緣層會因 爲蝕刻不完全而有些許的殘留,導致接觸窗開口與基底接 觸面積減小,阻値增加的缺點。 I I I I I I I L----^---— in— (請先閱讀背面之注意事項再填寫本頁> 本紙張尺度適用中0國家標準(CNS)A4規格(210 X 297公釐) ^ 5293 2 A7 ;0 76twf. doc/006 經濟部智慧財產局員工消費合作社印製 B7 五、發明說明($ ) 因此,本發明提出一種自行對準接觸窗的製造方法, 可同時改進上述習知中,隔離上弱點的問題及接觸窗開口 與基底接觸面積上蝕刻不完全的缺點。 本發明包括:於基底上形成一導線,於導線上形成 一蝕刻終止層,在於蝕刻終止層上形成犧牲氧化層。接著, 於導線結構側邊形成間隙壁,再將犧牲氧化層移除,使得 間隙壁的形狀突出如牛角。沈積一上表面平坦之介電層, 於導線間定義並蝕刻部分介電層形成接觸窗開口與基底連 接,再沈積導體材質於接觸窗開口中並回蝕刻形成導體插 塞。 本發明的主要優點在於間隙壁的結構,由於導線層, 蝕刻終止層及犧牲氧化層的堆疊結構將之後形成之間隙壁 拉高,接著藉由犧牲氧化層的移除而使間隙壁變得突出, 形狀類似牛角。 因爲在移除部分介電層形成接觸窗開口的過程中, 因採用介電層對氮化矽有較高的蝕刻選擇性之蝕刻劑,所 以牛角狀的氮化矽間隙壁的尖端被蝕刻的較少,可以避免 在導線結構外側頂端之間隙壁被蝕刻掉,而出現隔離上弱 點及暴露出導線的問題,換言之,即可增進導線與插塞間 的隔離效果。 此外,本發明中,在介電層移除以形成接觸窗開口 的過程中,間隙壁所扮演的角色相當重要,一方面可以改 善導線和導體插塞之間的隔離,另一方面可以進一步改善 接觸窗底部介電層蝕刻不完全的問題。 5 本紙張尺度適用中國國家標準(CNS)A4現格(210 * 297公釐) — — — — — 1^-----r---訂---------線 (請先閲讀背面之注意事項再填寫本頁) 經濟部智慧財產局員Η消費合作社印製 zJ 5 293 2 6076twf.doc/006 A7 _B7 五、發明說明(#) 本發明的另一優點在於製程中有較佳的製程變化空 間(process window ),因爲在介電層鈾刻以形成接觸窗開 口的過程中,間隙壁不易被蝕刻,故在蝕刻的時間上的限 制較寬,因此本發明有較佳的製程變化空間 本發明所形成之接觸窗與基底之間有較低的接觸阻 値(contact resistance ),因接觸窗開口與基底接觸的部分 被蝕刻的較完整之緣故。 爲讓本發明之上述和其他目的、特徵、和優點能更明 顯易懂,下文特舉較佳實施例,並配合所附圖式,作詳細 說明如下: 圖式之簡單說明: 第1A圖至第1B圖繪示的是習知一種未自行對準之接 觸窗製造流程剖面圖; 第2A圖至第2F圖繪示的是習知一種自行對準之接觸 窗製造流程剖面圖;以及 第3圖至第8圖繪示的是本發明之一種自行對準接觸 窗的製造流程剖面圖。 圖示元件標記 100, 200, 300 基底 102, 202, 302 導線 104, 208, 308 介電層 106, 210, 310 接觸窗開口 108, 206a, 306 間隙壁 110, 212, 312 導體插塞 6 衣---— l· — ·— 訂- ίιι_Ι1 C諝先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X四7公釐) &5293 2 6076twf.doc/006 A7 _ B7 五、發明說明(c) 204 頂蓋層 206 絕緣層 304 蝕刻終止層 305 犧牲氧化層 307 光阻層 較佳實施例 第3圖至第8圖繪示的是依照本發明一較佳實施例, 自行對準接觸窗的製造流程剖面圖。 首先,請參照第3圖,在基底300上形成一導體層302, 此導體材質可爲金屬、多晶砂化鎢(poly tungsten silicide ) ’形成一蝕刻終止層304於導體層302上,其材 質比如爲氮化矽,厚度爲300A ~ 2000A,形成方式比如爲 LP-CVD或PE-CVD或HDP-CVD的方式。形成一犧牲氧化 層305於犧牲氧化層304上,其形成方式比如爲LP-CVD 或PE-CVD,材質比如爲TE0S之二氧化矽,厚度爲200A ~100〇A,此二層形成一堆疊之結構(stack structure ),再以 光阻307定義出導線結構之位置。 定義出導線結構之後,請參照第4圖,以蝕刻方式將 未受光阻307保護的區域移除至暴露出基底300爲止。 接著請參照第5圖,形成一絕緣層覆蓋於導線結構上 與導線結構共行,形成方式比如爲LP-CVD,接著蝕刻絕 緣層至暴露出導線結構爲止,蝕刻方式包括普通蝕刻或濺 擊蝕刻,藉以在導線結構兩側形成間隙壁306,此間隙壁 厚度爲200A〜1000A的。 7 (請先間讀背面之注i項再琪寫本頁)A. — lll · — — — Order ---------- Line I Printed by Zou Intellectual Property Bureau Employee Consumer Cooperatives 4 5 29 3 2 6076twf.d〇c / 006 A7 B7 Intellectual Property Bureau, Ministry of Economic Affairs Printed by the employee's consumer cooperative V. Description of the invention (Part 1) Next, referring to FIG. 2B, etching is performed until the top cover layer 204 is exposed and insulating spacers 206a are formed on both sides of the wire 202. Finally, referring to FIG. 2C, a dielectric layer 208 with a flat upper surface is deposited on the substrate 200 and the capping layer 204, and a portion of the dielectric layer 208 is defined and etched between the two wires 202 to form a contact window opening 210 connected to the substrate 200. 'Finally, the conductor material is deposited in the contact window opening to form a conductor plug 110. When a contact window is manufactured by this method, the top cover layer and the spacer wall will be partially etched and thinned or even penetrated during the etching of the dielectric layer, forming a weak point on the isolation and causing a problem of poor isolation effect. First refer to Figure 2A, another self-aligned contact window manufacturing method. A conductive wire 202 is formed on the substrate 200, and a capping layer 204 is deposited on the conductive wire 202, and then an insulating layer 206 is covered on the capping layer 204 and the substrate 200, but no etch-back step is performed, so a spacer structure is not formed. Referring to FIG. 2D, a dielectric layer 208 having a flat upper surface is deposited on the insulating layer 206. Next, referring to FIG. 2E, a portion of the dielectric layer 208 is defined and etched between the two wires 202 to form a contact window opening 210 connected to the substrate 200. Finally, referring to FIG. 2F, a conductor material is deposited in the contact window to form a conductor plug 212. During the dielectric layer etching process using this method, the insulating layer at the bottom of the contact window opening will be etched together with the dielectric layer. In order to avoid the problem of isolation due to excessive etching, the insulating layer at the bottom of the contact window opening will be The incomplete etching and a small amount of residues lead to the disadvantages that the contact area of the contact window opening and the substrate is reduced and the resistance is increased. IIIIIII L ---- ^ ---— in— (Please read the notes on the back before filling in this page> This paper size is applicable to the 0 National Standard (CNS) A4 specification (210 X 297 mm) ^ 5293 2 A7; 0 76twf. Doc / 006 Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs B7 V. Description of the Invention ($) Therefore, the present invention proposes a method for manufacturing self-aligning contact windows, which can simultaneously improve the conventional knowledge and isolation. The problem of upper weakness and the disadvantage of incomplete etching on the contact area between the contact window opening and the substrate. The invention includes: forming a wire on the substrate, forming an etch stop layer on the wire, and forming a sacrificial oxide layer on the etch stop layer. A gap wall is formed on the side of the wire structure, and then the sacrificial oxide layer is removed, so that the shape of the gap wall protrudes like a horn. A dielectric layer with a flat upper surface is deposited, and a portion of the dielectric layer is defined and etched between the wires to form a contact window. The opening is connected to the substrate, and then a conductor material is deposited in the opening of the contact window and etched back to form a conductor plug. The main advantage of the present invention is the structure of the partition wall. The stacked structure of the etch stop layer and the sacrificial oxide layer pulls up the spacer wall formed later, and then the spacer wall is protruded by the removal of the sacrificial oxide layer, and the shape is similar to a horn. Because a portion of the dielectric layer is removed to form a contact During the opening of the window, the dielectric layer has an etchant with a higher etching selectivity for silicon nitride, so the tip of the horn-shaped silicon nitride spacer is less etched, which can avoid the top of the outer side of the wire structure. The gap wall is etched away, and the problems of isolating the weak points and exposing the wires appear, in other words, the isolation effect between the wires and the plug can be improved. In addition, in the present invention, the dielectric layer is removed to form a contact window opening. During the process, the role of the gap wall is very important, on the one hand, it can improve the isolation between the wire and the conductor plug, and on the other hand, it can further improve the problem of incomplete etching of the dielectric layer at the bottom of the contact window. 5 This paper standard applies Chinese National Standard (CNS) A4 is now available (210 * 297 mm) — — — — — 1 ^ ----- r --- Order --------- line (Please read the note on the back first Refill (This page) Printed by a member of the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives zJ 5 293 2 6076twf.doc / 006 A7 _B7 V. Description of the invention Another advantage of the present invention is that it has better process variation space in the process (process window ), Because the dielectric layer is not easily etched during the process of etching the dielectric layer uranium to form the contact window opening, the time limitation on etching is relatively wide, so the present invention has a better process variation space. There is a lower contact resistance between the contact window and the substrate, because the portion of the contact window opening that is in contact with the substrate is etched more completely. In order to make the above and other objects, features, and advantages of the present invention more comprehensible, the preferred embodiments are described below in detail with the accompanying drawings as follows: Brief description of the drawings: FIG. 1A to Figure 1B is a cross-sectional view of a conventional self-aligned contact window manufacturing process; Figures 2A to 2F are cross-sectional views of a conventional self-aligned contact window manufacturing process; and Figures 8 to 8 are cross-sectional views of the manufacturing process of a self-aligning contact window according to the present invention. Symbols shown in the figures 100, 200, 300 Substrate 102, 202, 302 Wire 104, 208, 308 Dielectric layer 106, 210, 310 Contact window opening 108, 206a, 306 Spacer 110, 212, 312 Conductor plug 6 --- l · — · — Order-ίι_Ι1 C 谞 Read the precautions on the back before filling out this page) This paper size applies to China National Standard (CNS) A4 (210 X 47 mm) & 5293 2 6076twf. doc / 006 A7 _ B7 V. Description of the invention (c) 204 Top cap layer 206 Insulation layer 304 Etching stop layer 305 Sacrificial oxide layer 307 Photoresist layer preferred embodiments Figures 3 to 8 show the invention according to the present invention A preferred embodiment is a cross-sectional view of a manufacturing process of self-aligning a contact window. First, referring to FIG. 3, a conductor layer 302 is formed on a substrate 300. The material of the conductor may be metal or poly tungsten silicide. An etching stop layer 304 is formed on the conductor layer 302. For example, it is silicon nitride with a thickness of 300A to 2000A. The formation method is LP-CVD, PE-CVD, or HDP-CVD. A sacrificial oxide layer 305 is formed on the sacrificial oxide layer 304. The formation method is, for example, LP-CVD or PE-CVD, and the material is, for example, TE0S silicon dioxide, and the thickness is 200A to 100A. The two layers form a stack. The structure (stack structure), and the position of the wire structure is defined by the photoresist 307. After defining the wire structure, please refer to FIG. 4 to remove the area not protected by the photoresist 307 by etching until the substrate 300 is exposed. Referring to FIG. 5, an insulating layer is formed on the wire structure to coexist with the wire structure. The formation method is, for example, LP-CVD, and then the insulating layer is etched until the wire structure is exposed. The etching method includes ordinary etching or sputtering etching. Therefore, a spacer 306 is formed on both sides of the wire structure, and the thickness of the spacer is 200A to 1000A. 7 (Please read Note i on the back before writing this page)

衣----l· I I I 訂·! I I----I 經濟部智慧財產局員Η消費合作社印製 I I n I IP I 1 1 . 本紙張尺度適用中國國家標準(CNS>A4規格(210 X 297公釐) A7 B7 45293 2 6076twf < d〇c/006 五、發明說明(6) 形成間隙壁306之後,請參照第6圖,將犧牲氧化層 305剝除,蝕刻的步驟係採用介電層對氮化矽有較高的蝕 刻選擇性之蝕刻劑進行,使得間隙壁306的結構突出如牛 角。接著在整個基底上方形成一層上表面平坦之介電層 308,介電層308之材質比如爲二氧化矽。 接著請參照第7圖,進行微影與蝕刻製程’藉以在導 線結構之間形成接觸窗開口 310,蝕刻的步驟係採用介電 層對氮化矽有較高的蝕刻選擇性之蝕刻劑進行’至暴露出 基底300爲止。 最後,請參照第8圖,於形成接觸窗開口 310以後’ 在整個結構上形成一層導體材質並塡入接觸窗開口 31〇 中,再去除介電層308上方與接觸窗開口 310以外的導電 材質,以形成在接觸窗開口 310中之導體插塞312 ’去除 導電材質的方法比如爲回蝕刻與化學機械硏磨法。 綜上所述,本發明的特徵在於導線層,蝕刻終止層及 犧牲氧化層之堆疊結構將絕緣之間隙壁拉高,再將犧牲氧 化層剝除使間隙壁變得突出,結構如牛角。接著於整個結 構上形成一上表面平坦之介電層,定義接觸窗開口 ’採用 介電層對氮化矽有較高的蝕刻選擇性之蝕刻劑將接觸窗開 口位置上的介電層剝除,形成接觸窗開口與基底連接。在 移除介電層以形成接觸窗開口的過程中,因爲採用介電層 對氮化矽有較高的蝕刻選擇性之蝕刻劑,所以牛角結構的 間隙壁的尖端較被蝕刻的較少,可以確保導線與插塞間的 隔離。也因爲間隙壁的尖端較不容易被蝕刻,所以在纟虫刻 8 本紙張尺度適用中國困豕標準(CNS)A4規格(210 X 297公楚) — II---— 111 — —. ^ · u l· I--訂 (請先閱讀背面之注意事項再填窝本頁) 經濟部智慧財產局員Η消費合作社印製 4 5 2 9 3 2 6076twf.doc/006 A7 _B7 五、發明說明(。) 的過程中較不會有習知中間隙壁變薄的問題,因此更能將 接觸窗開口底部與基底接觸的介電層蝕刻乾淨,以避免接 觸截面減小而導致阻値增加的缺點。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者,在不脫離本發明之精神 和範圍內,當可作各種之更動與潤飾,因此本發明之保護 範圍當視後附之申請專利範圍所界定者爲準。 --------I---^-----»----訂---------線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)Clothing ---- l · I I I Order! I I ---- I Printed by Consumer Property Cooperative, Member of Intellectual Property Bureau of the Ministry of Economy II n I IP I 1 1. This paper size applies to Chinese National Standards (CNS > A4 (210 X 297 mm) A7 B7 45293 2 6076twf & lt d〇c / 006 V. Description of the invention (6) After forming the spacer 306, please refer to FIG. 6 to strip the sacrificial oxide layer 305. The etching step is to use a dielectric layer to have a higher etching of silicon nitride The selective etchant is performed to make the structure of the spacer 306 protrude like a horn. Then, a dielectric layer 308 with a flat upper surface is formed over the entire substrate, and the material of the dielectric layer 308 is, for example, silicon dioxide. Please refer to Section 7 In the figure, the lithography and etching process is performed to form a contact window opening 310 between the wire structures. The etching step is performed by using a dielectric layer with an etchant having a higher etching selectivity for silicon nitride to the exposure of the substrate 300. Finally, referring to FIG. 8, after the contact window opening 310 is formed, a layer of conductive material is formed on the entire structure and is inserted into the contact window opening 31. Then, the dielectric layer 308 and the contact window opening 310 are removed. guide The conductive plug 312 ′ formed in the contact window opening 310 is used to remove conductive materials such as etch-back and chemical mechanical honing. In summary, the present invention is characterized by a wire layer, an etching stop layer, and a sacrificial layer. The stacked structure of the oxide layer pulls up the insulating barrier wall, and then strips the sacrificial oxide layer to make the barrier wall protrude, such as a horn. Then a dielectric layer with a flat upper surface is formed on the entire structure to define the contact window opening. 'Etchant with high etching selectivity of silicon nitride to the dielectric layer is used to strip the dielectric layer at the position of the contact window opening to form the contact window opening and connect to the substrate. The dielectric layer is removed to form the contact window. During the opening process, because a dielectric layer is used as an etchant that has a higher etching selectivity for silicon nitride, the tip of the barrier wall of the horn structure is less etched, which can ensure the isolation between the wire and the plug. Also, because the tip of the gap wall is less likely to be etched, the Chinese paper standard (CNS) A4 (210 X 297) is applicable to the 8 paper sizes of the worms — II --- — 111 — ^ · Ul · I--Order (please read the notes on the back before filling in this page) Printed by a member of the Intellectual Property Bureau of the Ministry of Economic Affairs and Consumer Cooperatives 4 5 2 9 3 2 6076twf.doc / 006 A7 _B7 V. Description of the invention (.) In the process, there is less problem of the thinning of the barrier wall in the conventional process, so the dielectric layer in contact with the substrate at the bottom of the opening of the contact window can be etched cleanly to avoid the decrease of the contact cross-section and the increase in resistance. Disadvantages. Although the present invention has been disclosed as above with preferred embodiments, it is not intended to limit the present invention. Any person skilled in the art can make various modifications and decorations without departing from the spirit and scope of the present invention. The protection scope of the present invention shall be determined by the scope of the attached patent application. -------- I --- ^ ----- »---- Order --------- line (Please read the precautions on the back before filling this page) Wisdom of the Ministry of Economy The paper size printed by the Property Cooperative Consumer Cooperative is applicable to the Chinese National Standard (CNS) A4 (210 X 297 mm)

Claims (1)

A8B8C8D8 ί ^932 6076twf.doc/006 六、申請專利範圍 1·一種自行對準接觸窗的製造方法,至少包括: 提供一基底; 於該基底上依序形成一導體層、一蝕刻終止層、一 犧牲氧化層,此三層爲一堆疊之結構; 於該犧牲氧化層上覆蓋一光阻層; 進行一非等向性蝕刻,依序蝕刻未受光阻保護之該 犧牲氧化層,該蝕刻終止層及該導體層,以形成一導線結 構; 剝除該光阻層; 於該導線結構側壁形成一間隙壁; 去除該犧牲氧化層,該間隙壁因該犧牲氧化層的剝 除而形成一突出之牛角結構; 形成一介電層於該基底與該導線結構上,其中該介 電層具有一平坦之上表面; 去除部分的該介電層,以形成一接觸窗開口暴露出 部分該基底;以及 形成一導體插塞於該接觸窗開口中。 2. 如申請專利範圍第1項所述之自行對準接觸窗的製 造方法,其中該導體層材質係選自金屬及多晶矽化鎢。 3. 如申請專利範圍第1項所述之自行對準接觸窗的製 造方法,其中該蝕刻終止層的形成方式包括以LP-CVD、 PE-CVD及HDP-CVD其中之一。 4. 如申請專利範圍第1項所述之自行對準接觸窗的製 造方法,其中該蝕刻終止層爲氮化矽。 -------— — — — — — in —---訂--------線 (請先間讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 452932 6 0 76twf, doc /〇 〇 g 經濟部智慧財產局員工消費合作社印製 D8 六、申請專利範圍 5.如申請專利範圍第〗項所述之自行對準接觸窗的製 造方法,其中該犧牲氧化層沈積方式包括以LP-CVD及PE-CVD其中之—。 6·如申請專利範圍第丨項所述之自行對準接觸窗的製 造方法’其中該犧牲氧化層爲TEOS之二氧化矽。 7·如申請專利範圍第1項所述之自行對準接觸窗的製 造方法’其中該剝除犧牲氧化層之蝕刻反應,採用犧牲氧 化層對氮化矽有較高蝕刻選擇性之蝕刻劑,將該犧牲氧化 層剝除。 8. 如申請專利範圍第1項所述之自行對準接觸窗的製 造方法,其中該間隙壁的沈積方式包括以LP-CVD及HDP-CVD其中之一^ 9. 如申請專利範圍第1項所述之自行對準接觸窗的製 造方法’其中該剝除犧牲氧化層之蝕刻反應包括乾式蝕刻 及濕式蝕刻其中之一。 10. 如申請專利範圍第1項所述之自行對準接觸窗的 製造方法’其中該蝕刻介電層之蝕刻反應,採用介電層對 氮化矽有較高蝕刻選擇性之蝕刻劑’蝕刻該介電層以形成 該接觸窗開口。 U.—種自行對準接觸窗的製造方法’至少包括: 提供一基底,該基底上具有包括一導電層、一蝕刻 終止層與一犧牲氧化層依序堆疊之一導線結構; 於該導線結構位置兩側形成一間隙壁; 剝除該犧牲氧化層,該間隙壁因爲該犧牲氧化層的 本紙張尺度適用中關家標準(CNS)A4規格⑵〇 χ挪公爱) (請先閲讀背面之注意事項再填寫本頁) · I - I I I I I i I I I I I I I I ,5 ? 9 3 2 6076twf.doc/006 六、申請專利範圍 剝除而形成一突出之牛角結構; 形成一上表面平坦之介電層覆蓋於該基底與該導線 結構上; 定義並蝕刻該介電層,以形成一接觸窗開口與該基 底連接; 形成一導體材質於該介電層上並塡入該接觸窗開口 中;以及 回蝕刻該導體材質,以在該接觸窗開口中形成導體 插塞。 12. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該導體層材料係選自金屬及多晶矽化鎢。 13. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該蝕刻終止層的形成方式包括以LP-CVD、 PE-CVD及 HDP-CVD其中之一。 14. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該蝕刻終止層爲氮化矽。 15. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該犧牲氧化層沈積方式包括以LP-CVD及 PE-CVD其中之一。 16. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該犧牲氧化層材質爲TEOS之二氧化矽。 17. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該剝除犧牲氧化層之飩刻反應,採用犧牲 氧化層對氮化矽有較高蝕刻選擇性之蝕刻劑,將該犧牲氧 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 裝! —訂! I — I線· 經濟部智慧財產局員工消費合作社印製 A8B8C8D8 452932 6076twf. doc/006 六、申請專利範圍 化層剝除。 18. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該間隙壁的沈積方式包括以LP-CVD及 HDP-CVD其中之一。 19. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該剝除犧牲氧化層之鈾刻反應包括乾式餓 刻及濕式蝕刻其中之一。 20. 如申請專利範圍第11項所述之自行對準接觸窗的 製造方法,其中該蝕刻介電層之蝕刻反應,採用介電層對 氮化矽有較高蝕刻選擇性之蝕刻劑,蝕刻該介電層以形成 該接觸窗開口。 — — — — — — — — — — — —I — — — — — — II ^ »11111 — — · 1 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格<210 X 297公釐)A8B8C8D8 ί 932 6076twf.doc / 006 6. Scope of Patent Application 1. A method for manufacturing a self-aligned contact window, at least comprising: providing a substrate; sequentially forming a conductor layer, an etch stop layer, an Sacrificial oxide layer, the three layers are a stacked structure; covering the sacrificial oxide layer with a photoresist layer; performing anisotropic etching, sequentially etching the sacrificial oxide layer not protected by photoresist, the etch stop layer And the conductor layer to form a wire structure; stripping the photoresist layer; forming a gap wall on the side wall of the wire structure; removing the sacrificial oxide layer, the gap wall forming a protruding one due to the stripping of the sacrificial oxide layer Horn structure; forming a dielectric layer on the substrate and the wire structure, wherein the dielectric layer has a flat upper surface; removing a portion of the dielectric layer to form a contact window opening to expose a portion of the substrate; and A conductor plug is formed in the contact window opening. 2. The method for manufacturing a self-aligning contact window as described in item 1 of the scope of patent application, wherein the material of the conductor layer is selected from metal and polycrystalline tungsten silicide. 3. The method for manufacturing a self-aligned contact window as described in item 1 of the scope of the patent application, wherein the etch stop layer is formed by one of LP-CVD, PE-CVD, and HDP-CVD. 4. The method for manufacturing a self-aligned contact window as described in item 1 of the patent application scope, wherein the etch stop layer is silicon nitride. -------— — — — — — — in ----- order -------- line (please read the precautions on the back before filling this page) This paper size applies to Chinese national standards ( CNS) A4 specification (210 X 297 mm) 452932 6 0 76twf, doc / 〇〇g Printed by the Consumers ’Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs D8 VI. Scope of patent application 5. As stated in the scope of patent application A method for manufacturing an alignment contact window, wherein the sacrificial oxide layer is deposited by one of LP-CVD and PE-CVD. 6. The method for manufacturing a self-aligned contact window as described in item 丨 of the patent application range, wherein the sacrificial oxide layer is TEOS silicon dioxide. 7. The manufacturing method of self-aligned contact window as described in item 1 of the scope of the patent application, wherein the etching reaction for stripping the sacrificial oxide layer uses an etchant having a higher etching selectivity for silicon nitride, The sacrificial oxide layer is stripped. 8. The method for manufacturing a self-aligned contact window as described in item 1 of the scope of patent application, wherein the deposition method of the spacer comprises one of LP-CVD and HDP-CVD ^ 9. As item 1 of the scope of patent application The method of manufacturing a self-aligned contact window, wherein the etching reaction for stripping the sacrificial oxide layer includes one of dry etching and wet etching. 10. The method for manufacturing a self-aligned contact window as described in item 1 of the scope of the patent application, wherein the etching reaction of the etching dielectric layer uses an etching agent having a higher etching selectivity for silicon nitride by the dielectric layer. The dielectric layer forms the contact window opening. U.—A method for manufacturing a self-aligned contact window 'includes at least: providing a substrate having a wire structure including a conductive layer, an etch stop layer, and a sacrificial oxide layer sequentially stacked on the wire structure; A gap wall is formed on both sides of the position; the sacrificial oxide layer is peeled off, and the gap wall is in accordance with the Zhongguanjia Standard (CNS) A4 specification ⑵〇χ 挪 公 爱) because of the paper size of the sacrificial oxide layer (please read the back Please fill in this page again for attention) I-IIIII i IIIIIIII, 5? 9 3 2 6076twf.doc / 006 6. The patent application is stripped to form a protruding horn structure; a dielectric layer with a flat upper surface is formed to cover The substrate and the wire structure; defining and etching the dielectric layer to form a contact window opening to connect with the substrate; forming a conductive material on the dielectric layer and piercing the contact window opening; and etching back the Conductor material to form a conductor plug in the contact window opening. 12. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the material of the conductor layer is selected from the group consisting of metal and polycrystalline tungsten silicide. 13. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the etching stop layer is formed by one of LP-CVD, PE-CVD, and HDP-CVD. 14. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the etch stop layer is silicon nitride. 15. The method for manufacturing a self-aligned contact window as described in item 11 of the patent application, wherein the sacrificial oxide layer is deposited by one of LP-CVD and PE-CVD. 16. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the sacrificial oxide layer is made of TEOS silicon dioxide. 17. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the etching reaction for stripping the sacrificial oxide layer uses an etchant having a higher etching selectivity for silicon nitride using the sacrificial oxide layer. The paper size of this sacrificial oxygen paper is in accordance with Chinese National Standard (CNS) A4 (210 X 297 mm) (Please read the precautions on the back before filling this page) Install! —Order! I — I line · Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs A8B8C8D8 452932 6076twf. Doc / 006 Sixth, the scope of application for patents Stripping of the layer. 18. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the deposition method of the spacer comprises one of LP-CVD and HDP-CVD. 19. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of patent application, wherein the uranium etching reaction for stripping the sacrificial oxide layer includes one of dry etching and wet etching. 20. The method for manufacturing a self-aligned contact window as described in item 11 of the scope of the patent application, wherein the etching reaction of the etching dielectric layer uses an etching agent having a higher etching selectivity for silicon nitride by the dielectric layer to etch The dielectric layer forms the contact window opening. — — — — — — — — — — — — — — — — — — II ^ »11111 — — · 1 (Please read the notes on the back before filling out this page) This paper size applies to China National Standard (CNS) A4 specifications < 210 X 297 mm)
TW89119717A 2000-09-25 2000-09-25 Manufacturing method for self-aligned contact TW452932B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89119717A TW452932B (en) 2000-09-25 2000-09-25 Manufacturing method for self-aligned contact

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89119717A TW452932B (en) 2000-09-25 2000-09-25 Manufacturing method for self-aligned contact

Publications (1)

Publication Number Publication Date
TW452932B true TW452932B (en) 2001-09-01

Family

ID=21661299

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89119717A TW452932B (en) 2000-09-25 2000-09-25 Manufacturing method for self-aligned contact

Country Status (1)

Country Link
TW (1) TW452932B (en)

Similar Documents

Publication Publication Date Title
CN101536166B (en) Methods of forming field effect transistors, pluralities of field effect transistors, and dram circuitry comprising a plurality of individual memory cells
KR100261647B1 (en) Semiconductor device and method of manufacturing the same
JPH08102449A (en) Self-conformity contact using organic containing permittivity material
TW413868B (en) Semiconductor memory device having SOI (silicon-on-insulator) structure and method for fabricating thereof
JP2000507741A (en) Semiconductor processing method for forming a contact pedestal for a storage node of an integrated circuit capacitor
JPH0821695B2 (en) Highly integrated semiconductor memory device and manufacturing method thereof
CN210607254U (en) Semiconductor structure
JP2001196476A (en) Semiconductor device and its manufacturing method
JP3485683B2 (en) Method for manufacturing capacitor of semiconductor device
TW479328B (en) Method for manufacturing a self-aligned stacked storage node DRAM cell
TW503516B (en) Manufacturing method of self-aligned contact opening
TW452932B (en) Manufacturing method for self-aligned contact
US6485654B1 (en) Method of fabricating self-aligned contacts
US20230187269A1 (en) Method for forming contact structure, semiconductor structure and memory
JP2773613B2 (en) Method for manufacturing semiconductor device
US7211488B2 (en) Method of forming inter-dielectric layer in semiconductor device
TW441101B (en) Method of forming dynamic random access memory with capacitor over bit line
WO2024146039A1 (en) Semiconductor structure and forming method therefor, and memory
KR970063746A (en) Semiconductor device and manufacturing method thereof
WO2023134331A1 (en) Method for preparing semiconductor structure, and semiconductor structure
WO2024087787A1 (en) Semiconductor structure, formation method therefor, and memory
WO2024036665A1 (en) Semiconductor structure and forming method therefor, and memory
CN111785631B (en) Semiconductor device and forming method thereof
TW407341B (en) Method of forming contact opening
TW552673B (en) A method of fabricating a semiconductor device

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees