TW441101B - Method of forming dynamic random access memory with capacitor over bit line - Google Patents

Method of forming dynamic random access memory with capacitor over bit line Download PDF

Info

Publication number
TW441101B
TW441101B TW89106390A TW89106390A TW441101B TW 441101 B TW441101 B TW 441101B TW 89106390 A TW89106390 A TW 89106390A TW 89106390 A TW89106390 A TW 89106390A TW 441101 B TW441101 B TW 441101B
Authority
TW
Taiwan
Prior art keywords
layer
silicon
bit line
polycrystalline silicon
silicon oxide
Prior art date
Application number
TW89106390A
Other languages
Chinese (zh)
Inventor
Jian-Mai Sung
Chau-Chiun Lu
Original Assignee
Vanguard Int Semiconduct Corp
Etron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Vanguard Int Semiconduct Corp, Etron Technology Inc filed Critical Vanguard Int Semiconduct Corp
Priority to TW89106390A priority Critical patent/TW441101B/en
Application granted granted Critical
Publication of TW441101B publication Critical patent/TW441101B/en

Links

Landscapes

  • Semiconductor Memories (AREA)

Abstract

This invention is about the method that uses self-aligned contact window manner to fabricate dynamic random access memory (DRAM) with capacitor over bit line. In this invention, a self-aligned contact (SAC) window is opened in between bit lines to punch through silicon oxide layer. In particularly, the silicon oxide spacer is formed at the sidewall of bit line structure and the formation of spacer is performed after the opening of SAC window. The punching through in between bit lines for self-aligned contact window can make the integration density of DRAM increase. The spacer of bit line structure is formed after the SAC window is opened such that the spacer can use silicon oxide as the material. Therefore, smaller coupling capacitance can be obtained as compared with that of DRAM device, which uses silicon nitride as the spacer.

Description

4 41 10 1 A7 _._B7 五、發明說明(I ) 發明領域: 本發明是關於形成半導體元件的方法,特別是關於一種 在半導體基底上形成具有位元線上電容器之動態隨機存取 記憶體之記憶、胞的方法。 .........胃明背景:.......................................... 爲了獲致集積密度最大動態隨機存取記憶體(Dynamic4 41 10 1 A7 _._ B7 V. Description of the Invention (I) Field of the Invention: The present invention relates to a method for forming a semiconductor element, and more particularly to a method for forming a dynamic random access memory with a bit line capacitor on a semiconductor substrate Memory, cell method. ......... Stomach background: .............................. ..... In order to obtain the maximum density of dynamic random access memory (Dynamic Random Access Memory)

Random Access Memory; DRAM),一種針對高集積密度dram 晶片設計的位元線上電容器(Capacitor over Bitline; COB) 已被業界廣爲使用。傳統上形成COB結構的方法是首先开多 成一位元線結構,之後在該位元線結構的側壁上形成一介 電質間隙壁(Insulator Spacer)。後續在第一介電層上開 啓一自動對準接觸窗(Self-aligned Contact; SAC)的窗 口,該窗口係開啓在位元線之間穿透第一介電層而揭露出 下層的導電插塞(Conductive Plug)。此導電插塞係位於源 極/汲極區域之上,用來連通半導體基底和其上層的電容器 結構。然而,在形成位元線結構之側壁間隙壁後才在氧化 矽層上開啓SAC的窗口,則必須挑選氮化矽(Silicon Nitride)做爲上述間隙壁的材質,利用氮化矽和氧化矽 (Silicon Oxide)間的高蝕刻選擇比,以執行SAC的乾蝕刻 步驟°然而因爲氮化砍的介電常數(Dielectric_Constant) 遠較氧化矽來得高,以其形成間隙壁會使得霉容值升高, 因面隆低mia憶腹胜口口質。 、. ~------- 本發明係描述一種使用在DRAM上之用以連通源極/汲 極區域的SAC窗口,該窗口係開啓在位元線之間而穿透介 2 本紙張尺度適用中國國家標準CCNS)A4規格(210 X 297公釐) (請先閱讀--t-..面之注意事項再填寫本頁) 裝--------訂---------- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 4 41 10 1 A7 __ _B7__ 五、發明說明(> ) 電層,然而跟習知技藝不同的是,本發明之SAC窗口的開 啓製程係在形成位元線結構側壁上之介電質間隙壁之前。 因此,本發明便可選擇氧化矽做爲位元線結構間隙壁的材 質,如此位元線和電容器之間的耦合電容便可比氮化矽間 隙壁大幅縮小。再者,因間隙壁係在開啓SAC窗口之後才 形成,因此在開啓SAC窗口之蝕刻過程可能對間隙壁所造 成的損害都不會發生。如此便可使用較薄的間隙壁,同時 在佈局上也可設計較窄的SAC窗口,可進一歩增加集積密 度。 發明之概述: 本發明的主要目的是提供一種形成具位元線上電容器 結構的動態隨機存取記憶體的方法。 本發明的次要目的是在氧化矽層中形成一自動對準接 觸窗的窗口,該窗口係開啓在位元線之間而穿透該氧化矽 層,且SAC窗口的開啓製程係在形成位元線結構側壁上之 介電質間隙壁之前。 本發明的另一目的是提供一種在位元線結構之側壁形 成氧化矽間隙壁的方法,且該間隙壁的形成係在開啓SAC 窗口之後β 本發明係描述一種在DRAM結構上形成位元線上電容器 的方法,其中自動對準接觸窗的窗口係開啓在位元線之間 而穿透該氧化矽層,然後在位元線結構的側壁上形成介電 質間隙壁。第一複晶矽插塞結構係形成在源極/汲極區域之 上,用來連接並導通位於半導體基底的源極/汲極區域,位 3 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀嘴面之注意事項再填寫本頁) 裝--------訂--------;Λ/1 - 經濟部智慧財產局員工消費合作杜印製 -44-1101 A7 ________B7_ 五、發明說明()) 於被氮化矽包覆的字元線結構之間,並且屬自動對準。之 後形成具氮化矽頂蓋的位元線結構,其方向與下方的字元 線結構垂直,後續再沉積一層氧化矽層。接下來在該氧化 砍層上開啓一自動對準接觸窗,在位元線之間穿透,裸露 出第一複晶矽插塞結構的頂部。之後在位元線結構的側壁 形成氧化矽間隙壁,接下來在位元線結構之間形成第二複 晶砍插塞結構。最後在第二複晶矽插塞結構之上形成電容 器。 圖式簡要說明: 圖一A爲本發明中,完成字元線結構之後的頂視圖。 圖一 B爲圖一 A在A-A方向的剖面示意圖。 圖一 C爲圖一 Α在Β-Β方向的剖面示意圖。 圖二A爲本發明中,完成氧化矽結構之後的頂視圖。 圖二B爲圖二A在C-C方向的剖面示意圖。 圖二C爲圖二A在D-D方向的剖面示意圖。 圖三A爲本發明中,完成第一複晶矽插塞結構之後的頂 視圖。 圖三B爲圖三A在E-E方向的剖面示意圖。 圖三C爲圖三A在F-F方向的剖面示意圖。 圖四A爲本發明中,完成位元線結構之後的頂視圖。 圖四B爲圖三A在G-G方向的剖面示意圖。 圖五爲本發明中,完成自動對準接觸窗的窗口之後的剖 面示意圖。 4 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閔讀臂面之注意事項再填寫本頁) 裝 —丨—丨訂-------- ·. 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明(f) 圖六爲本發明中,完成氧化矽間隙壁之後的剖面示意 回 圖。 圖七爲本發明中,完成第二複晶矽插塞結構之後的剖面 示意圖。 圖八爲本發明實施例完成後的剖面示意圖。 圖號說明: 1-半導體基底 2-淺渠溝隔離區域 3-閘極介電層 4-複晶矽層 5-氮化矽頂蓋 6_源極/汲極區域 7-介電質間隙壁 8~11-字元線結構 12-氧化矽結構 12A-氧化矽移除之區域 13-第一複晶矽插塞結構 14-導電層 15-氮化砂層 16-位元線結構 17-氧化矽層 18-自動對準接觸窗的窗口 19-氧化矽間隙壁 20-第二複晶矽插塞結構 21-電容器結構 22-複晶矽儲存極結構 23-電容器介電層 24-複晶矽上電極結構 30-氧化砂層 發明詳細說明: 本發明係提供一種在半導體基底上形成一具有位元線 上電容器之動態隨機存取記憶體之記憶胞的方法。本方法 的特徵之一爲所形成的自動對準接觸窗係在位元線結構之 5 本紙張尺度適用中國國家標準(CNS)A4規格<210 X 297公楚) (請先閱讀令面之注意事項再填寫本頁) 裝 訂---------. 經濟部智慧財產局員工消費合作杜印製 441 10 1 Δ7 ___Β7__ 五、發明說明(义) 間穿透;本方法的另一特徵爲位元線結構的氧化矽間隙壁 係形成於開啓自動對準接觸窗之後。圖一 Α是一 COB ΜΑΜ 元件在形成字元線結構8-11之後的頂視圖。圖一 A亦同時 顯示出半導體基底1和淺渠溝隔離(Shallow Trench Isolation)〗的區域。圖一 B和圖一 C則分別顯示出字元線 結構8-11在A-A方向和B-B方向的側向剖面示意圖。所述 半^導體基底1係一具P型摻雜的晶片,其晶格方向係 <100〉。所述淺渠溝隔離區域2,係利用傳統的微影和非等 向反應性離子蝕刻(Reactive Ion Etching; RIE)先在半導 體基底1上形成一淺渠溝(Shallow Trench),該反應性離 子蝕刻係使用氯氣(Cl〇做爲反應氣體。在以氧氣電漿灰化 法(Plasma 〇Xygen Ash)和濕式去光阻法剝除掉用以定義淺 渠溝的光阻之後,以低壓化學氣相沉積法(Low Pressure Chemical Vapor Deposition; LPCVD)或電漿增強式氣相沉 積法(Plasma Enhanced Chemical Vapor Deposition; PECVD) 沉積一層氧化矽層來填滿該淺渠溝。接著以化學機械研磨 法(Chemical Mechanical Polishing; CMP)或選擇式反應 性離子蝕刻(Selective RIE)去除掉位於半導體基底1表層 上方的氧化矽層,而形成如圖一 B和圖一 C所示的淺渠溝 隔離區域2。 後續如圖一 Β和圖一 C所示,以傳統的熱氧化法形成 一鬧極介電層(Gate Insulator Layer)3,其厚度在20至 80人之間。接下來以低壓化學氣相沉積法(LPCVD)形成一複 晶矽層4,其厚度介於8Q0至400GA之間。所述複晶矽層4 6 I------!-^>裝-!1----訂· • - (請先開讀臂面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準<CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印製 4 4110 1 A7 _;___B7__ 五、發明說明(b ) 的摻雜有兩種方法,其中之一係以砷或磷摻入反應氣體矽 烷(Silane)中,使砷或磷與矽同步沉積;另一方法係先沉 積一本質複晶矽層,再以離子佈植的技術將砷或磷摻雜入 該複晶矽層內。隨後,以LPCVD或PECVD技術沉積一氮化 矽層,其厚度在500至3G00A之間。利用傳統的微影和非 等向反應性離子蝕刻技術,以CF4爲反應氣體來蝕刻氮化矽 層,再用Cl2爲反應氣體來蝕刻複晶矽層4,以形成如圖一 B所示的字元線結構8-11。接著以氧氣電漿灰化法和濕式 去光阻法剝除掉用以定義字元線的光阻。沒有被字元線8-11所覆蓋的閘極介電層3,會在上述濕式去光阻過程中被 去除。此外,若欲得到電阻較低的字元線結構8-11,亦可 在複晶矽層上沉積一層矽化金屬層。接下來請繼續參照圖 一 B和圖一 C,在半導體基板1上沒有被字元線結構8-11 覆蓋的區域形成淡摻雜源極/汲極區域(Lightly Doped Source/Drain; LDD)6。所述LDD區域6,係以能量介於20 至別KeV的砷或磷離子進行離子佈植所形成,其摻雜濃度 .介於1E12到5E13原子/平方公分之間。接著在字元線結構 8-11的側壁形成介電質間隙壁(Insulator Spacer)7。所述 介電質間隙壁7的製程,是先用LPCVD或是PECVD形成一 層厚度介於100至1GGGA的氮化矽層,再以CF4做爲反應氣 體藉由非等向反應性離子蝕刻法進行回蝕刻而成。如圖一 B 所示,此時字元線結構8-11已整個被氮化矽所包覆,包括 其氮化矽頂蓋5和其側壁的介電質間隙壁7。 接著以LPCVD或PECVD技術沉積一氧化矽層,其厚度 7 (請先閱讀啃面之注意事項再填寫本頁) 裝 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4110 B7 經濟部智慧財產局員工消費合作杜印製Random Access Memory (DRAM), a capacitor over bitline (COB) designed for high-density density chip chips has been widely used in the industry. The traditional method of forming a COB structure is to first open a bit line structure, and then form a dielectric spacer on the side wall of the bit line structure. Subsequently, a self-aligned contact (SAC) window is opened on the first dielectric layer, and the window is opened to penetrate the first dielectric layer between the bit lines to expose the conductive plug of the lower layer. Plug (Conductive Plug). This conductive plug is located above the source / drain region and is used to connect the semiconductor substrate and the capacitor structure above it. However, before the SAC window is opened on the silicon oxide layer after the sidewall spacers of the bit line structure are formed, silicon nitride (Silicon Nitride) must be selected as the material of the above spacers. Silicon nitride and silicon oxide ( Silicon Oxide) high etching selectivity ratio to perform the dry etching step of SAC. However, the dielectric constant of nitrided cleavage (Dielectric_Constant) is much higher than that of silicon oxide, and the formation of the barrier wall will increase the mold value. Because of the low surface, mia recalls the abdomen and mouth quality. .... This invention describes a SAC window used on a DRAM to connect source / drain regions. The window is opened between bit lines and penetrates through two sheets of paper. The dimensions are applicable to the Chinese National Standard CCNS) A4 specification (210 X 297 mm) (Please read the precautions for --t- .. and then fill out this page) Installation -------- Order ----- ----- Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 4 41 10 1 A7 __ _B7__ V. Description of the Invention (>) Electrical layer, however, it is different from the know-how Yes, the process of opening the SAC window of the present invention is before the formation of the dielectric spacer on the sidewall of the bit line structure. Therefore, in the present invention, silicon oxide can be selected as the material of the gap wall of the bit line structure, so that the coupling capacitance between the bit line and the capacitor can be greatly reduced than that of the silicon nitride gap wall. Furthermore, because the spacer is formed after the SAC window is opened, any damage to the spacer that may be caused by the etching process when the SAC window is opened will not occur. In this way, a thinner partition wall can be used, and a narrower SAC window can also be designed in the layout, which can further increase the density of the accumulation. SUMMARY OF THE INVENTION The main object of the present invention is to provide a method for forming a dynamic random access memory with a capacitor structure on a bit line. The secondary purpose of the present invention is to form a window in the silicon oxide layer that automatically aligns with the contact window. The window is opened between the bit lines and penetrates the silicon oxide layer. The opening process of the SAC window is in the formation position. Before the dielectric spacers on the side wall of the element structure. Another object of the present invention is to provide a method for forming a silicon oxide spacer on a sidewall of a bit line structure, and the formation of the spacer is after opening the SAC window. The invention describes a method for forming a bit line on a DRAM structure. In the capacitor method, a window for automatically aligning a contact window is opened between bit lines and penetrates the silicon oxide layer, and then a dielectric gap wall is formed on a sidewall of the bit line structure. The first polycrystalline silicon plug structure is formed on the source / drain region, and is used to connect and conduct the source / drain region on the semiconductor substrate. Bit 3 This paper size applies to China National Standard (CNS) A4 specifications (210 X 297 mm) (Please read the notes on your mouth before filling in this page) Loading -------- Order --------; Λ / 1-Staff of the Intellectual Property Bureau of the Ministry of Economic Affairs Consumption Cooperation Du Yin-44-1101 A7 ________B7_ V. Description of the Invention ()) Between the word line structures covered by silicon nitride, and it is automatically aligned. A bit line structure with a silicon nitride cap is then formed, the direction of which is perpendicular to the underlying character line structure, and a silicon oxide layer is subsequently deposited. Next, an auto-alignment contact window is opened on the oxide layer and penetrates between the bit lines, exposing the top of the first polycrystalline silicon plug structure. A silicon oxide spacer is then formed on the sidewalls of the bit line structure, and a second polycrystalline plug structure is then formed between the bit line structures. Finally, a capacitor is formed on the second polycrystalline silicon plug structure. Brief Description of the Drawings: FIG. 1A is a top view after the character line structure is completed in the present invention. FIG. 1B is a schematic cross-sectional view of the direction A-A in FIG. 1A. FIG. 1C is a schematic cross-sectional view of FIG. 1A in the direction of B-B. FIG. 2A is a top view of the silicon oxide structure in the present invention. FIG. 2B is a schematic cross-sectional view in the direction C-C of FIG. 2A. FIG. 2C is a schematic cross-sectional view in the direction D-D of FIG. 2A. FIG. 3A is a top view of the first polycrystalline silicon plug structure in the present invention. FIG. 3B is a schematic cross-sectional view in the direction E-E of FIG. 3A. FIG. 3C is a schematic sectional view of FIG. 3A in the direction of F-F. FIG. 4A is a top view of the bit line structure in the present invention. FIG. 4B is a schematic cross-sectional view in the direction G-G of FIG. 3A. FIG. 5 is a schematic cross-sectional view after the window of the contact window is automatically aligned in the present invention. 4 This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) (please read the precautions on the arm surface before filling out this page) Printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs A7 B7 V. Description of the invention (f) Figure 6 is a schematic back view of the cross section after the completion of the silicon oxide spacer in the present invention. FIG. 7 is a schematic cross-sectional view of the second polycrystalline silicon plug structure in the present invention. FIG. 8 is a schematic sectional view after the embodiment of the present invention is completed. Description of drawing number: 1- semiconductor substrate 2-shallow trench isolation region 3-gate dielectric layer 4-polycrystalline silicon layer 5-silicon nitride cap 6_ source / drain region 7-dielectric gap 8 ~ 11-word line structure 12-silicon oxide structure 12A-silicon removed area 13-first polycrystalline silicon plug structure 14-conductive layer 15-nitride sand layer 16-bit line structure 17-silicon oxide Layer 18-Automatically aligned window for contact window 19-Silicon oxide spacer 20-Second polycrystalline silicon plug structure 21-Capacitor structure 22-Polycrystalline silicon storage electrode structure 23-Capacitor dielectric layer 24-Polycrystalline silicon Electrode structure 30-oxide sand layer Detailed description of the invention: The present invention provides a method for forming a memory cell of a dynamic random access memory with a capacitor on a bit line on a semiconductor substrate. One of the characteristics of this method is that the formed auto-alignment contact window is in the bit line structure. The paper size is applicable to the Chinese National Standard (CNS) A4 specification < 210 X 297.) (Please read Note for refilling this page) Binding ---------. Consumption cooperation of employees of the Intellectual Property Bureau of the Ministry of Economic Affairs, printed 441 10 1 Δ7 ___ Β7__ V. Interpretation of invention (meaning) Interpenetration; another of this method The silicon oxide spacer wall, which is characterized by a bit line structure, is formed after the auto-alignment contact window is opened. FIG. 1A is a top view of a COB MIMO element after forming a word line structure 8-11. Figure 1A also shows the area of the semiconductor substrate 1 and the Shallow Trench Isolation. Figures 1B and 1C show schematic cross-sectional views of the character line structures 8-11 in the A-A and B-B directions, respectively. The semi-conductor substrate 1 is a P-doped wafer, and its lattice direction is < 100>. The shallow trench isolation region 2 is formed by using a conventional lithography and anisotropic reactive ion etching (Reactive Ion Etching; RIE) on a semiconductor substrate 1 to form a shallow trench. The etching system uses chlorine gas (Cl0 as a reaction gas. After removing the photoresist used to define shallow trenches by Plasma 0xygen Ash and wet dephotoresist method, the low-pressure chemistry is used to remove the photoresist. A vapor deposition method (Low Pressure Chemical Vapor Deposition; LPCVD) or a plasma enhanced vapor deposition method (Plasma Enhanced Chemical Vapor Deposition; PECVD) is used to deposit a silicon oxide layer to fill the shallow trench. Then, a chemical mechanical polishing method is used. (Chemical Mechanical Polishing; CMP) or Selective Reactive Ion Etching (Selective RIE) to remove the silicon oxide layer located on the surface of the semiconductor substrate 1 to form a shallow trench isolation region 2 as shown in FIGS. 1B and 1C As shown in Figures 1B and 1C, a Gate Insulator Layer 3 is formed by a conventional thermal oxidation method with a thickness of 20 to 80 people. Next, a low-pressure chemical vapor phase is used. sink LPCVD to form a polycrystalline silicon layer 4 with a thickness between 8Q0 and 400GA. The polycrystalline silicon layer 4 6 I ------!-^ ≫ pack-! 1 --- -Order · •-(Please read the precautions on the arm surface before filling out this page) This paper size applies to the Chinese National Standard < CNS) A4 specification (210 X 297 mm) Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs 4 4110 1 A7 _; ___B7__ 5. Description of the Invention (b) There are two methods for doping, one of which is to mix arsenic or phosphorus into the reaction gas Silane, so that arsenic or phosphorus is simultaneously deposited with silicon; One method is to deposit an intrinsic polycrystalline silicon layer, and then dope arsenic or phosphorus into the polycrystalline silicon layer by ion implantation. Subsequently, a silicon nitride layer is deposited by LPCVD or PECVD technology to a thickness of 500 to 3G00A. Using conventional lithography and anisotropic reactive ion etching techniques, CF4 is used as a reactive gas to etch the silicon nitride layer, and Cl2 is used as a reactive gas to etch the polycrystalline silicon layer 4 to form a silicon layer as shown in Figure 1B. Word line structure 8-11. The photoresist used to define the character lines is then stripped off using an oxygen plasma ashing method and a wet de-resistance method. The gate dielectric layer 3 not covered by the word lines 8-11 is removed during the wet photoresist removal process described above. In addition, if a word line structure 8-11 with lower resistance is desired, a silicided metal layer can also be deposited on the polycrystalline silicon layer. Next, please continue to refer to FIG. 1B and FIG. 1C. A lightly doped source / drain region (LDD) 6 is formed on the semiconductor substrate 1 in a region not covered by the word line structure 8-11. . The LDD region 6 is formed by ion implantation with arsenic or phosphorus ions having an energy between 20 and other KeV, and its doping concentration is between 1E12 and 5E13 atoms / cm 2. Next, a dielectric spacer 7 is formed on the sidewall of the word line structure 8-11. The manufacturing process of the dielectric spacer 7 is to first form a silicon nitride layer with a thickness of 100 to 1 GGGA by using LPCVD or PECVD, and then use CF4 as a reaction gas by anisotropic reactive ion etching. Etching back. As shown in FIG. 1B, at this time, the word line structures 8-11 have been completely covered by silicon nitride, including the silicon nitride top cover 5 and the dielectric spacer 7 on the side wall. Then use LPCVD or PECVD technology to deposit a silicon oxide layer with a thickness of 7 (please read the precautions on the front side and then fill out this page). The size of this paper is applicable to China National Standard (CNS) A4 (210 X 297 mm) 4110 B7 Duo Printing by Employees' Intellectual Property Bureau, Ministry of Economic Affairs

五、發明說明(;?) 在2000至8Q00A之間。再來以CMP技術進行平坦化製程, 將該氧化矽層的頂部磨平。利用傳統的微影製程並以CHF3 做爲反應氣體進行非等向反應性離子蝕刻,移除12A處之 氧化矽,以定義出直接位於淺渠溝隔離區域2上方的氧化 砍結構(Silicon Oxide Shapes) 12。圖二A顯示出氧化砂 結構12的頂視圖,圖二C則顯示氧化矽結構12在D-D方 向上的側向剖面7K意圖。圖二B是圖二A在C-C方向上的 側向剖面示意圖,顯示氧化矽結構12覆蓋於字元線結構 8-11上方。以氧氣電漿灰化法和濕式去光阻法剝除掉用來 定義氧化矽結構12的光阻。 接下來以LPCVD形成一複晶矽層,其厚度介於2QG0至 6000人之間。所述複晶矽層的摻雜有兩種方法,其中之一 係以砷或磷摻入反應氣體矽烷中,使砷或磷與矽同步沉 積;另一方法係先沉積一本質複晶矽層,再以離子佈植的 技術將砷或磷摻雜入該複晶矽層。再來以CMP技術進行平 坦化製程,將位於字元線結構8-11之氮化砍頂蓋5上方以 及氧化矽結構12上方的複晶矽層磨去,以形成第一複晶矽 插塞結構(First Polysilicon Plug Structure)13。如圖 三B所示,所述第一複晶矽插塞結構13係位於被氮化矽包 覆之字元線結構8-11之間,位於淡摻雜源極/汲極區域6 上方做爲連接導通之用。該CMP製程亦同時形成如圖三C 所示之第一複晶矽插塞結構13,所述第一複晶矽插塞結構 13亦同樣位於被氮化矽包覆之字元線結構8-11之間,位於 淡摻雜源極/汲極區域6上方做爲連接導通之用。圖三A顯 S ------------裝 --- (請先閱讀嘴面之注意事項再填寫本頁) I n VK n 訂---- Λν. 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4 41 10 1 A7 _____B7______ 五、發明說明() 示第一複晶矽插塞結構13的頂視圖,其位於字元線結構之 間,也位於氧化砍結構12之間。 後續係先形成一氧化矽層30,再形成位元線結構(Bit Line Structure)16,其中圖四A顯示其頂視圖,而圖四B 則顯示其在G-G方向的剖面示意圖。位元線結構16係包含 有由摻雜的複晶矽層,鎢金屬層,矽化鎢層,或一複晶矽 化金屬層(由一層金屬矽化物覆蓋在一層複晶矽上)所構 成的導電層14。上述各導電層皆可用LPCVD形成,其厚度 介於500至4000A之間。所述複晶矽層的摻雜有兩種方法, 其中之一係以砷或磷摻入反應氣體砍烷,使砷或磷與矽同 步沉積;另一方法係先沉積一本質複晶矽層,再以離子佈 植的技術將砷或磷摻雜入該複晶矽層。後續以LPCVD或 PECVD在所述導電層U上形成一氮化矽層15,其厚度介於 500至3000人之間。利用傳統的微影和非等向反應性離子 蝕刻技術,以CF4爲反應氣體來蝕刻氮化矽層15,再用Ch 爲反應氣體來蝕刻導電層14,以形成如圖四A所示的位元 線結構16。如圖四A所示,該位元線結構16係垂直於字元 線結構8-11,並如圖四B所示,該位元線結構16係位於氧 化矽結構12的上方。以氧氣電漿灰化法和濕式去光阻法剝 除掉用來定義位元線結構16的光阻。 後續以LPCVD或PECVD形成一氧化矽層17,其厚度介 於2000至8000A之間,將位元線結構16之間的間隙填滿。 接著執行一 CMP步驟將所述氧化矽層17之表面磨平。接著 如圖五所示,在該磨平的氧化矽層17上開啓自動對準接觸 _____ 9 _ 本紙張尺度適用尹國國家標準(CNS)A4規格(210 X 297公釐) (請先閲讀啃面之注意事項再填寫本頁) --------訂------11 ' 經濟部智慧財產局員工消費合作社印製 4 41 10 1 A7 B7 五、發明說明(j) (請先閱讀臂面之注意事項再填寫本頁) 窗的窗口 18。在形成該自動對準接觸窗的窗口 18的微影製 程中,其光阻開口的寬度必須大於位元線結構16的間距, 同時其光阻開口的寬度也必須大於字元線結構8-11的間 距。利用非等向反應性離子蝕刻技術,以chf3爲反應氣體 來触刻氧化砍層,在兩條位元線結構16之間穿透氧化砍層 Π ’而露出第一複晶矽插塞結構13的頂部表面。藉著氧化 矽層17和裸露氮化矽層15之間的高蝕刻選擇比(約爲25 比1),以及氧化矽和第一複晶矽插塞結構13之間的高蝕 刻選擇比(約爲20比1),得以形成該自動對準接觸窗的 窗口 18,如圖五B所示。另外在圖五中顯示,該自動對準 接觸窗的窗口 18係介於字元線結構8-11之間,並裸露出 第一複晶矽插塞結構13的表面。以氧氣電漿灰化法和濕式 去光阻法剝除掉用以定義自動對準接觸窗的窗口 18的光 阻。特別重要的是,該自動對準接觸窗的窗口 18係先於位 元線結構側壁的絕緣間隙壁形成前所完成,因此可避免如 習知技術在形成該自動對準接觸窗的窗口 18的RIE製程 中,對位元線結構側壁的絕緣間隙壁所形成的損害。 經濟部智慧財產局員工消費合作社印製 請參考圖六,接著將形成位元線結構側壁的介電質間 隙壁。首先以LPCVD或PECVD形成一層氧化矽層,其厚度 介於300至2000人之間。然後以CHF3做爲反應氣體,以RIE 技術進行回蝕刻,便可得到氧化矽間隙壁(Si 1 icon Oxide Spacer) 19。如圖六所示,該氧化矽間隙壁19係位於位元 線結構16中氮化矽頂蓋的側壁,且該氧化矽間隙壁19亦 位於氧化矽結構17 (位於字元線8-11之上)的側壁。如果 10 本紙狀度ϋ用中國國家標準(CNS)A4規格(210 X 297公爱) ',~ 4110 1 經濟部智慧財產局員工消費合作社印製 Α7 Β7 五、發明說明(π) 需要,也可以將該氧化矽間隙壁19製作成氧化矽一氮化矽 雙層結構。但是需要強調的是,與單純使用氮化矽相比較, 採用氧化矽做爲間隙壁的材質,可使位元線結構和後續所 形成之電容器之間的耦合電容較小。本發明在形成間隙壁 之前先行開啓自動對準接觸窗的窗口,使得使用氧化矽做 爲間隙壁的材質變爲可能。而如果依照習知技術的製程步 驟先形成間隙壁再開啓自動對準接觸窗的窗口,則非得採 用氮化矽做爲間隙壁的材質,才能利用氮化矽和氧化矽層 之間的高蝕刻選擇比進行回蝕刻。 請參考圖七,接著將於自動對準接觸窗的窗口 18形成 第二複晶矽插塞結構20。首先以LPCVD形成一複晶矽層, 其厚度介於1000至3G00A之間,目的在於將該自動對準接 觸窗的窗口 18填滿。該複晶矽層的摻雜係採用同步摻雜, 在沉積複晶矽的同時在矽烷環境中加入砷或磷而得。接下 來使用CMP技術將氧化矽層17表面上的複晶矽層磨去,而 在自動對準接觸窗的窗口 18形成第二複晶矽插塞結構20。 第二複晶矽插塞結構20係位於第一複晶矽插塞結構13的 上方,並連接第一複晶矽插塞結構13。接下來如圖八所示, 在該第二複晶矽插塞結構20之上方形成一個電容器結構 21,使得該電容器結構21可以藉由第二複晶矽插塞結構20 的連接而導通至源極/汲極區域6。電容器結構21係包含一 複晶矽儲存極結構(Polysilicon Storage Node Structure)22,一電容器介電層(Capacitor Dielectric Layer)23如Ta2〇5或ΟΝΟ,以及一複晶矽上電極結構 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) -----------3裝--------訂--------- - - .. ·· - : , (請先閱讀嘴面之注意事項再填寫本頁》 '4 41 10 1 ΚΙ _Β7_ 五、發明說明(丨丨) (Polysilicon upper Electrode Structure)24 〇 因此,位 元線上電容器之結構於焉完成。 詳細說明本發明,而非限制本發明的範圍,而且熟知此 技藝的人士亦能明瞭,適當而作些微的改變與調整,仍將 不失本發明之要義所在,亦不脫離本發明之精神和範圍, 故都應視爲本發明的進一步實施狀況。 (請先閱讀啃面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)5. Description of the invention (;?) Between 2000 and 8Q00A. Then, a planarization process is performed by CMP technology, and the top of the silicon oxide layer is polished. The conventional lithography process is used to perform anisotropic reactive ion etching with CHF3 as the reactive gas, and the silicon oxide at 12A is removed to define a silicon oxide structure directly above the shallow trench isolation area 2. ) 12. Fig. 2A shows a top view of the oxidized sand structure 12, and Fig. 2C shows a schematic 7K side view of the silicon oxide structure 12 in the D-D direction. FIG. 2B is a schematic side cross-sectional view in the direction C-C of FIG. 2A, showing that the silicon oxide structure 12 covers the word line structure 8-11. Oxygen plasma ashing and wet photoresist stripping are used to remove the photoresist used to define the silicon oxide structure 12. Next, a polycrystalline silicon layer is formed by LPCVD with a thickness between 2QG0 and 6000 people. There are two methods for doping the polycrystalline silicon layer, one of which is doping arsenic or phosphorus into the reactive gas silane to deposit arsenic or phosphorus and silicon simultaneously; the other method is to deposit an essential polycrystalline silicon layer first Then, arsenic or phosphorus is doped into the polycrystalline silicon layer by an ion implantation technique. Then, the CMP technology is used to perform a planarization process, and the polycrystalline silicon layer on the word line structure 8-11 above the nitride cutting cap 5 and the silicon oxide structure 12 is polished away to form a first polycrystalline silicon plug. Structure (First Polysilicon Plug Structure) 13. As shown in FIG. 3B, the first polycrystalline silicon plug structure 13 is located between the word line structures 8-11 covered by silicon nitride, and is located above the lightly doped source / drain region 6 For connection conduction. The CMP process also forms a first polycrystalline silicon plug structure 13 as shown in FIG. 3C. The first polycrystalline silicon plug structure 13 is also located in the word line structure 8- Between 11, it is located above the lightly doped source / drain region 6 for connection conduction. Figure III A shows S ------------ install --- (please read the precautions on the mouth first and then fill in this page) I n VK n order ---- ν. This paper size applies China National Standard (CNS) A4 specification (210 X 297 mm) 4 41 10 1 A7 _____B7______ V. Description of the invention () shows the top view of the first polycrystalline silicon plug structure 13 which is located between the character line structures, It is also located between the oxidized chopping structures 12. In the subsequent system, a silicon oxide layer 30 is formed first, and then a bit line structure 16 is formed. FIG. 4A shows a top view thereof, and FIG. 4B shows a schematic cross-sectional view in the G-G direction. The bit line structure 16 includes a conductive layer composed of a doped polycrystalline silicon layer, a tungsten metal layer, a tungsten silicide layer, or a polycrystalline metal silicide layer (covered by a layer of metal silicide on a polycrystalline silicon layer). Layer 14. Each of the above conductive layers can be formed by LPCVD, and its thickness is between 500 and 4000A. There are two methods for doping the polycrystalline silicon layer, one of which is doping arsenic or phosphorus with a reactive gas to cut arsenic to simultaneously deposit arsenic or phosphorus with silicon; the other method is to first deposit an essential polycrystalline silicon layer Then, arsenic or phosphorus is doped into the polycrystalline silicon layer by an ion implantation technique. Subsequently, a silicon nitride layer 15 is formed on the conductive layer U by LPCVD or PECVD, and the thickness is between 500 and 3,000. Using conventional lithography and anisotropic reactive ion etching techniques, CF4 is used as a reactive gas to etch the silicon nitride layer 15 and Ch is used as a reactive gas to etch the conductive layer 14 to form the sites shown in FIG. 4A. Element line structure 16. As shown in FIG. 4A, the bit line structure 16 is perpendicular to the word line structure 8-11, and as shown in FIG. 4B, the bit line structure 16 is located above the silicon oxide structure 12. The photoresist used to define the bit line structure 16 is stripped by an oxygen plasma ashing method and a wet photoresist removal method. Subsequently, a silicon oxide layer 17 is formed by LPCVD or PECVD with a thickness between 2000 and 8000 A, and the gaps between the bit line structures 16 are filled. Then, a CMP step is performed to smooth the surface of the silicon oxide layer 17. Then, as shown in Figure 5, the automatic alignment contact is turned on the flattened silicon oxide layer _____ 9 _ This paper size is applicable to the National Standard of China (CNS) A4 (210 X 297 mm) (Please read first Note on the front page, please fill out this page) -------- Order ----- 11 '' Printed by the Consumers' Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs 4 41 10 1 A7 B7 V. Description of Invention (j) (Please read the precautions for the arm surface before filling out this page) Window 18 of the window. In the lithography process of forming the window 18 of the auto-aligned contact window, the width of the photoresist openings must be greater than the pitch of the bit line structure 16, and the width of the photoresist openings must be greater than the word line structure 8-11. Pitch. Using anisotropic reactive ion etching technology, using chf3 as a reactive gas to etch the oxide cleave layer, penetrate the oxide cleave layer Π 'between the two bit line structures 16 to expose the first polycrystalline silicon plug structure 13 Top surface. By virtue of the high etch selection ratio (about 25 to 1) between the silicon oxide layer 17 and the exposed silicon nitride layer 15 and the high etch selection ratio (about 25 to 1) between the silicon oxide and the first polycrystalline silicon plug structure 13 It is 20 to 1), and the window 18 of the automatic alignment contact window is formed, as shown in FIG. 5B. It is also shown in FIG. 5 that the window 18 of the self-aligned contact window is between the word line structures 8-11, and the surface of the first polycrystalline silicon plug structure 13 is exposed. Oxygen plasma ashing and wet photoresist stripping are used to remove the photoresist of window 18, which defines the automatic alignment of the contact window. It is particularly important that the window 18 of the self-aligning contact window is completed before the insulation gap on the side wall of the bit line structure is formed. Therefore, it is possible to avoid the conventional technique of forming the window 18 of the self-aligning contact window. Damage caused by insulating spacers on the sidewalls of the bit line structure during the RIE process. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs Please refer to Figure 6, and then the dielectric gap wall of the bit line structure sidewall will be formed. First, a silicon oxide layer is formed by LPCVD or PECVD, and the thickness is between 300 and 2000 people. Then, using CHF3 as a reaction gas, and performing etchback using RIE technology, a silicon oxide spacer (Si 1 icon Oxide Spacer) 19 can be obtained. As shown in FIG. 6, the silicon oxide spacer 19 is located on the sidewall of the silicon nitride top cover in the bit line structure 16, and the silicon oxide spacer 19 is also located on the silicon oxide structure 17 (located in the word line 8-11 Top) of the sidewall. If 10 papers are printed in Chinese National Standard (CNS) A4 (210 X 297), ~ 4110 1 Printed by the Consumers ’Cooperative of Intellectual Property Bureau of the Ministry of Economic Affairs Α7 Β7 5. The invention description (π) is also required The silicon oxide spacer 19 is made into a silicon oxide-silicon nitride double-layer structure. However, it needs to be emphasized that compared with the simple use of silicon nitride, the use of silicon oxide as the material of the spacer can make the coupling capacitance between the bit line structure and the capacitor formed later smaller. In the present invention, the window for automatically aligning the contact window is opened before the gap wall is formed, which makes it possible to use silicon oxide as the material of the gap wall. However, if the spacer is formed first according to the process steps of the conventional technology, and then the window for automatically aligning the contact window is opened, the silicon nitride must be used as the material of the spacer in order to use the high etching between the silicon nitride and the silicon oxide layer Select ratio for etch back. Referring to FIG. 7, a second polycrystalline silicon plug structure 20 will be formed on the window 18 of the auto-alignment contact window. First, a polycrystalline silicon layer is formed by LPCVD, with a thickness between 1000 and 3G00A, in order to fill the window 18 of the auto-alignment contact window. The doping system of the polycrystalline silicon layer is obtained by synchronous doping, adding arsenic or phosphorus in a silane environment while depositing the polycrystalline silicon. Next, the polycrystalline silicon layer on the surface of the silicon oxide layer 17 is polished by using CMP technology, and a second polycrystalline silicon plug structure 20 is formed in the window 18 of the automatic alignment contact window. The second polycrystalline silicon plug structure 20 is located above the first polycrystalline silicon plug structure 13 and is connected to the first polycrystalline silicon plug structure 13. Next, as shown in FIG. 8, a capacitor structure 21 is formed above the second polycrystalline silicon plug structure 20, so that the capacitor structure 21 can be conducted to the source through the connection of the second polycrystalline silicon plug structure 20. Pole / drain region 6. The capacitor structure 21 includes a Polysilicon Storage Node Structure 22, a Capacitor Dielectric Layer 23 such as Ta205 or ONO, and an electrode structure on polycrystalline silicon. This paper is applicable to this paper. China National Standard (CNS) A4 Specification (210 x 297 mm) ----------- 3 Packing -------- Order -----------.. ··-:, (Please read the precautions on your mouth before filling in this page "'4 41 10 1 ΚΙ _Β7_ V. Description of the Invention (丨 丨) (Polysilicon upper Electrode Structure) 24 〇 Therefore, the structure of the bit line capacitor Completed in detail. The present invention is described in detail, but not to limit the scope of the invention, and those skilled in the art will also understand that making appropriate changes and adjustments will still not lose the essence of the invention or depart from it. The spirit and scope of the invention should be regarded as the further implementation of the present invention. (Please read the notes on the front side before filling out this page.) The paper printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs applies the Chinese national standard ( CNS) A4 size (210 X 297 mm)

Claims (1)

4 41 10 1 g D8 、申請專利範圍 1. 一種形成具位元線上電容器之動態隨機存取記憶體的 (請先閱讀背面之注意事項再填寫本頁) 方法,其步驟包含: (a) 在一半導體基底上,於第一區域形成隔離區; (b) 在所述的半導體基底上且不被所述隔離區所佔據 的區域,於第二區域形成一閘極介電層; (c) 形成字元線結構,所述字元線結構係位於部分所述 隔離區的上方,也位於部分所述閘極介電層的上 方; (d) 在所述的牟導體基底上且不被所述字元線結構以 及所述隔離區所佔據的區域,形成源極/汲極區 域; (e) 在所述隔離區形成介電質結構; (0形成低層複晶砍插塞結構,所述低層複晶砂插塞結 構係位於所述介電質結構之間隙,也位於所述字元 線結構之間;所述低層複晶矽插塞結構位於所述源 極/汲極區域的上方,並直接接觸所述源極/汲極區 域; 經濟部智慧財產局員工消費合作社印製 (g) 形成一層氧化矽層; (h) 在所述氧化矽層之上方形成位元線結構; (i) 形成一經平坦化的介電層以完全填滿所述位元線 之間的空間,所述介電層係形成在所述位元線結構 之上,也在所述低層複晶矽插塞結構之上; (j) 在所述經平坦化的介電層上形成一自動對準接觸 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 經濟部智慧財產局員工消費合作社印?恭 s _D8_._ 六、申請專利耗圍 窗的窗口,所述自動對準接觸窗的窗口係在位元線 結構之間穿透介電層而露出所述位元線結構的側 壁,並裸露出所述低層複晶矽插塞結構的頂部; (k) 在所述自動對準接觸窗的窗口內形成介電質間隙 壁,所述介電質間隙壁係位於所述經平坦化的介電 層之側壁,也位於所述位元線結構的側壁; (l) 在所述自動對準接觸窗的窗口內形成高層複晶矽 插塞結構,所述高層複晶矽插塞結構係位於所述低 層複晶矽插塞結構的上方,並且直接接觸所述低層 複晶矽插塞結構;以及 (m) 在所述高層複晶矽插塞結構的上方形成一電容器 結構,所述電容器結構並直接接觸所述高層複晶矽 插塞結構。 2. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,其中所述隔離區域係經 氧化矽所填滿的淺渠溝區域,所述隔離區域形成的方法 係先在所述半導體基板上以蝕刻技術形成淺渠溝區 域,再以LPCVD或PECVD形成一層氧化矽層將該淺渠溝 區域填滿,接著以化學機械研磨法將不必要的所述氧化 矽層磨去。 3. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,其中所述閘極介電層係 由氧化矽所構成,所述氧化矽是藉由熱氧化法所形成, 其厚度介於20至80A之間。 (請先聞讀背面之注咅?事項再填寫本頁) tr---------Λ% 本紙張尺度適用令國國家標準(CNS)A4規格(210 x 297公釐) 經濟部智慧財產局員工消費合作社印製 4 4110 1 ____§_ 六、申請專利範圍 4·如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述字元線結構係由複 晶矽所構成,首先以LPCVD技術形成一層複晶矽層,其 厚度介於8G0至400GA之間,所述複晶矽層的摻雜有兩 種方法,其中之一係以砷或磷摻入反應氣體矽烷,使砷 或磷與矽同步沉積;另一方法係先沉積一本質複晶矽 層,再以離子佈植的技術將砷或磷摻雜入該複晶矽層。 5·如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述介電質結構係氧化 矽結構,所述氧化矽結構形成的方法係先以LPCVD或 PECVD技術形成一層氧化矽層,其厚度介於2000至 8000A之間,再以非等向反應性離子蝕刻法定義出氧化 矽結構,所使用的反應氣體是CHF3。 6. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述低層複晶矽插塞結 構係先以LPCVD技術形成一層複晶矽層,其厚度介於 2000至6000A之間,所述複晶矽層的摻雜係以砷或磷 摻入反應氣體矽烷,使砷或隣與矽同步沉積,接著再以 化學機械研磨法定義出低層複晶矽插塞結構。 7. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述位元線結構係由複 晶矽所構成,首先以LPCVD技術形成一層複晶矽層,其 厚度介於500至4G0QA之間,所述複晶矽層的摻雜有兩 種方法,其中之一係以砷或麟摻入反應氣體矽焼,使砷 (請先閱讀背面之注咅?事項再填寫本頁) :裝 5 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐〉 4 4110 1 A8 BS C8 D8 六、申請專利範圍 或磷與矽同步沉積;另一方法係先沉積一本質複晶矽 層,再以離子佈植的技術將砷或鱗摻雜入該複晶矽層。 8. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述位元線結構可以由 鎢金屬層,矽化鶴層,或一複晶矽化金屬層(例如由一 層矽化鎢層覆蓋在一層複晶矽上)所形成,上述各導電 層皆可用LPCVD形成,其厚度介於500至4000A之間。 9. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,其中所述經平坦化之介 電層係由氧化矽所構成,所述氧化矽是藉由LPCVD或 PECVD所形成,其厚度介於2000至800UA之間,再以 化學機械研磨法進行平坦化處理。 10·如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,其中所述自動對準接觸 窗的窗口係在所述的平坦化之介電層上所形成,利用非 等向反應性離子蝕刻技術,以CHF3爲反應氣體來蝕刻氧 化矽層’藉著氧化矽和裸露氮化矽層15之間約爲25比 1的高蝕刻選擇比,以及氧化矽和第一複晶矽插塞結構 之間約爲25比1的高蝕刻選擇比,以進行蝕刻。 11.如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述介電質間隙壁形成 的方法,係先以LPCVD或PECVD技術形成一層氧化矽 層’其厚度介於300至2Q00A之間,之後再進行非等向 反應性離子蝕刻,所使用的反應氣體是CHF3。 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝---^------—訂--------- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 4 411 CH 藍 C8 D8 六、申請專利範圍 12. 如申請專利範圍第1項所述之形成具位元線上電容器 之動態隨機存取記憶體的方法,所述高層複晶矽插塞結 構係先以LPCVD技術形成一層複晶矽層,其厚度介於 1000至30G0A之間,所述複晶矽層的摻雜係以砷或碟 摻入反應氣體矽烷,使砷或磷與矽同步沉積,接著再以 化學機械研磨法定義出高層複晶砍插塞結構。 13. —種在半導體基底上形成位元線上電容器的方法,所述 位元線上電容器係用於動態隨機存取記憶體,本方法的 特色在於所形成的自動對準接觸窗的窗口係由位元線 結構之間穿透,本發明的另一特色在於位元線結構側壁 的介電質間隙壁係在開啓自動對準接觸窗的窗口之後 才形成,其步驟包含: (a) 在所述的半導體基底上形成由氧化矽所填充的隔 離區; (b) 在所述的半導體基底上且不被所述隔離區所佔據 的區域形成一由氧化矽所構成的閘極介電層; (c) 在與所述隔離區相垂直的方向上形成被氮化矽所 包覆的字元線結構,所述字元線結構係位於部分所 述隔離區的上方,也位於部分所述閘極介電層的上 方; (d) 在所述的半導體基底上且不被所述字元線結構以 及所述隔離區所佔據的區域,形成源極/汲極區 域; (e) 在所述隔離區且不被所述字元線結構所佔據的區 17 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝 t&j 經濟部智慧財產局員工消費合作社印製 g__— 六、申請專利範圍 域形成介電質結構; (Ο形成一第一複晶矽層; (g)進行第一次化學機械研磨製程以磨去位於所述氧 化矽結構上方的第一複晶矽層,以形成低層複晶矽 插塞結構,所述低層複晶矽插塞結構係位於所述介 電質結構之間,也位於所述字元線結構之間;所述 低層複晶矽插塞結構位於所述源極/汲極區域的上 方,並接觸所述源極/汲極區域; α)形成一層氧化矽層; (i) 在所述氧化矽層之上方形成位元線結構,該位元線 結構包含一由氮化矽所形成的頂蓋; (j) 形成一層氧化矽層以完全填滿所述位元線結構之 間的空間; (k) 進行第二次化學機械研磨製程以將所述氧化矽層 的頂部磨平; (l) 在所述氧化矽層上形成一自動對準接觸窗的窗 口,所述自動對準接觸窗的窗口在所述位元線結構 之間穿透氧化矽層而露出位元線結構的側壁,並裸 露出所述低層複晶矽插塞結構的頂部; (in)在所述位元線結構的側壁形成介電質間隙壁,所述 位元線結構係裸露於所述自動對準接觸窗的窗口 內; (η)形成一第二複晶矽層以完全填滿所述自動對準接 觸窗的窗口; 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) (請先閱讀背面之注意事項再填寫本頁) 裝I I I I I ί I . U1 1 Ο ΐ Α8 ' _ ___D8 六、申請專利範圍 (〇)進行第三次化學機械研磨製程以去除位於所述氧 化矽層上方的第二複晶矽層,以形成高層複晶矽插 塞結構’所述高層複晶矽插塞結構係位於所述低層 複晶矽插塞結構的上方,並且直接接觸所述低層複 晶矽插塞結構;以及 Cp)在所述高層複晶矽插塞結構的上方形成一電容器 結構,所述電容器結構並直接接觸所述高層複晶矽 插塞結構。 14.如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,其中所述氧化矽閘極介電層係由 藉由熱氧化法所形成,其厚度介於20至80A之間。 15·如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,所述被氮化矽所包覆的字元線結 構係由一複晶矽層及其上方的氮化矽所製作而成,首先 以LPCVD技術形成一層複晶矽層,其厚度介於800至 4000A之間,以砷或鱗摻入反應氣體矽烷,使砷或磷與 矽同步沉積而成,之後再以LPCVD或PECVD技術形成一 厚度介於500至3000A之間的氮化矽層; 16.如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,所述被氮化矽所包覆的字元線結 構係由一矽化金屬層及其上方的氮化矽所製作而成,首 先以LPCVD技術形成一層矽化金屬層,其厚度介於800 至40G0A之間,以砷或磷接入反應氣體矽烷,使砷或磷 與矽同步沉積而成,之後再以LPCVD或PECVD技術形成 (請先閲讀背面之注意事項再填寫本頁) -----:—訂----- 气’一 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) OQ8859 WBCD 六、申請專利範圍 一厚度介於500至30Q0A之間的氮化矽層;所述矽化金 屬層可以是矽化鎢層。 17. 如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,所述被氮化矽所包覆的字元線結 構尙包含位於字元線結構側壁的氮化矽間隙壁,形成所 述氮化矽間隙壁的方法係先以PECVD或LPCVD技術形成 一層氮化矽層,再以非等向反應性離子蝕刻進行回蝕刻 而成,以CF4爲反應氣體。 18. 如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,所述氧化矽結構形成的方法係先 以LPCVD或PECVD技術形成一層氧化矽層,其厚度介於 2000 至 80GGA 之間。 19. 如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,所述位元線結構係由一複晶矽結 構及其上方的氮化矽結構所製作而成,該複晶矽結構係 由一複晶矽層製作而得,形成該複晶矽層的方法係利用 LPCVD技術以砷或磷摻入反應氣體矽烷,使砷或磷與矽 同步沉積而成,其厚度介於5QQ至400GA之間。 經濟部智慧財產局員工消費合作社印製 (請先間讀背面之注意事項再填寫本頁). 20. 如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法,所述位元線結構係由一矽化鶴結 構及其上方的氮化矽結構所製作而成,該矽化鎢結構係 由一矽化鎢層製作而得,形成該矽化鎢層的方法係利用 LPCVD技術沉積而成,其厚度介於5QG至4GQ0A之間。 21. 如申請專利範圍第13項所述之在半導體基底上形成位 20 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 4 4110 1 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 元線上電容器的方法’其中所述自動對準接觸窗的窗口 係在所述的氧化層上所形成,利用非等向反應性離子蝕 刻技術,以CHF3爲反應氣體來蝕刻氧化矽層,藉著氧化 矽和氮化矽層15之間約爲25比1的高蝕刻選擇比,以 及氧化矽和複晶矽之間約爲25比1的高蝕刻選擇比,以 進行蝕刻。 22. 如申請專利範圍第13項所述之在半導體基底上形成位 元線上電容器的方法’所述位於位元線結構側壁的介電 質間隙壁係氧化矽間隙壁,形成該氧化矽間隙壁的方法 係先以LPCVD或PECVD技術形成一層氧化矽層,之後再 進行非等向反應性離子蝕刻,所使用的反應氣體是 CHF3。 I 23. —種在半導體基底上形成之位元線上電容器,所述位元 線上電容器係用於動態隨機存取記憶體,包含: (a) 由介電質所填充而成的淺渠溝區域,位於半導體基 底上; (b) —閘極介電層,位於半導體基底上且不被所述隔離 區所佔據的區域; (c) 被氮化1矽所包覆的字元線結構,位於與所述隔離區 相垂直的方向上,所述被氮化矽所包覆字元線結構 係位於部分所述隔離區的上方,也位於部分所述閘 極介電層的上方; (d) 源極/汲極區域,位於所述的半導體基底上且不被 所述被氮化矽所包覆的字元線結構以及所述隔離 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -------^- — 11 — Λ1/^ * 1----^---訂 ----1! '- Λ (請先閱讀背面之注意事項再填寫本頁) 4 41 10 1 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 區所佔據的區域; (e)介電質結構,位於所述由介電質所填充而成的淺渠 溝區域之上方; (0低層複晶矽插塞結構,位於所述介電質結構之間, 也位於所述字元線結構之間;所述低層複晶矽插塞 結構位於所述源極/汲極區域的上方,並直接接觸 所述源極/汲極區域; (g)氧化矽層,所述氧化矽層具有接觸窗; Ch)具氮化矽頂蓋的位元線結構,位於所述氧化砂層之 上方; (i) 位於平坦氧化矽層上的窗口,該窗口裸露出所述具 氮化矽頂蓋的位元線結構的側壁,也裸露出所述低 層複晶矽插塞結構的頂部; (j) 介電質間隙壁,位於所述具氮化矽頂蓋的位允線結 構的側壁; 00高層複晶矽插塞結構,位於所述平坦氧化矽層上的 窗口內,並且位於所述低層複晶矽插塞結構的上 方,直接接觸所述低層複晶矽插塞結構;以及 (1)一電容器結構,位於所述高層複晶矽插塞結構的上 方,並直接接觸所述高層複晶矽插塞結構。 24. 如申請專利範圍第23項所述之位元線上電容器,其中 所述由介電質所填充而成的隔離區係由氧化矽所填充而 成的隔離區。 25. 如申請專利範圍第23項所述之位元線上電容器,其中 本紙張尺度適用中囤國家標準(CNS)A4規格(210 X 297公釐) (請先閉讀背面之注意事項再填寫本頁) 裝 訂·- Λ 41 1 Ο 1 Α8 D8 六、申請專利範圍 所述被氮化矽包覆的字元線結構係包含一厚度介於500 至3DGGA的氮化矽結構,以及位於該氮化矽結構下層厚 度介於800至4G00A的複晶矽結構,並且包含一位於字 元線結構側壁的氮化矽間隙壁。 26.如申請專利範圍第23項所述之位元線上電容器,其中 所述被氮化矽包覆的字元線結構係包含一厚度介於500 至3Q00A的氮化矽結構,以及位於該氮化矽結構下層厚 度介於500至4G00A之間的複晶矽結構、鎢結構、或矽 化鎢結構。 27·如申請專利範圍第23項所述之位元線上電容器,其中 所述開啓在平坦氧化矽層中的窗口係一自動對準接觸窗 的窗口,位於位元線結構之間,因此所述位元線結構之 側壁係裸露在該自動對準接觸窗的窗口中。 28.如申請專利範圍第23項所述之位元線上電容器,其中 所述位於位元線結構側壁上的介電質間隙壁係由氧化矽 所構成,其厚度介於300至2QG0A之間。 ---·---^----I ---^-----—訂--------- ^ # (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 23 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)4 41 10 1 g D8, patent application scope 1. A method of forming dynamic random access memory with capacitors on bit lines (please read the precautions on the back before filling this page), the steps include: (a) in An isolation region is formed on a semiconductor substrate in a first region; (b) a gate dielectric layer is formed in a second region on the semiconductor substrate and not occupied by the isolation region; (c) Forming a word line structure, which is located above part of the isolation region and also above part of the gate dielectric layer; (d) on the Mou conductor substrate and is The word line structure and the area occupied by the isolation region to form a source / drain region; (e) forming a dielectric structure in the isolation region; (0 forming a low-level complex crystalline plug structure, the The low-level polycrystalline sand plug structure is located between the dielectric structure and between the word line structures; the low-level polycrystalline silicon plug structure is located above the source / drain region, And directly contact the source / drain region; economic Printed by the Intellectual Property Bureau employee consumer cooperative (g) forming a silicon oxide layer; (h) forming a bit line structure above the silicon oxide layer; (i) forming a planarized dielectric layer to completely fill all The space between the bit lines, the dielectric layer is formed on the bit line structure, and also on the low-level polycrystalline silicon plug structure; (j) on the planarized An automatic alignment contact is formed on the dielectric layer. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm). Printed by the Consumers' Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs? Christine s_D8 _._ The window of the window, wherein the window of the automatic alignment contact window penetrates the dielectric layer between the bit line structures to expose the sidewall of the bit line structure, and exposes the low-level polycrystalline silicon plug structure. Top; (k) forming a dielectric gap wall in the window of the auto-alignment contact window, the dielectric gap wall is located on the side wall of the planarized dielectric layer, and is also located on the bit The side walls of the line structure; (l) in the self-aligning contact window A high-level compound silicon plug structure is formed in the mouth, and the high-layer compound silicon plug structure is located above the low-layer compound silicon plug structure and directly contacts the low-layer compound silicon plug structure; and (m ) A capacitor structure is formed above the high-level polycrystalline silicon plug structure, and the capacitor structure directly contacts the high-level polycrystalline silicon plug structure. 2. The formation position as described in item 1 of the scope of patent application A method of dynamic random access memory for capacitors on an element line, wherein the isolation region is a shallow trench region filled with silicon oxide, and the method for forming the isolation region is firstly formed on the semiconductor substrate by an etching technique. In the shallow trench area, a silicon oxide layer is formed by LPCVD or PECVD to fill the shallow trench area, and then the unnecessary silicon oxide layer is removed by chemical mechanical polishing. 3. The method for forming a dynamic random access memory with a capacitor on a bit line as described in item 1 of the scope of the patent application, wherein the gate dielectric layer is composed of silicon oxide, and the silicon oxide is formed by It is formed by thermal oxidation method, and its thickness is between 20 and 80A. (Please read the note on the back? Matters before filling out this page) tr --------- Λ% This paper applies the national standard (CNS) A4 size (210 x 297 mm) of the Ministry of Economic Affairs Printed by the Intellectual Property Bureau's Consumer Cooperatives 4 4110 1 ____ §_ VI. Scope of Patent Application 4 · The method for forming a dynamic random access memory with a bit online capacitor as described in item 1 of the scope of patent application, the word The element line structure is composed of polycrystalline silicon. First, a layer of polycrystalline silicon is formed by LPCVD technology with a thickness between 8G0 and 400GA. There are two methods for doping the polycrystalline silicon layer. One of them is The reactive gas silane is doped with arsenic or phosphorus to deposit arsenic or phosphorus and silicon simultaneously; another method is to deposit an intrinsic polycrystalline silicon layer first, and then dope arsenic or phosphorus into the polycrystalline silicon by ion implantation technology. Floor. 5. The method for forming a dynamic random access memory with a capacitor on a bit line as described in item 1 of the scope of the patent application, the dielectric structure is a silicon oxide structure, and the method for forming the silicon oxide structure is LPCVD or PECVD technology forms a silicon oxide layer with a thickness between 2000 and 8000 A. The silicon oxide structure is defined by anisotropic reactive ion etching. The reaction gas used is CHF3. 6. According to the method for forming a dynamic random access memory with a capacitor on a bit line as described in item 1 of the scope of the patent application, the low-level polycrystalline silicon plug structure is firstly formed with a polycrystalline silicon layer by LPCVD technology. The thickness is between 2000 and 6000 A. The doping of the polycrystalline silicon layer is doped with arsenic or phosphorus into the reactive gas silane, so that arsenic or ortho and silicon are deposited simultaneously, and then a low-level complex crystal is defined by chemical mechanical polishing. Silicon plug structure. 7. The method for forming a dynamic random access memory with a capacitor on a bit line as described in item 1 of the scope of the patent application. The bit line structure is composed of polycrystalline silicon. First, a layer of polycrystalline silicon is formed by LPCVD technology. The silicon layer has a thickness between 500 and 4G0QA. There are two methods for doping the polycrystalline silicon layer. One of them is doping arsenic with arsenic or lin to react with arsenic (please read the Note: Please fill in this page again.): 5 paper sizes are applicable to Chinese National Standard (CNS) A4 (210 X 297 mm> 4 4110 1 A8 BS C8 D8. 6. Scope of patent application or simultaneous deposition of phosphorus and silicon; Another method is to deposit an intrinsic polycrystalline silicon layer first, and then dope the arsenic or scale into the polycrystalline silicon layer by using ion implantation technology. 8. Form the bit line on the basis of the first patent application In a method of dynamic random access memory for a capacitor, the bit line structure may be composed of a tungsten metal layer, a silicided crane layer, or a polycrystalline silicon silicide layer (for example, a tungsten silicide layer is covered on a polycrystalline silicon layer). Formation, each of the above conductive layers can be formed by LPCVD Its thickness is between 500 and 4000 A. 9. The method for forming a dynamic random access memory with a capacitor on a bit line as described in item 1 of the patent application range, wherein the planarized dielectric layer is formed by It is composed of silicon oxide, which is formed by LPCVD or PECVD, and has a thickness between 2000 and 800 UA, and then is planarized by a chemical mechanical polishing method. 10. As described in item 1 of the scope of patent application A method for forming a dynamic random access memory with a capacitor on a bit line, wherein the window of the auto-aligned contact window is formed on the planarized dielectric layer, and anisotropic reactive ion etching is used. Technology, using CHF3 as a reactive gas to etch the silicon oxide layer 'by virtue of a high etching selectivity ratio of about 25 to 1 between silicon oxide and the exposed silicon nitride layer 15, and the plug structure of silicon oxide and the first polycrystalline silicon A high etching selection ratio of about 25 to 1 is used for etching. 11. The method for forming a dynamic random access memory with a capacitor on a bit line as described in item 1 of the scope of patent application, said dielectric gap Method of wall formation First, a silicon oxide layer is formed by LPCVD or PECVD technology. Its thickness is between 300 and 2Q00A, and then anisotropic reactive ion etching is performed. The reaction gas used is CHF3. The paper size is applicable to Chinese national standards ( CNS) A4 size (210 X 297 mm) (Please read the precautions on the back before filling out this page) Pack --- ^ ------- Order --------- Intellectual Property of the Ministry of Economic Affairs Printed by the Bureau ’s Consumer Cooperatives Printed by the Ministry of Economy ’s Intellectual Property Bureau ’s Consumer Cooperatives 4 411 CH Blue C8 D8 VI. Patent Application Scope 12. Dynamic Random Access to Form Bit Online Capacitors as described in Item 1 of the Patent Scope Memory method, the high-level polycrystalline silicon plug structure is first formed by a LPCVD technology with a polycrystalline silicon layer having a thickness between 1000 and 30 G0A, and the doping of the polycrystalline silicon layer is arsenic or disk The reactive gas silane is doped, so that arsenic or phosphorus is simultaneously deposited with silicon, and then a chemical-mechanical polishing method is used to define the high-level compound crystal plug structure. 13. A method for forming a capacitor on a bit line on a semiconductor substrate, the capacitor on the bit line is used for dynamic random access memory, and the method is characterized in that the formed window of the automatic alignment contact window is formed by a bit Penetration between the element line structures, another feature of the present invention is that the dielectric gap wall of the side wall of the bit line structure is formed after opening the window of the auto-alignment contact window, the steps include: (a) in the Forming an isolation region filled with silicon oxide on a semiconductor substrate; (b) forming a gate dielectric layer composed of silicon oxide on the semiconductor substrate and not occupied by the isolation region; ( c) forming a word line structure covered by silicon nitride in a direction perpendicular to the isolation region, the word line structure is located above a part of the isolation region and also on a part of the gate electrode Over the dielectric layer; (d) forming a source / drain region on the semiconductor substrate and not occupied by the word line structure and the isolation region; (e) on the isolation Area and not by the character line Area occupied by the organization 17 This paper size is in accordance with China National Standard (CNS) A4 (210 x 297 mm) (Please read the precautions on the back before filling out this page) Install t & j Employees ’Cooperatives, Intellectual Property Bureau, Ministry of Economic Affairs Print g __— 6. Form a dielectric structure within the scope of the patent application; (0 form a first polycrystalline silicon layer; (g) perform the first chemical mechanical polishing process to remove the first silicon oxide structure above the silicon oxide structure. A polycrystalline silicon layer to form a low-level polycrystalline silicon plug structure, the low-level polycrystalline silicon plug structure is located between the dielectric structure and also between the word line structures; the low layer The polycrystalline silicon plug structure is located above the source / drain region and contacts the source / drain region; α) forming a silicon oxide layer; (i) forming a bit above the silicon oxide layer Element line structure, the bit line structure including a top cover formed of silicon nitride; (j) forming a silicon oxide layer to completely fill the space between the bit line structures; (k) performing a second Sub-chemical mechanical polishing process to convert the silicon oxide (1) forming a window for automatically aligning a contact window on the silicon oxide layer, the window of the automatically aligning contact window penetrating the silicon oxide layer between the bit line structures and exposing A sidewall of the bit line structure, and the top of the low-level polycrystalline silicon plug structure is exposed; (in) a dielectric gap wall is formed on the sidewall of the bit line structure, and the bit line structure is exposed on Inside the window of the self-aligned contact window; (η) forming a second polycrystalline silicon layer to completely fill the window of the self-aligned contact window; This paper size applies to the Chinese National Standard (CNS) A4 specification (210 χ 297 mm) (Please read the precautions on the back before filling out this page) Install IIIII ί I. U1 1 Ο 8 Α8 '_ _D8 VI. Apply for a patent scope (0) Perform the third chemical mechanical polishing process to remove the A second polycrystalline silicon layer above the silicon oxide layer to form a high-level polycrystalline silicon plug structure 'said high-level polycrystalline silicon plug structure is located above the low-level polycrystalline silicon plug structure and directly contacts The low-level polycrystalline silicon plug structure And Cp) forming a capacitor structure over the top of polysilicon plug structure, the capacitor structure and directly contacts the top of polysilicon plug structure. 14. The method for forming a capacitor on a bit line as described in item 13 of the scope of the patent application, wherein the silicon oxide gate dielectric layer is formed by a thermal oxidation method and has a thickness of 20 to Between 80A. 15. The method for forming a capacitor on a bit line as described in item 13 of the scope of the patent application, wherein the word line structure covered by silicon nitride is composed of a polycrystalline silicon layer and nitrogen above it. It is made of silicon. First, a crystalline silicon layer is formed by LPCVD technology. The thickness is between 800 and 4000A. The reactive gas silane is mixed with arsenic or scale, and arsenic or phosphorus and silicon are deposited simultaneously. Then use LPCVD or PECVD technology to form a silicon nitride layer with a thickness between 500 and 3000A; 16. The method for forming a capacitor on a bit line on a semiconductor substrate as described in item 13 of the scope of patent application, said nitrogen The word line structure covered by siliconized silicon is made of a silicidated metal layer and silicon nitride above it. First, a layer of silicidated metal is formed by LPCVD technology, with a thickness between 800 and 40 G0A. Or phosphorus is connected to the reactive gas silane, and arsenic or phosphorus and silicon are deposited simultaneously, and then formed by LPCVD or PECVD technology (please read the precautions on the back before filling this page) -----:-order- --- Qi, an employee of the Intellectual Property Bureau of the Ministry of Economic Affairs The paper size printed by Fei Cooperative is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) OQ8859 WBCD Sixth, the scope of patent application-a silicon nitride layer with a thickness between 500 and 30Q0A; the silicided metal layer It may be a tungsten silicide layer. 17. The method for forming a capacitor on a bit line as described in item 13 of the scope of the patent application, wherein the word line structure covered by silicon nitride includes silicon nitride located on a sidewall of the word line structure. The method for forming the silicon nitride spacer wall by forming a silicon nitride spacer wall using PECVD or LPCVD technology, and then performing back-etching using anisotropic reactive ion etching, and using CF4 as a reactive gas. 18. The method for forming a capacitor on a bit line as described in item 13 of the scope of patent application, said method for forming a silicon oxide structure is to first form a silicon oxide layer by LPCVD or PECVD technology, and the thickness is between 2000 and 2000. To 80GGA. 19. The method for forming a capacitor on a bit line as described in item 13 of the scope of the patent application, wherein the bit line structure is made of a polycrystalline silicon structure and a silicon nitride structure thereon, The polycrystalline silicon structure is made of a polycrystalline silicon layer. The method for forming the polycrystalline silicon layer is to use LPCVD technology to mix arsenic or phosphorus with a reactive gas silane to deposit arsenic or phosphorus and silicon simultaneously. The thickness is between 5QQ and 400GA. Printed by the Consumer Cooperative of the Intellectual Property Bureau of the Ministry of Economic Affairs (please read the precautions on the back before filling this page). 20. As described in item 13 of the scope of patent application, a method for forming a capacitor on a bit line on a semiconductor substrate is used. The bit line structure is made of a silicide crane structure and a silicon nitride structure above it. The tungsten silicide structure is made of a tungsten silicide layer. The method for forming the tungsten silicide layer is deposited using LPCVD technology. And its thickness is between 5QG and 4GQ0A. 21. Form 20 bits on the semiconductor substrate as described in item 13 of the scope of patent application. This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 4 4110 1 B8 C8 D8 Employees of Intellectual Property Bureau, Ministry of Economic Affairs Consumer Cooperatives Printed 6. Method of Capacitors on Patent Lines where the auto-aligned contact window is formed on the oxide layer, using anisotropic reactive ion etching technology, with CHF3 as the reaction Gas to etch the silicon oxide layer, with a high etch selection ratio of about 25 to 1 between silicon oxide and silicon nitride layer 15 and a high etch selection ratio of about 25 to 1 between silicon oxide and polycrystalline silicon, For etching. 22. According to the method for forming a capacitor on a bit line on a semiconductor substrate as described in item 13 of the scope of the patent application, the dielectric spacer wall on the side wall of the bit line structure is a silicon oxide spacer to form the silicon oxide spacer The method is to first form a silicon oxide layer by LPCVD or PECVD technology, and then perform anisotropic reactive ion etching. The reaction gas used is CHF3. I 23. A bit-line capacitor formed on a semiconductor substrate, said bit-line capacitor being used for dynamic random access memory, comprising: (a) a shallow trench region filled with a dielectric , Located on a semiconductor substrate; (b) a gate dielectric layer, located on the semiconductor substrate and not occupied by the isolation region; (c) a word line structure covered with silicon nitride, located at In a direction perpendicular to the isolation region, the word line structure covered by silicon nitride is located above part of the isolation region and also above part of the gate dielectric layer; (d) The source / drain region is located on the semiconductor substrate and is not covered by the word line structure covered by the silicon nitride and the isolation. The paper size is applicable to the Chinese National Standard (CNS) A4 specification (210 X 297 mm) ------- ^-— 11 — Λ1 / ^ * 1 ---- ^ --- Order ---- 1! '-Λ (Please read the notes on the back before filling in this Page) 4 41 10 1 A8 B8 C8 D8 Printed by the Consumer Cooperatives of the Intellectual Property Bureau of the Ministry of Economic Affairs VI. Area occupied by patent application area (e) a dielectric structure located above the shallow trench area filled with the dielectric; (0 low-level polycrystalline silicon plug structure located between the dielectric structures and also located Between the word line structures; the low-level polycrystalline silicon plug structure is located above the source / drain region and directly contacts the source / drain region; (g) a silicon oxide layer, the The silicon oxide layer has a contact window; Ch) a bit line structure with a silicon nitride top cover above the oxide sand layer; (i) a window on a flat silicon oxide layer, the window exposing the nitrided layer The sidewall of the bit line structure of the silicon top cap also exposes the top of the low-level polycrystalline silicon plug structure; (j) a dielectric gap wall is located in the bit line structure of the silicon nitride cap. A side wall; a 00 high-level polycrystalline silicon plug structure, located in a window on the flat silicon oxide layer, and above the low-level polycrystalline silicon plug structure, directly contacting the low-level polycrystalline silicon plug structure; and (1) a capacitor structure located above the high-level polycrystalline silicon plug structure, Directly contacting the top of polysilicon plug structure. 24. The bit line capacitor according to item 23 of the scope of the patent application, wherein the isolation region filled with the dielectric is an isolation region filled with silicon oxide. 25. The bit online capacitor as described in item 23 of the scope of patent application, in which the paper size is applicable to the national standard (CNS) A4 specification (210 X 297 mm) (Please close the precautions on the back before filling in this Page) Binding ·-Λ 41 1 Ο 1 Α8 D8 6. The word line structure covered by silicon nitride as described in the scope of the patent application includes a silicon nitride structure with a thickness of 500 to 3 DGGA, and is located in the nitride. The lower layer of the silicon structure is a polycrystalline silicon structure with a thickness between 800 and 4G00A, and includes a silicon nitride spacer on the sidewall of the word line structure. 26. The bit-line capacitor according to item 23 of the scope of patent application, wherein the word line structure covered by silicon nitride comprises a silicon nitride structure having a thickness of 500 to 3Q00A, and A polycrystalline silicon structure, a tungsten structure, or a tungsten silicide structure with a thickness lower than 500 to 4G00A. 27. The bit line capacitor according to item 23 of the scope of the patent application, wherein the window opened in the flat silicon oxide layer is a window that is automatically aligned with the contact window and is located between the bit line structures. The sidewall of the bit line structure is exposed in the window of the auto-aligned contact window. 28. The bit-line capacitor according to item 23 of the scope of the patent application, wherein the dielectric spacer on the sidewall of the bit-line structure is made of silicon oxide and has a thickness between 300 and 2QG0A. --- · --- ^ ---- I --- ^ ------- Order --------- ^ # (Please read the notes on the back before filling this page) Ministry of Economy Printed by the Intellectual Property Bureau Staff Consumer Cooperatives 23 This paper size is applicable to China National Standard (CNS) A4 (210 X 297 mm)
TW89106390A 2000-04-07 2000-04-07 Method of forming dynamic random access memory with capacitor over bit line TW441101B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89106390A TW441101B (en) 2000-04-07 2000-04-07 Method of forming dynamic random access memory with capacitor over bit line

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89106390A TW441101B (en) 2000-04-07 2000-04-07 Method of forming dynamic random access memory with capacitor over bit line

Publications (1)

Publication Number Publication Date
TW441101B true TW441101B (en) 2001-06-16

Family

ID=21659312

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89106390A TW441101B (en) 2000-04-07 2000-04-07 Method of forming dynamic random access memory with capacitor over bit line

Country Status (1)

Country Link
TW (1) TW441101B (en)

Similar Documents

Publication Publication Date Title
JP4585309B2 (en) Semiconductor processing method for forming a contact pedestal for a storage node of an integrated circuit capacitor
EP1804288B1 (en) Semiconductor memory device with recessed gate and method for making the same
TW522514B (en) Method of forming metal contact in semiconductor device
TW413868B (en) Semiconductor memory device having SOI (silicon-on-insulator) structure and method for fabricating thereof
US20080142862A1 (en) Method of fabricating a trench capacitor having increased capacitance
TW512494B (en) Embedded vertical DRAM cells and dual workfunction logic gates
TW439202B (en) Method for forming a self aligned contact in a semiconductor device
JP2006237589A (en) Semiconductor memory device having local etch stopper and manufacturing method thereof
US7217618B2 (en) Semiconductor device and method for fabricating the same using damascene process
TW538534B (en) Cylindrical storage capacitor of a memory cell and method for fabricating the same
KR100481035B1 (en) Vertical transister
TW404013B (en) Method of forming self aligned contacts in a semiconductor device
TW388125B (en) Method for fabricating dynamic random access memory (DRAM) cells with minimum active cell areas
TW550756B (en) Modified vertical MOSFET and methods of formation thereof
TW479328B (en) Method for manufacturing a self-aligned stacked storage node DRAM cell
TW441101B (en) Method of forming dynamic random access memory with capacitor over bit line
US6838341B2 (en) Method for fabricating semiconductor device with self-aligned storage node
US7582524B2 (en) Method for preparing a memory structure
US20040056247A1 (en) Bitline of semiconductor device having stud type capping layer and method for fabricating the same
TW456000B (en) Method for making an 8-shaped storage node DRAM cell
JPH11177052A (en) Semiconductor device and its manufacture
TW404053B (en) A method of utilizing self-aligned contact via to fabricate the capacitor on the bitline in DRAM
TW457526B (en) Method for manufacturing DRAM cell with 5F2 area
TW452932B (en) Manufacturing method for self-aligned contact
TW463326B (en) Manufacturing method and structure of dynamic random access memory

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent