TW425561B - Vertically stacked field programmable nonvolatile memory and method of fabrication - Google Patents

Vertically stacked field programmable nonvolatile memory and method of fabrication Download PDF

Info

Publication number
TW425561B
TW425561B TW088108207A TW88108207A TW425561B TW 425561 B TW425561 B TW 425561B TW 088108207 A TW088108207 A TW 088108207A TW 88108207 A TW88108207 A TW 88108207A TW 425561 B TW425561 B TW 425561B
Authority
TW
Taiwan
Prior art keywords
conductors
patent application
layer
scope
conductor
Prior art date
Application number
TW088108207A
Other languages
Chinese (zh)
Inventor
Mark G Johnson
Thomas H Lee
Vivek Subramanian
P Michael Farmwald
James M Cleeves
Original Assignee
Rhombus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rhombus Inc filed Critical Rhombus Inc
Application granted granted Critical
Publication of TW425561B publication Critical patent/TW425561B/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/08Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards using semiconductor devices, e.g. bipolar elements
    • G11C17/10Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards using semiconductor devices, e.g. bipolar elements in which contents are determined during manufacturing by a predetermined arrangement of coupling elements, e.g. mask-programmable ROM
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5692Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency read-only digital stores using storage elements with more than two stable states
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/003Cell access
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • H10B20/25One-time programmable ROM [OTPROM] devices, e.g. using electrically-fusible links
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5678Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using amorphous/crystalline phase transition storage elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/76Array using an access device for each cell which being not a transistor and not a diode

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Semiconductor Memories (AREA)
  • Read Only Memory (AREA)

Abstract

A very high density field programmable memory is disclosed. An array is formed vertically above a substrate using several layers, each layer of which includes vertically fabricated memory cells. The cell in an N level array may be formed with N+1 masking steps plus masking steps needed for contacts. Maximum use of self alignment techniques minimizes photolithographic limitations. In one embodiment the peripheral circuits are formed in a silicon substrate and an N level array is fabricated above the substrate.

Description

4 2 5 R 14 2 5 R 1

五、發明說明(1)' 發明背景 1. 發明領域 本發明係關於低成本、高密度半導體記憶體。且 地說’本發明係關於其内容為非揮發性之半導體 儲存在記憶體内的資料在電力消失的時候不會 ^ ^· 變。 /天或改 2*發明背景 對密度更高之半導體記憶體的需求有增無減,且顧客持 續以更大的量購買這些記憶體,即使當每一晶片上的位元 數每三年(約略)四倍增時亦復如此。所以不斷需要以更低、 成本&供更面雄、度的產品以滿足市場的需要。 "* 半導體非揮發性記憶體可分為兩類:(丨)資料在製造過 程中被永久寫入且其内容不能各其後被更改,稱為遮罩唯、 讀記憶體(mask ROM)或工廠程式化唯讀記憶體(fact〇ry programmed ROM)的記憶體:(2)資料可在完成之記憶體裝 置離開工廠之後加入的記憶體。二種中的後者稱為“現場 可程式化記憶體”(field programmable memories),因 為其内容可在該半導體記憶體晶片被配置於其最終應用環 境-即在現場-時由使用者寫入。 現場可程式記憶體可進一步細分為“寫入一次”記憶體 和“寫入/消除/再寫入”記憶體。那些寫入一次的稱為/ 程式唯讀記憶體(PROM)或可一次程式唯讀記憶體(0TPR0M) β而那些具備寫入/消除/再寫入能力的記憶體稱為紫外線 可消除可程式唯讀記憶體(UVEPR〇M)或電氣可消除可程式5. Description of the invention (1) 'Background of the invention 1. Field of the invention The present invention relates to low-cost, high-density semiconductor memory. Furthermore, ‘the present invention relates to semiconductors whose contents are non-volatile, and the data stored in the memory will not change when power is lost. / Day or change 2 * Background of the Invention The demand for higher density semiconductor memory continues unabated, and customers continue to buy these memories in larger quantities, even when the number of bits on each chip is every three years ( (Approximately) The same is true when quadrupling. Therefore, there is a constant need to provide more aggressive and affordable products at lower, cost & rates to meet the needs of the market. " * Semiconductor non-volatile memory can be divided into two types: (丨) data is permanently written in the manufacturing process and its content cannot be changed afterwards, which is called mask ROM and read ROM (mask ROM) Or factory-programmed ROM: (2) Memory that can be added after the completed memory device leaves the factory. The latter of the two is called "field programmable memories" because its contents can be written by the user when the semiconductor memory chip is configured in its final application environment-i.e., on-site. The field programmable memory can be further subdivided into "write once" memory and "write / erase / rewrite" memory. Those written once are called / Programmable Read Only Memory (PROM) or Programmable Read Only Memory (0TPR0M) β and those with write / erase / rewrite capabilities are called ultraviolet erasable programmable Read-only memory (UVEPR〇M) or electrical can be programmed

第6頁 ' 425561 五、發明說明(2) ~ -- 唯讀記憶體(EEPROM)或快速與彈性EEPROM(Flash EEPROM) :相反的,遮罩ROM之内容.在製造期間就被永久儲存,所 从遮罩ROM不可被消除且實際上是“僅在工廠裡 次”的記憶體。 現場可程式記憶體較遮罩R〇J(更有彈性,因為其容許系 统產品製造者庫存單一種泛用型零件用於許多用途,且在 系統製造流程的甚後端以許多種不同方法將此一零件專用 化(將記憶體内容程式化)。此種彈性讓系統製造者更容易 對不同系統產品間需求的變動做調適,並更新或修訂系統 產品而不會產生將既存預程式化之遮罩R〇M庫存廢棄(抛 棄)的費用。但此彈性亦有其成本:現場可程式記憶體一 ,較遮罩ROM的进度低(每一晶片上的位元數較少)且成本 高t每一位元的價格較高)。顧客會喜歡購買提供現場可程 式記憶體的彈性及方便性又有遮罩R〇M之成本和 的產品。不幸的是現在還沒有此種裝置。 ‘ 遮罩ROM較現場可程式記憶體密度高且較便宜的原因有 —°首先’因為遮罩ROM不支援消除或再寫入功能,所以 其=邊電路不需包含任何專用電路或輸入/輸出端子做輸 入資料導引、做寫入計時、或做寫入控制。所以遮罩r〇m 的周邊電路可較現場可程式非揮發性記憶體者為小。這可 使遮罩ROM的鑄模尺寸相對於現場可程式非揮發性記憶體 的鑄模尺寸減少’從而讓更多個遮罩R〇M晶片存在一半導 體晶圓上,這就降低了成本。 其次,因為遮罩ROM僅在工廠内寫入,所以其記憶體單 4 4Page 6 '425561 V. Description of the Invention (2) ~-Read-Only Memory (EEPROM) or Fast and Flexible EEPROM (Flash EEPROM): Conversely, the contents of the ROM are masked. They are stored permanently during manufacture, so The ROM from the mask cannot be erased and is actually "factory-only" memory. The on-site programmable memory is more flexible than the mask R0J (because it allows the system product manufacturer to stock a single universal part for many purposes, and uses many different methods at the very end of the system manufacturing process. This part is specialized (programming the memory content). This flexibility makes it easier for system manufacturers to adapt to changes in demand between different system products and update or modify system products without generating pre-programmed existing The cost of disposing (discarding) the mask ROM stock. But this flexibility also has its cost: Programmable memory on the site, which has a lower progress than the mask ROM (the number of bits on each chip is less) and the cost High t has a higher price per bit). Customers will like to purchase products that provide the flexibility and convenience of on-site programmable memory and the cost and cost of mask ROM. Unfortunately, no such device is currently available. 'Mask ROM is denser and cheaper than on-site programmable memory due to-° First' because the mask ROM does not support the erase or rewrite function, its = side circuit does not need to include any dedicated circuits or input / output The terminal is used for input data guidance, writing timing, or writing control. Therefore, the peripheral circuit of the mask r0m can be smaller than the field programmable non-volatile memory. This can reduce the mold size of the mask ROM compared to the mold size of the field programmable non-volatile memory, thereby allowing more mask ROM chips to be stored on half the semiconductor wafer, which reduces costs. Secondly, because the mask ROM is only written in the factory, its memory is 4 4

五、發明說明(3) 兀:2讀取作業設計並最佳化,且一般而言單 70已含一單一電路元件(譬如一單一MOS電曰^二0規 場可程式非揮發性記恃俨的々陪艚置—發冤日日體)。但現 的電路疋件——般為一第二穿隧氧化物浮動閉入 二串程列式電單晶體—被增加到讀取所需之單-M〇S電晶體。 纪情艚-:沾:内的額外凡件佔用額外的矽晶範圍,使得 。己隱,早7L的範圍較遮罩R〇M記憶體單元的範圍大。故而 ,現場可程式非揮發性記憶體的密度較遮罩ROM之密度低 〇 、具有寫入/消除/再寫入能力的現場可程式記憶體還會造 成進一步的複雜度。它們容許做產品升級、現場再組態、 並讓諸如數位相片、固態碟片等新應用入主。但不幸的, 這些裝置一般苦於較一次寫入可程式記憶體的密度低而成 本1¾。 現在轉而討論使用於這些記憶體内的記憶體單元之設計 。大多數非揮發性記憶體單元已採用諸如M〇s場效電晶體 、接合電晶體、或接合二極體等建構在一平坦單晶半導體 基底上的半導體裝置。這種方法只能容許非常有限的垂直 整合到第三度空間(也就是垂直於基底平面),因為每個記 憶體單元包含一些建構在基底内的元件。 傳統的非揮發性記憶體單元係使用許多個界定單元特點 之幾何形狀的循序光餘刻技術步驟來製造。譬如,圖1中 所示以前技術之遮罩ROM單元的製造需要至少五個光蝕刻/V. Description of the invention (3): 2 The reading operation is designed and optimized, and in general, the single 70 already contains a single circuit element (for example, a single MOS circuit).俨 々 々 艚 艚 艚 — 发-send injustice to the Japanese body). However, the current circuit components—typically a second tunneling oxide floating-closed two-string series electric single crystal—are added to the single-MOS transistor required for reading. Ji Qingyu-: Zhan: The extra pieces inside occupy additional silicon crystal range, making. It has been hidden that the range of the early 7L is larger than the range of the mask ROM cell. Therefore, the density of the field programmable non-volatile memory is lower than the density of the mask ROM. The field programmable memory with write / erase / rewrite capability will cause further complexity. They allow product upgrades, on-site reconfiguration, and enable new applications such as digital photos, solid state disks, and more. Unfortunately, these devices generally suffer from lower density than write-once programmable memory. Turning now to the design of memory cells used in these memories. Most non-volatile memory cells have used semiconductor devices such as Mos field-effect transistors, junction transistors, or junction diodes constructed on a flat single crystal semiconductor substrate. This method can only tolerate very limited vertical integration into third-dimensional space (ie perpendicular to the plane of the base), because each memory cell contains some elements built into the base. Traditional non-volatile memory cells are manufactured using a number of sequential light epitaxial steps that define the characteristics of the cell. For example, the fabrication of the prior art mask ROM unit shown in Figure 1 requires at least five photoetching /

第8頁 425561 五、發明説明(4) 技術遮罩步驟。(a)氮化物-LOCOS製圖樣;(b)多晶矽閘製 圖樣;Cc)接觸點製圖樣;(d)金屬製圖樣;(6)用離子植 入程式化製圖樣。這些步驟循序進行,且要小心地將每個 後續層對齊已在記憶體電路上製好圖樣的前一層,以確保 每一層的幾何形體都印在其所要的空間位置上。譬如,在 圖1的單元10内’離子植入層在傳統上要對齊前已製好圖 樣的多晶矽層β 不幸的是’大量半導體製造所用的光蝕刻技術機器無法 完美地進行此類對齊工作。它們有“層對齊容許誤差”規 格’表示在將一新層對齊記憶體電路上前已存在層時會造 成的對齊誤差度。這種不對齊容許誤差迫使單元設計者使 用較大的形體尺寸,若對齊誤差達到可忽略的程度,就不 需要設計成這種較大尺寸了。- 設計成至少和 另舉一例來說 到LOCOS層上t 得增加和多晶 t 差擴大了,這 本。若能找到 新記憶體單元 的不對齊容許 較多光蝕刻技 譬如’若金屬層上的某一形體需要完全 的一形體’則此二形體間之幾何重疊須被 觸層與金屬層間不對齊容許誤差一樣大。 若多晶石夕閘層的某一形體需要避開不要碰 一形體’則這兩個形體間的幾何分隔至少 開層與LOCOS層間不對齊容許誤差一樣大, e己憶體單元的大小被這些不對齊容許誤 大了铸模尺寸、降低了密度、並提高了成 種新的只需要較少循序光蝕刻技術步驟的 構’則此單元將在其形體大小中包括較少 差’且該單元被做出來的尺寸將小於具有 -425561Page 8 425561 V. Description of the Invention (4) Technical masking steps. (A) nitride-LOCOS drawing; (b) polycrystalline silicon gate drawing; Cc) contact point drawing; (d) metal drawing; (6) stylized drawing with ion implantation. These steps are performed sequentially, and each subsequent layer must be carefully aligned with the previous layer that has been patterned on the memory circuit to ensure that the geometry of each layer is printed in its desired spatial position. For example, in cell 10 of FIG. 1, the 'ion implanted layer has traditionally been patterned before the polysilicon layer is patterned. Β Unfortunately, photolithography machines used in a large number of semiconductor manufacturing processes cannot perform such alignments perfectly. They have a "Layer Alignment Tolerance" specification, which indicates the degree of misalignment caused when a new layer is aligned with a previously existing layer on a memory circuit. This misalignment tolerance error forces the unit designer to use a larger body size. If the alignment error is negligible, it is not necessary to design such a larger size. -Designed at least and for another example, the increase in t on the LOCOS layer and the increase in the difference in polycrystalline t, this is the case. If the misalignment of the new memory unit can be found, more photo-etching techniques are allowed, such as' if a shape on the metal layer requires a complete shape, then the geometric overlap between the two shapes must be allowed by the misalignment between the touch layer and the metal layer. The error is as great. If a shape of the polycrystalline sluice layer needs to be avoided, do not touch one shape, then the geometrical separation between the two shapes is at least as large as the misalignment tolerance between the open layer and the LOCOS layer. Misalignment allows the mold size to be increased, the density reduced, and a new structure that requires fewer sequential photoetching steps to be performed. 'This unit will include less difference in its size' and the unit will be The size will be smaller than -425561

步麻的單元大小。 且若能找到一種在X或Y方向完全沒有對齊要求的新記憶 體單元結構(“自我對齊”單元),則在其形體尺寸中將不 需要包括任何對齊容許誤差。該種新型單元可被做成比類 似功能之非自我對齊記憶體單元為小。 圖1顯示一種使用於遮罩R〇M中的非常普遍的電路設計 它是,y種類如美國第4, 281,397號專利中所教導的‘‘虛擬 接地類㈣肘電路範例。它的諸如單元1〇的記憶體單元包 括一建構在平坦半導體基底内之單一 M〇s電晶體,且連接 至一多晶砍字組線(例如ffL1,ffL2)、一金屬位元線(例如 BL1,BL2)、及一虛擬接地線(例如,VG2)。該單元由 —諸如離子植入法遮罩程式化,這大幅增高該叩^電晶體 的臨限電壓。譬如,若經植入,_則該單元呈現一邏輯j ’ 而若未植入,則該單元呈現一邏輯〇。 圖2顯示一諸如美國第4, 203,丨58號專利所教導的現場可 程式非揮發性記憶體。它的記憶體單元丨2包含一字組線、 一程式化線、一浮動閘、一位元線、及一接地線。藉著把 適合電壓加諸位元線及程式化線上,此單元可支援寫入作 業、消除作業、及再寫入作業和讀取作業。 圖3顯示一諸如美國第4, 646, 266號專利所教導之可程式 邏輯陣列(PLA)半導體結構。它的基本單元1 4包括一對背$ 對背二極體、提供四種可能的狀態:雙方向均不導通 '雙 方向均導通、第一方向導通而第二方向不導通、及第二方 向導通而第一方向不導通。此結構不是建構在一平坦半導The size of the unit of step. And if a new memory cell structure ("self-aligned" cell) with no alignment requirements in the X or Y direction can be found, it will not need to include any alignment tolerances in its physical size. This new type of cell can be made smaller than similarly functioning non-self-aligned memory cells. Fig. 1 shows a very common circuit design used in a mask ROM. It is an example of a 'virtual ground type' elbow circuit as taught in U.S. Patent No. 4,281,397. Its memory cell, such as cell 10, includes a single MOS transistor built in a flat semiconductor substrate, and is connected to a polycrystalline line (such as ffL1, ffL2), a metal bit line (such as BL1, BL2), and a virtual ground line (eg, VG2). The unit is stylized by, for example, an ion implantation mask, which greatly increases the threshold voltage of the transistor. For example, if implanted, the unit presents a logical j ', and if not, the unit presents a logical 0. Figure 2 shows a field programmable non-volatile memory such as taught by U.S. Patent No. 4,203,58. Its memory unit 2 includes a block line, a stylized line, a floating gate, a bit line, and a ground line. By applying a suitable voltage to the bit line and the stylized line, the unit can support writing, erasing, and rewriting and reading operations. Figure 3 shows a programmable logic array (PLA) semiconductor structure such as taught by U.S. Patent No. 4,646,266. Its basic unit 14 includes a pair of back-to-back diodes, providing four possible states: none in both directions, both directions are in conduction, the first direction is in conduction and the second direction is not in conduction, and the second direction It is turned on and the first direction is turned off. This structure is not constructed on a flat semiconductor

f A? 5^61 五、發明說明(6) 體基底上,且其不垂直彼此堆疊許多層的PLA以形成一三 維結構。 另一種以前技術的遮罩ROM電路受美國第5, 441,907號專 利教導。它的記憶體單元包括一X導體、一Y導體、及可能 一二極體。該單元由一遮罩程式化,該遮罩容許(或阻止) 在X導體與Y導體的交叉點上形成一“插入”二極體。譬如 ,若該二極體存在,則該單元呈現一邏輯1,若該二極體 不存在,則該單元呈現一邏輯〇。 美國第5, 536, 968號專利中教導一種使用一保險絲和一 二極體的現場可程式非揮發性記憶體單元。若該保險絲未 被爆開(導通),則該二極體連接於該X導體與該Y導體之間 ,而該單元呈現一邏輯0。若該保險絲被爆開(不導通), 則該X導體與該Y導體間沒有二極-體連接,而該單元呈現一 邏輯1。 美國第4, 442, 507號專利中教導一種使用一肖特基 (Schottky)二極體和一反保險絲(antifuse)的現場可程式 非揮發性記憶體單元。它的記憶體單元包括一由多晶半導 體材料製成的X導體、一肖特基二極體、一本質或輕微摻 雜的半導體形成之反保險絲、及一由金屬製成的Y導體。 該本質或輕微摻雜的半導體之反/呆險絲具有非常高的電阻 ,且此對應為一儲存在記憶體單/元内的邏輯〇。但若有一 適當的高電壓加於該單元兩端,則該反保險絲切換為一非 常低電阻,此對應為一儲存在單元内的邏輯1 » 發明概要f A? 5 ^ 61 5. Description of the invention (6) PLA on a bulk substrate, which does not stack many layers perpendicular to each other to form a three-dimensional structure. Another prior art mask ROM circuit is taught by U.S. Patent No. 5,441,907. Its memory unit includes an X conductor, a Y conductor, and possibly a diode. The unit is stylized by a mask that allows (or prevents) the formation of an "inserted" diode at the intersection of the X conductor and the Y conductor. For example, if the diode exists, the unit presents a logic 1; if the diode does not exist, the unit presents a logic 0. U.S. Patent No. 5,536,968 teaches a field programmable non-volatile memory unit using a fuse and a diode. If the fuse is not blown (conducted), the diode is connected between the X conductor and the Y conductor, and the unit presents a logic zero. If the fuse is blown open (not conducting), there is no diode-body connection between the X conductor and the Y conductor, and the unit presents a logic one. U.S. Patent No. 4,442,507 teaches a field programmable non-volatile memory unit using a Schottky diode and an antifuse. Its memory unit includes an X conductor made of polycrystalline semiconductor material, a Schottky diode, an inverse fuse formed of an intrinsic or slightly doped semiconductor, and a Y conductor made of metal. The intrinsic or lightly doped semiconductor inverse / dead wire has a very high resistance, and this corresponds to a logic 0 stored in a memory cell / cell. But if an appropriate high voltage is applied across the unit, the anti-fuse is switched to a very low resistance, which corresponds to a logic 1 stored in the unit »Summary of the invention

第11頁 ^ 425561 j 五、發明說明(7) 本發明接橥一種記憶體單元’該種記憶體單元包括一用 來增強一方向之電流的導引元件及一狀態改變元件。該狀 態改變元件保留一被程式化的狀態並與該導引元件串聯。 一種使用這些單元的陣列被垂直製造成多層單元^自我 對齊方法用最少的遮罩步驟容許非常高的密度。該陣列可 製造於一矽基底上,解碼器與輪入/輸出電路 底内或在基底上方的薄膜電晶體内。 土 圖式簡述 圖1是一以前技術遮罩ROM的電路圖。 圖2是一以前技術現場可程式記憶體的電路 圖3是一以前技術PLA的電路圖。 圖4(a)是根據本發明建構之記憶體單元 的透視圖。 早疋之一種具體實例 圖4(b)是使用圖4(a)之單元的陣列之示意圖。 圖5是使用圖4(a)之單元的陣列之橫戴面正視圖 圖6U)是用以製造圖4(a)之單元的不同具 例 的三個橫載面圖》 只m臶層 導體層和層堆疊之透 圖6(b)是用以製造圖4(a)之單元的 視圖。 圖6(c)顯示圖6(b)製圖樣之後的結構 圖6(d)顯示圖6(c)形成一額外導體層及層堆疊之後的結 構。 .且、 圖6(e)顯示圖6(d)製圖樣之後的結構。 圖6(f)顯示圖6(e)形成一額外導體層及層堆疊之後的結Page 11 ^ 425561 j V. Description of the invention (7) The present invention is connected to a memory unit. The memory unit includes a guiding element for enhancing current in one direction and a state changing element. The state changing element retains a programmed state and is in series with the guide element. An array using these cells is fabricated vertically into multilayer cells. The self-alignment method allows very high densities with minimal masking steps. The array can be fabricated on a silicon substrate, inside the decoder and wheel-in / out circuits, or in a thin-film transistor above the substrate. Brief Description of the Drawings Figure 1 is a circuit diagram of a prior art mask ROM. Figure 2 is a circuit diagram of a prior art field programmable memory. Figure 3 is a circuit diagram of a prior art PLA. Figure 4 (a) is a perspective view of a memory cell constructed in accordance with the present invention. A specific example of the early stage Fig. 4 (b) is a schematic diagram of an array using the cell of Fig. 4 (a). Fig. 5 is a front view of the cross-section of the array using the cell of Fig. 4 (a). Fig. 6U) Three cross-sectional views of different examples used to make the cell of Fig. 4 (a). Layer and Layer Stack Penetration Figure 6 (b) is a view used to make the unit of Figure 4 (a). Fig. 6 (c) shows the structure after drawing in Fig. 6 (b). Fig. 6 (d) shows the structure after forming an extra conductor layer and layer stacking in Fig. 6 (c). 6 (e) shows the structure after drawing in FIG. 6 (d). Fig. 6 (f) shows the junction of Fig. 6 (e) after forming an additional conductor layer and layer stack

42556 142556 1

ο 圖6 (Bg)顯示圖6(f)另一製圖樣步驟之後的結構β 圖7疋一使用圖4( a)之單元的陣列之橫截面正提園 中諸單元在垂直 早70 疋圖,其 $且万向彼此交錯。 圖8(a)是諸垂直堆疊單元的透視圖 /崎早7L的?卜— 圖9(a)疋一基底的平面 該圖顯示基底内電 佈局。 的 ''種 圖9(b)是一基底的平面 該圖顯示基底内 種佈局。 免塔的另〜ο Figure 6 (Bg) shows the structure after another drawing step of Figure 6 (f) β Figure 7—The cross section of the array using the unit of Figure 4 (a) is vertical as early as 70 疋, Whose $ and universal cross each other. Figure 8 (a) is a perspective view of vertical stacking units Fig. 9 (a) Plane of a substrate This figure shows the electrical layout inside the substrate. Fig. 9 (b) is the plane of a substrate. This figure shows the layout of the substrate in the substrate. Another tower-free ~

圖8(b)是圖8(a)諸單元的承意圖 9 ( a ) Τζ.—基麻.SI 明中之 實例的Fig. 8 (b) is an example of the units in Fig. 8 (a). 9 (a) Τζ.— 基 麻 .SI

圖9(c)是一基底的平面圖,該圖顯示使用於本 一種基底内電路的佈局。 圖9 (d)疋本發明的一種使用許多個次陣列之具體 電路之平面圖。 圖10(a)疋連接到—陣列之周邊電路的一種電路圖。 圖10(b)是連接到—陣列之周邊電路的另一種電路圖。 圖11是使用於本發明之一種較佳具體實例令的連接到一 陣列之周邊電路的一種電路圖。 圖1 2是一陣列的橫戴面正視圖,該圖顯示三層記憶體陣 列之間的接觸點。 圖13(a)顯示層3間之接觸點。 圖13(b)顯示連接層1,層2與層4之接觸點。 圖13(c)顯示層1,層3與層5間之接觸點。 圖1 3 (d)顯示層1直到層5間之接觸點。Fig. 9 (c) is a plan view of a substrate showing the layout of circuits used in this substrate. Fig. 9 (d) is a plan view of a specific circuit using a plurality of sub-arrays of the present invention. Figure 10 (a) 疋 A circuit diagram of the peripheral circuits connected to the array. Figure 10 (b) is another circuit diagram of the peripheral circuits connected to the array. Fig. 11 is a circuit diagram of a peripheral circuit connected to an array used in a preferred embodiment of the present invention. Figure 12 is a cross-sectional front view of an array showing the contact points between three layers of memory arrays. Fig. 13 (a) shows the contact points between the layers 3. FIG. 13 (b) shows the contact points of the connection layer 1, layer 2 and layer 4. Figure 13 (c) shows the contact points between layer 1, layer 3 and layer 5. Figure 13 (d) shows the contact points between layer 1 and layer 5.

第13頁 42556 1 五、發明,贫明(9) ' il 3(e)顯示層1與層3間之接觸點。 發明詳沭 本發明接橥一種現場可程式非揮發性記憶體單元和記憶 體陣列。在下文描述中敘述許多種特定的細節以便提供: 發明的透徹了解。但對精於本技術領域者而言很清楚的是 本發明可在沒有這些特定細節的情況下施做。同時,下文 中將不詳細描述眾所熟知的電路和處理程序,以免混淆本 發明的本體。 本發明 坦基底上 堆疊成許 該層上方 垂直堆疊 本文亦 體陣列方 圖 4 (a) 有兩個外 在該二端 及一狀態 件22、或 導引元 ,它在一 22的目的 之現場 方而非 多層以 的一層 非常簡 將描述 式配置 顯示本 顯的端 子之間 改變元 狀態改 件22是 個方向 是確保 可程式非揮發性記憶 在基底之内。所以此 形成一三維陣列。每 和其下方的一層-相互 單。 一種特殊組織,其中 在基底上方,而週邊 新發明之記憶體單元 子:一個輪入端子2〇 ’該§己憶體單元包括 件23。輸入端子2〇、 變元件23均非建構在 一具有強烈非對稱電 的導通容易度較另一 流過記憶體單元的電 體單元是建構在一平 種記憶體單元可垂直 一層記憶體單元僅與 作用,這使得諸層的 這些單元以 電路建構在 的一種具體 和一個輸出 串聯的一導 輸出端子21 平坦半導體 流對電壓特 方向尚。該 流大體上是 三維記憶 基底内。 實例。它 端子21 ^ 引元件22 、導引元 基底内。 性之裝置 導引元件 單方向的Page 13 42556 1 V. Invention, Poverty (9) 'il 3 (e) shows the contact point between layer 1 and layer 3. Detailed Description of the Invention The present invention provides a field programmable non-volatile memory unit and a memory array. Many specific details are set forth in the following description in order to provide: a thorough understanding of the invention. But it is clear to those skilled in the art that the present invention can be carried out without these specific details. Meanwhile, well-known circuits and processing procedures will not be described in detail hereinafter, so as not to confuse the essence of the present invention. The present invention is stacked on the substrate to allow the layer to be stacked vertically above the body. Figure 4 (a) There are two external ends and a state piece 22, or a guide element, which is at the site of the purpose of 22 One layer instead of multiple layers is very simple. The descriptive configuration shows the change in the state between the terminals of the display. The change 22 is a direction to ensure that the programmable non-volatile memory is stored in the substrate. So this forms a three-dimensional array. Each and one layer below it-each other is single. A special organization in which the memory unit is newly invented above the base and in the periphery: a turn-in terminal 20 ′ The §memory unit includes element 23. The input terminal 20 and the variable element 23 are not constructed with a strong asymmetric electric conduction easier than another electrical unit that flows through the memory unit. It is constructed in a flat memory unit, which can be vertical to the memory unit. This makes these units of the layers constructed in a circuit with a specific output terminal 21 connected in series with an output, and the flat semiconductor current-to-voltage direction is still high. The stream is generally within the three-dimensional memory base. Instance. It has a terminal 21, a guide element 22, and a guide element inside the substrate. Sexual device guide element unidirectional

第14頁 五、發明說明(1G) 。此單方向行為使記憶體解碼器能建立一獨特的電路路徑 到各獨立的記憶體單元,讓各記憶體單元可被獨立地存取 (為了讀取和寫入)而與所有其他單元的狀態無關。 狀態改變元件23是一種可被置於一個以上狀態且其狀態 在電源移除之後仍不會消失或被改變的裝置《下文中討論 的許多可能實施例之一是一種具有{高阻抗}與{低阻抗}狀 態之介電質破壞反保險絲(dielectric-rupture ant if use )。這兩種儲存的狀態成就了一位元記憶體的編 碼。 如圖4(a)中所示,導引元件22與狀態改變元件23以大致 y 呈矩形橫截面的“柱狀體”形狀結構垂直堆疊。該柱狀體 是垂直的,電流方向也是垂直的。隨著單方向導引元件22 的方向而定,電流可朝上或朝I流動。實際上在一種具體 實例中’電流在一垂直堆疊的單元的某些層中朝上流動, 而在其他諸層中朝下流動。 狀態改變元件23被選擇成使其可用電氣方法從其初始狀 態切換到另一狀態’藉此使記憶體可做現場程式化。譬如 ’介電質破壞反保險絲可藉著將一非常大的電壓(相對於 讀取作業所用之電壓)加諸記憶體單元的輸入端子和輸出 端子之間而以電氣方法改變其狀態。 本發明之記憶體單元能夠在Χ(東到西)方向與Υ(北到南) 方向均完全自我對齊地製造。此表示該柱狀體被一輸入導 體與一輸出導體的交叉部分界定且由其自動形成β所以該 單7G可以做成很小,因為其形體尺寸不需要包括經常為不Page 14 5. Description of the invention (1G). This unidirectional behavior enables the memory decoder to establish a unique circuit path to each independent memory unit, so that each memory unit can be accessed independently (for reading and writing) and the state of all other units Nothing. The state changing element 23 is a device that can be placed in more than one state and its state does not disappear or be changed even after the power is removed. One of the many possible embodiments discussed below is one with {HIGH IMPEDANCE} and { Low-impedance} -dielectric-rupture ant if use. These two stored states enable encoding of a single memory. As shown in FIG. 4 (a), the guide element 22 and the state changing element 23 are vertically stacked in a "columnar body" shape structure having a rectangular cross section of approximately y. The columnar body is vertical, and the current direction is also vertical. Depending on the direction of the unidirectional guide element 22, the current may flow upward or toward I. In fact, in one embodiment, the 'current flows upward in some layers of a vertically stacked cell and downwards in other layers. The state changing element 23 is selected so that it can be electrically switched from its initial state to another state ', thereby making the memory field programmable. For example, a dielectric breakdown anti-fuse can change its state electrically by applying a very large voltage (relative to the voltage used in a reading operation) between the input terminal and the output terminal of the memory unit. The memory unit of the present invention can be completely self-aligned in both the X (east to west) direction and the Υ (north to south) direction. This means that the columnar body is defined by the intersection of an input conductor and an output conductor and is automatically formed by β, so the single 7G can be made very small, because its size need not include

第15頁 425561 五、發明說明(11) 對齊容許誤差而設的寬放。 此外,為建構琢4 (a)之單开如:泰& , 數目很小。對上與4(b)中;;=革步驟 遮罩步驟:-個步㈣來製造底部;:與匕材^ 第三個步驟用來提供陣列外材料的圖樣, 。此種製作圖樣方法結果造成垂/電氣連接 變自我對齊於上部與底部導體。若與 的接觸,點。下層單元的頂部導體以工 =:?。一般而言,若陣列包含(n)層單元,則 在忒卓兀陣列本身的製造過程中有(NH)個導體層和(n+i ) 個光蝕刻技術遮罩步驟。也有數個額外的光蝕刻技術遮罩 步驟以形成接觸點。這些接觸點在陣列外部,形成陣列導 體層與周邊電路間的連接。 S己憶體單元也可使用另一種具體實例來製造;上述自我 對齊柱狀體之形成法可被一種包含使用柱狀體之形成的光 蚀刻技術遮罩的形成法取代。此將消除柱狀體之自我對齊 於導體,但製造程序中有利的地方是可能利用到無侧壁之 物理特性。這些程序包括使用非晶矽之固態結晶化、非晶 矽或多晶矽的雷射結晶化、和精於此技術領域者明顯知悉 的其他處理程序以形成導引元件。上述自我對齊製造程序 和非自我對齊製造程序中,上層導體的接觸點藉由絕緣體Page 15 425561 V. Description of the invention (11) Relaxation for alignment tolerance. In addition, for the construction of Zhuo 4 (a), such as: Thai &, the number is small. Opposite to 4 (b); == leather step mask step:-a step to make the bottom; and dagger ^ The third step is to provide a pattern of material outside the array,. This patterning method results in the vertical / electrical connection becoming self-aligned to the upper and lower conductors. If in contact with, click. The top conductor of the lower unit is equal to:?. Generally speaking, if the array includes (n) layer units, there are (NH) conductor layers and (n + i) photo-etching technology masking steps in the fabrication process of the rugged array itself. There are also several additional photolithographic masking steps to form the contact points. These contact points are outside the array, forming a connection between the array conductor layer and peripheral circuits. The memory unit can also be manufactured using another specific example; the method of forming the self-aligned columnar body described above can be replaced by a method of forming a mask including photolithography using the formation of the columnar body. This will eliminate the self-alignment of the columnar body to the conductor, but the advantage of the manufacturing process is that it is possible to take advantage of the physical characteristics without the side walls. These procedures include solid state crystallization using amorphous silicon, laser crystallization of amorphous silicon or polycrystalline silicon, and other processing procedures apparent to those skilled in the art to form the guide element. In the above self-aligned manufacturing process and non-self-aligned manufacturing process, the contact point of the upper conductor

第16頁 ^-42556 1 五、發明說明(12) 的磨平而暴露,不需光钱刻技術遮罩步驟。精於此技術領 域者明顯知悉此程序可用一接觸點形成光蝕刻技術遮罩步 驟取代。 假設圖5中的第一導體25方向為東向西。則第二導體26 的方向為北向南(正交方向)’且記憶體單元柱狀體27形成 於第一導體的垂直投影與第二導體交錯的地方。第三導艘 29方向為東向西’且記憶體單元柱狀體3〇免成於第三導體 29與第二導艘26交錯的地方。類似地,第四、第六、第八 、第十、…等導趙為南北方向,而第五、第七、第九Λ第 十一、…等導體為東西方向。奇數編號的導體朝向一個方 向’偶數編號的導體朝向垂直的方向。如此,編號j的導 體形成向下的柱狀體(以連線到編號j_l的層),且其形成 向上的柱狀體(以連線到編號J + 1的層)。 。因為記憶體單元不需接觸單晶半導體基底,所以記憶體 單元陣列下方的基底可用於界定記憶體單元以外的用途。 在本發明的一種具體實例中,此區域可有利地被用來佈置 歹J解碼器、行解碼器、輸入/輸出多工器、和讀取/寫入電 路的很大部分直接在記憶體單元陣列的下方。這有助於使 非》己憶體單元專用的鑄模表面區域的比率極小化,也增加 了所謂“陣列效率,,好處的程度: Ρ車列效率=(記憶體單元專用總區域)/[(記憶體單元 由專用總區域)·κ非記憶體單元專用總區域)] ^ 式*可各1 ’(非記憶體單元專用總區域)的減少造成陣列 效率的提昇。Page 16 ^ -42556 1 V. The description of the invention (12) is smoothed and exposed, without the need for a masking step. Those skilled in the art are well aware that this procedure can be replaced with a contact point photolithography mask step. It is assumed that the direction of the first conductor 25 in FIG. 5 is east to west. Then, the direction of the second conductor 26 is north to south (orthogonal direction) 'and the memory cell columnar body 27 is formed where the vertical projection of the first conductor intersects the second conductor. The direction of the third guide boat 29 is east to west 'and the memory unit columnar body 30 is prevented from being intersected by the third conductor 29 and the second guide boat 26. Similarly, the fourth, sixth, eighth, tenth, ... and other conductors are oriented in the north-south direction, while the fifth, seventh, and ninth-eleventh, ... conductors are oriented in the east-west direction. Odd numbered conductors are oriented in one direction 'Even numbered conductors are oriented in a vertical direction. In this way, the conductor numbered j forms a downward column (to be connected to the layer numbered j_1), and it forms an upward columnar (to be connected to the layer numbered J + 1). . Because the memory cell does not need to contact a single crystal semiconductor substrate, the substrate below the memory cell array can be used to define uses other than the memory cell. In a specific example of the present invention, this area can be advantageously used to arrange a large portion of the J decoder, line decoder, input / output multiplexer, and read / write circuit directly in the memory unit. Below the array. This helps to minimize the ratio of the surface area of the mold dedicated to the non-memory body unit, and also increases the so-called "array efficiency. The degree of benefits: P car train efficiency = (total area dedicated to memory unit) / [( Memory unit consists of dedicated total area) · κ non-memory unit dedicated total area)] ^ The formula * may decrease by 1 '(non-memory unit dedicated total area) each resulting in an increase in array efficiency.

第17頁 42556 1 五、發明說明(13) 記憶趙單元 顯元的具體實例中有兩個外 出ΐ 輸入端子m亦稱為字組線幫叫⑽)和輸 “隱含的,,或“磨这八直的”#此外,單兀亦可包括 子的-且是同時為大群翠元所共有者。隱含端 寄生電☆ \㈣基底,其對每—記憶體單元形成一 :意這些隱含端子可能影響記憶體單元的功能 與效用匕〇所以本發明之印,障微留— 矣千早几稱為“雙端子結構”’ ==外顯的局部端子’可能會有額外之隱含的而非 件= = = j τ哪 社杲些具體實例中,導引开 件=接至輸入端子(且狀態改變元件連接至輸導弓儿 而在其他的具體實例H元件可相反連接:狀^ 元Π連ί至輸入端子且導引元件連接至輸出端子: 凡彳是一種具有強烈非對稱電流對電壓特性曲線# 半導體元件’其在一方向較另一方向更 特== 的某些可能實施方法為⑴非晶質、微晶質、多晶質丨或件 早晶質+導體(例如Sl,Ge,SiGe,GaAs,〖:接 合二極體;⑺金屬半導體肖特基二極體;()τ接 至源極或連接至及極之金氧半導體場效電晶體;(5)齊= 425561,Page 17 42556 1 V. Description of the invention (13) In the specific example of the memory of Zhao Unit, there are two outings (the input terminal m is also called the block line gang ⑽) and the input "implicit, or" grinding This eight straight "# In addition, the unit may also include the sub- and is also common to a large group of Cuiyuan. Implicit end parasitic electricity ☆ \ ㈣ basis, which forms one for each-memory unit: meaning these hidden The inclusion of terminals may affect the function and utility of the memory unit. Therefore, the seal of the present invention is difficult to retain — 矣 earlier called "two-terminal structure" == exposed local terminals may have additional implicit Instead of pieces = = = j τ In some specific examples, the guide opening = is connected to the input terminal (and the state change element is connected to the conduction bow, and in other specific examples, the H element can be connected in the opposite direction: shape ^ The element is connected to the input terminal and the guiding element is connected to the output terminal: Where is a possible implementation with a strong asymmetric current-to-voltage characteristic curve #Semiconductor element 'which is more specific in one direction than the other == The method is ⑴amorphous, microcrystalline, polycrystalline 丨 or Pieces of early crystalline + conductor (such as Sl, Ge, SiGe, GaAs, [: junction diode; ⑺ metal semiconductor Schottky diode; () τ connected to the source or to the metal-oxide semiconductor field Effect transistor; (5) Qi = 425561,

五、發明說明(Η) (Zener)二極體、突崩二極體、或穿隧二極體;(6)四層二 極體(SCR) ;(7)非晶質、凝晶質、多晶質、或單晶質半 導趙的P-I-N二極體,和精於此技術領域者明顯熟知的其 他裝置。 在本文中為了敘述方便起見 “陽極”與“陰極”,其安排 極較從陰極流往陽極容易。這 準用詞一致:ΡΝ接合二極體内 當然本發明並不侷限於使用ΡΝ C如以前章節所討論者);採用 為了方便和熟悉的原因《此外 大於其陰極上的電壓,則該導 當陰極電壓超過陽極電壓時, 壞”。這些名詞也因為方便和 體用語。 導向元件可以兩種不同方 輪入端子而陰極面向輸出端 陽極面向輸出端子。藉著記 的適當設計,每—種方向均 好哪一種方向較佳。 導引元件 係使傳統電 些標示與ΡΝ 傳統電流從 接合二極體 與二極體相 ,若導引元 引元件被“ 則-稱該導引 熟悉的原因 的兩私 流從陽 接合二 陽極流 做為其 同之端 件陽極 正向偏 元件被 而借自 將錡, 極流往陰 極體的標 往陰極。 導引元件 子標7F僅 上的電壓 壓”。但 “反向偏 標準二極V. Description of the invention (i) (Zener) diode, burst diode, or tunneling diode; (6) four-layer diode (SCR); (7) amorphous, condensed, Polycrystalline, or single-crystalline semiconductor PIN diodes, and other devices that are well known to those skilled in the art. For the convenience of description in this article, the arrangement of "anode" and "cathode" is extremely easier than flowing from the cathode to the anode. This quasi-word is consistent: PN is connected to the body of the diode. Of course, the present invention is not limited to the use of PN C as discussed in the previous section); for reasons of convenience and familiarity, "more than the voltage on its cathode, the conduction When the voltage exceeds the anode voltage, it is broken. "These terms are also for convenience and body language. The guide element can be two different square wheels into the terminal while the cathode faces the output terminal and the anode faces the output terminal. With proper design in mind, each direction has Which direction is better. The guide element is to make the traditional electrical marking and the PN traditional current from the junction diode and the diode phase. The private current flows from the anode to the anode, and the anode forward bias element is borrowed from the anode. The anode flows to the cathode of the cathode body. Guiding element sub-standard 7F only voltage ". But" reverse bias standard dipole

式做方向配置陽極面向 子,(2)陰極面向輸入端子而 憶體解碼器與讀取/寫入電路 可正確的運作’且沒有特別偏 +狀態改變元件是資料實際儲存在 匕是一種可被置於一個以上狀態的 狀態在電源移除時不會消失或更動 根據本發明可採用為狀態改變元 記憶體單元内的地方。 裝置,且被選擇成使其 〇 件之狀態型式的一些範The orientation is configured with the anode facing the child, (2) the cathode facing the input terminal and the memory decoder and the read / write circuit can operate correctly ', and there is no particular bias + the state change element is the data actually stored in the dagger is a The state placed in more than one state does not disappear or change when the power is removed. According to the present invention, a place in the meta-memory unit may be adopted as the state change. Device, and selected to be some models of the status pattern of 〇 pieces

425561425561

例有(1)(高阻抗狀態)與(低阻抗狀態);(2)(在電壓Vi 有峰值電容的狀態)與(在電壓γ2下有峰值電容的狀離), (3)(霍耳效應電壓為正的狀態)與(霍耳效應電壓為^的狀 態);(4)(偏極向量指向上方的狀態)與(偏極向量指向 方的狀態)和其他的狀態型式。 狀態改變元j牛的一些可能實施方法包括—但不侷限於— (a)介電質破壞反保險絲;(b)本質或輕微摻雜的多晶半導 體反保險絲,(c)非晶半導體反保險絲;金屬白熱絲電 子移動保險絲,可為可逆轉(美國第3, 71 7, 852號專利')或 不可逆轉型式者;(e)多晶矽電阻保險絲,可為可逆轉(美 國第4’ 420, 766號專利)或不可逆轉型式者;(f)強介電值' 電容器,(g)具有陷波引發之磁滯的電容器;(}1)庫倫阻斷 裝置;和其他的可能實施例。- 在積體電路製造期間,記憶體單元的狀態改變元件被製 造,並置入其可能諸狀態中的某一狀態,此稱為“初始狀 態。譬如,若狀態改變元件是具有(破壞的介電質)與 (原狀的介電質)等二狀態之介電質破壞反保險絲,則此元 件的初始狀態在製造之後與程式化之前是(原狀)。狀態改 變元件的其他具體實例會有不同的狀態組’並從而會有不 同的初始狀態。傳統上,此初始狀態—“邏輯狀態— 表示在半導體製造期間儲存在記憶體單元内的初始值。但 當然在其他的場合下,也同樣可以要求初始狀態為“邏輯 1 ’’ ’且該選擇僅是偏好或方便的問題而非技術的必要。 記憶體單元藉著讓狀態改變元件從其初始狀態轉移到Examples are (1) (high-impedance state) and (low-impedance state); (2) (state with peak capacitance at voltage Vi) and (peak capacitance under voltage γ2), (3) (Hall (The state where the effect voltage is positive) and (the state where the Hall effect voltage is ^); (4) (the state where the pole vector is pointing upwards) and (the state where the pole vector is pointing upwards) and other state types. Some possible implementation methods of state change elements include-but are not limited to-(a) dielectric breakdown anti-fuse; (b) intrinsically or slightly doped polycrystalline semiconductor anti-fuse, (c) amorphous semiconductor anti-fuse ; Metal incandescent electronic mobile fuse, which can be reversible (U.S. Patent No. 3, 71 7, 852 ') or irreversible transformation; (e) Polycrystalline silicon resistance fuse, which can be reversible (U.S. 4' 420, 766 No. patent) or irreversible transformation; (f) a capacitor with a strong dielectric value, (g) a capacitor with hysteresis caused by notches; (} 1) a Coulomb blocking device; and other possible embodiments. -During the manufacture of integrated circuits, a state change element of a memory cell is manufactured and placed in one of its possible states. This is called the "initial state. For example, if the state change element has (Electrical quality) and (original dielectric) The two-state dielectric destroys the anti-fuse, then the initial state of this component after manufacturing and before stylization is (original). Other specific examples of state changing components will be different The set of states' and thus will have different initial states. Traditionally, this initial state-"logical state"-represents the initial value stored in the memory cell during semiconductor manufacturing. But of course, in other cases, it is also possible to require that the initial state is "logic 1" and that the choice is only a matter of preference or convenience rather than the necessity of technology. The memory unit allows the state changing element to return from its initial state. move to

Λ2556 ^ 五、發明說明(16) 新狀態而程式化。狀態改變元件之許多種具趙實例可藉把 —適當的大電壓從輸入端子到輸出端子加諸記憶體單元的 兩端而改變狀態。譬如若狀態改變元件被實施成一介電質 破壞反保險絲,則其藉把一大電壓加諸單元端子的兩端 C或強迫一大電流通過單元)而程式化,其極性的選擇是使 導引元件被正向偏壓。這樣會把一大電場直接加諸介電質 反保險絲的兩端,破壞介電質,從而改變狀態改變元 狀態。 將 記憶 大正 端子 子電 方向 ,則 間維 一大 他電 狀態 狀 流過 來造 電阻 將其 w 介電質破壞狀態改變元件程式化的一種可能方法是將 體單元的輸出端子接地並同時將其輸入端子提昇至一 電壓(假設導引元件的方向被安排成其陽極面向輪入 且其陰極面向輸出端子,也就是說導引元件在 壓高於輸出端子電壓時被正_向偏壓)。若導引元^端 ^另一方向一陽極面向輸出端子且陰極面向輪入、 没什者只要反轉程式化電壓並使導引元件在子 = 將輸人端子㈣並同時提昇輸 •。精於本技術領域者可很輕易地明至 壓裝置可用來正向偏壓導弓丨元件並程式化介電:多其 改變元件。 狂八化;丨電質破壞 態改變元件的其⑽具體實例可 記憶體單元而不藉將-大電壓加諸記伊電淹 子ϋ可藉連接—電流源至其輸入端子: 輸出Η㈣(假設此極性會正向偏料引=時 卞)而程Λ2556 ^ V. Description of the invention (16) Stylized in a new state. Many examples of state changing elements can be used to change the state by applying a suitable large voltage from the input terminal to the output terminal to both ends of the memory cell. For example, if the state-changing element is implemented as a dielectric-damaged anti-fuse, it is programmed by applying a large voltage to the terminals C of the unit terminal or forcing a large current through the unit), and its polarity is selected to guide the The element is forward biased. This will directly apply a large electric field to the two ends of the dielectric anti-fuse, destroying the dielectric, thereby changing the state and changing the element state. If the electrical direction of the positive terminal is memorized, a large electrical state will flow through to create a resistor. A possible method to program the dielectric breakdown state change element is to ground the output terminal of the body unit and input it at the same time. The terminal is boosted to a voltage (assuming that the direction of the guiding element is arranged such that its anode faces the wheel in and its cathode faces the output terminal, that is, the guiding element is biased positively when the voltage is higher than the output terminal voltage). If the guide element ^ end ^ in the other direction, an anode is facing the output terminal and the cathode is facing the turn-in, nothing else is just to invert the stylized voltage and make the guide element be at the input terminal ㈣ and at the same time boost the output. Those skilled in the art can easily understand that the pressure device can be used to forward bias the guide bow element and program the dielectric: change the element.八八 化 ; 丨 Other specific examples of the electrical damage state change element can be stored in the memory unit without adding-a large voltage to the electrical submersible ϋ can be connected by a current source to its input terminal: output Η㈣ (assuming This polarity will be positively biased = time 卞) and the process

第21頁 保除絲L 態改變元件被實施成一“ 425561 五、發明說明(17) 式化。如果電流量夠大,則其改變多晶矽電阻保險絲的電 阻’從而改變狀態改變元件的狀態並程式化該單元。 在程式化期間’可能會有未被選擇的記憶體單元被全程 式化電壓逆向偏壓。若導引元件的逆向漏電流超過狀態改 變元件之狀態改變所需之程式化電流,則未被選擇的記憶 體單元可能會發生意外寫入^所以導引元件和狀態改變元 件的特性應彼此匹配;需要大電流做程式化的狀態改變元 件(譬如本質多晶保險絲)可和相當高漏電流的導引元件併 用’以非常低電流做程式化的狀態改變元件(譬如介電質 破壞反保險絲)需要低漏電流導引元件。 本發明之記憶體單元可根據選用的狀態改變元件而被實 施成只可程式化一次的非揮發性記憶體或寫入/消除/再寫 入非揮發性記憶體。在第一個範例中,若採用薄的高電阻 性多晶矽薄膜反保險絲做狀態改變元件(如美國第 4, 146, 902號專利所教導者),則其程式化作業不可逆轉 且該單元為可程式化一次者。在製造之後與程式化之前, 所有單元均包含“邏輯0 ” 。所需内容為“邏輯1,,的那些 單元藉著強迫狀態改變元件成為一新狀〆態而不可逆轉地被 程式化°邏輯0可(藉程式化)變為邏輯1,但邏輯1不可變 為邏輯0(因為在此種狀態改變元件中的程式化不可逆轉) 在第二種範例中,若採用金屬經由絕緣體矽白熱絲保險 絲(metal-via-insulator-silicon niament fuse)做為 狀態改變元件(如美國第3, 7 1 7, 852號專利所教導者),則On page 21, the wire-removing L-state changing element is implemented as a "425561 V. Description of Invention (17). If the amount of current is large enough, it changes the resistance of the polycrystalline silicon resistance fuse ', thereby changing the state of the state changing element and programming. This unit. During programming, there may be unselected memory cells that are reverse biased by the fully programmed voltage. If the reverse leakage current of the guiding element exceeds the programmed current required for the state change of the state changing element, then Unselected memory cells may be accidentally written ^ So the characteristics of the guiding element and the state changing element should match each other; a state changing element (such as an intrinsic polycrystalline fuse) that requires a large current can be quite high leakage A current-guiding element is used to program a state-changing element with a very low current (such as a dielectric breakdown anti-fuse). A low-leakage current-guiding element is required. The memory unit of the present invention can be changed according to the selected state of the element. Implemented as non-volatile memory that can be programmed only once or write / erase / rewrite non-volatile memory. In an example, if a thin high-resistance polycrystalline silicon thin film anti-fuse is used as the state changing element (such as taught by US Patent No. 4,146,902), the programming operation is irreversible and the unit is programmable once. After manufacturing and before stylization, all cells contain "Logic 0". Those cells with the required content of "Logic 1" are irreversibly stylized by forcing the state change element to a new state. ° Logic 0 can be (by stylization) changed to logic 1, but logic 1 cannot be changed to logic 0 (because the programming in this state changing component is irreversible) In the second example, if metal is used to pass through insulator silicon Metal-via-insulator-silicon niament fuses are used as state changing elements (as taught by US Patent No. 3, 7 1 7, 852), then

第22頁 4 2556 1 五、發明說明(18) 其程式化作業可逆轉且該單元可寫入、消除、並再寫入。 在製造之後與程式化之前,所有的單元包含“邏輯0 ” 。 所需内容為“邏輯1 ”的那些單元被程式化。但對此種狀 態改變元件而言,程式化是可逆轉的且邏輯值可依需要從 〇改變為1或從1改變為0。 在第三種範例中,可採用具有寫入/消除/再寫入能力的 狀態改變元件,其程式化作業靠電力但其消除作業不一定 靠電力。消除作業可選擇性地加諸一單一記憶體單元,或 者也可以整批地對所有記憶體單元一次做消除,譬如像對 UVEPROM記憶體般將所有單元暴露在強烈紫外光源&下。或 者也可藉把積體電路加熱而進行整批消除作業,加熱可由 積體電路外部的熱源或由直接在積體電路上的加埶器進行 丄整批消除作業還可藉把狀態改-變元件置於一強;^場内來 完成。 雖然上文的討論都假設狀態改變元件 -ν * ^ U匁呵徊狀態 險呼在Ϊ:阁可提供一預定範圍之電阻的反保險絲-該 險4在s亥範圍内是部分融化一就是一種三 ^ 閘MOS裝置容許許多個可能的多 〜、70 。牛 者。 仪何領域中為眾所週 如圖4(a)中所示 一垂直柱狀體,有 頂部。 記憶體單元包括 ’另一導體在其 起憶體單元:_印 ,現場可程式非揮發性 一導體在柱狀體的底部 425561"Page 22 4 2556 1 V. Description of the invention (18) The programming operation can be reversed and the unit can be written, erased, and rewritten. After manufacturing and before stylization, all cells contain "logic 0". Those units that require "Logic 1" are stylized. But for this state changing element, the stylization is reversible and the logic value can be changed from 0 to 1 or from 1 to 0 as needed. In the third example, a state changing element having a write / erase / rewrite capability can be used. Its programming operation depends on power but its erasure operation does not necessarily depend on power. The erasing operation can be selectively added to a single memory unit, or all the memory units can be erased in a batch, such as exposing all units to a strong ultraviolet light source like UVEPROM memory. Alternatively, the integrated circuit can be eliminated by heating the integrated circuit. The heating can be performed by a heat source external to the integrated circuit or by an amplifier directly on the integrated circuit. The component is placed in a strong; ^ field to complete. Although the above discussions assume that the state changing element-ν * ^ U 匁 hovering state is called: Ϊ can provide an anti-fuse with a predetermined range of resistance-the risk 4 is partially melted in the range of s 1 Three ^ gate MOS devices allow many possible more ~ 70. Cattle. The area of Yihe is well-known, as shown in Figure 4 (a). A vertical column with a top. The memory unit includes ’another conductor in its memory unit: _print, field programmable non-volatile, a conductor at the bottom of the column 425561 "

^_ 丨· I 五、發明說明(19) 底部導體是一相當長的導線或第一導體層上的接線。此 導體在某一方向(例如東西_向)配置。頂部導體是一相當長 的導線或第二導體層上的接線,該第二導體層位於形成底 部導體之層的垂直上方。頂部導體在另一方向(例如南北 向)配置。頂部導體與底部導體間之角度宜為90度(亦即它 們宜為正交),但此非強制性條件β記憶體單元柱狀趙位 於頂部導體和底部導體投影交錯跨越的部分。 實際上各層上的導體是彼此平行被分隔開的導體,其中 譬如各導體間的間隔等於導體的寬度。 第一導體層(導體1)包括許多個均在相同方向(譬如東西 向)配置的平行導體。第二導體層(導體2)包括許多個均宜 在如圖5中所示般與第一導體層之導體方向垂直的相同方 向(譬如南北向)配置的平行導體。只要有導體2上的導體 跨越(或交錯)導體1上的導體的地方,就會有本發明之現 場可程式非揮發性記憶體單元被製造。這顯示在圖4(b) 垂直朝頂部看,本發明之記憶體單元包含一導體 導體、然後是另一導體:導體卜柱狀體-直堆疊在第ί底部,導體2在頂部。但接著導體2又是垂 1 -柱狀體1 —導52方的:的一層記憶體單元之底部:導體 記憶體單元以-層在―另/2—導體3 °本發明將許多層 層記憶體單元的垂士,的方式堆疊:-具有⑻ 。(需要(_層^= (N)層柱狀體與(N+1)層導體 1導體來完成⑻層單元:每層柱狀體的底 425561 五、發明說明(20) ---- 導體二然後在陣列的頂部還有一導體)。圖5顯示根 據本發明之二維記憶體陣列的一部份,具有Ν = 6層記 柱狀,與(Ν + Π = 7層導體。一(Ν)個柱狀體垂直堆疊使〜用的 表面範圍是未垂直堆疊之(Ν)個柱狀體組合所用表面範圍 的1/Ν ;垂置堆疊在密度上有Ν倍的改善。 一記憶體柱狀體的底部導體是1方記憶體柱狀趙的頂部 導體,而一記憶體柱狀體的頂部導體是上方記憶艘柱狀體 的底部導體。這使得堆疊特別簡單而有彈性。 在一種具體實例中,記憶體柱狀體兩端的兩個導體是垂 直的。且由於諸導體是在諸層柱狀體間分享,故此具體實 例的結果是偶數編號的諸導體在一方向配置,而奇數編號 的諸導體在垂直方向配置。譬如,i設導體1朝東西向配U 置。導體2垂直於導體1 ’所以導·體2是朝南北向配置1導 體3垂直於導體2 ’所以導體3是朝東西向配置。導體4 (垂 直於導體3)朝南北向配置,依此類推。所以(在本範例中) 導體1 ’ 3 ’ 5 ’…朝東西向配置,而導體2,4,r,…细土 製造 在本發明的一種具體實例中,一導體層(譬如編號j的導 體層)朝南北向配置,而鄰接的導體層(編號J-1與J + 1)朝 東西向配置。在(J)層導體之垂直投影跨越(j-丨)層導體的 地方,就產生一記愫體單元柱狀體。類似地,在(J + 1)層 導體之垂直投影跨越(J)層導體的地方,就產生一記憶體 單元柱狀體。記億體單元柱狀體被諸導體之交錯(跨越)界^ _ 丨 · I 5. Description of the invention (19) The bottom conductor is a fairly long wire or a wiring on the first conductor layer. This conductor is arranged in a certain direction (for example, east-west direction). The top conductor is a relatively long wire or wiring on a second conductor layer, which is located directly above the layer forming the bottom conductor. The top conductor is arranged in another direction (for example, north-south). The angle between the top conductor and the bottom conductor should be 90 degrees (that is, they should be orthogonal), but this non-mandatory condition β-memory cell columnar Zhao is located at the portion where the top conductor and the bottom conductor project staggered. In fact, the conductors on each layer are conductors that are separated in parallel to each other, for example, the interval between the conductors is equal to the width of the conductors. The first conductor layer (conductor 1) includes a plurality of parallel conductors arranged in the same direction (for example, east-west). The second conductor layer (conductor 2) includes a plurality of parallel conductors, which are preferably arranged in the same direction (for example, north-south direction) as that shown in FIG. 5 perpendicular to the conductor direction of the first conductor layer. As long as the conductors on conductor 2 cross (or stagger) the conductors on conductor 1, the field programmable non-volatile memory unit of the present invention will be manufactured. This is shown in Fig. 4 (b), when viewed vertically toward the top, the memory unit of the present invention includes a conductor and then another conductor: the conductors are cylindrically stacked at the bottom and conductor 2 is at the top. But then conductor 2 is vertical 1-columnar body 1-lead 52 square: the bottom of a layer of memory unit: conductor memory unit with-layer in-another / 2-conductor 3 ° The present invention memorizes many layers Body units are stacked in a way that:-has ⑻. ((_Layer ^ = (N) layer cylinders and (N + 1) layer conductor 1 conductors are required to complete the ⑻layer unit: the bottom of each layer of columnar bodies 425561. 5. Description of the invention (20) ---- conductor Two and then there is a conductor on top of the array). Figure 5 shows a part of a two-dimensional memory array according to the present invention, with N = 6 layers of pillars, and (N + Π = 7 layers of conductors. One (N ) The vertical stacking of the columnar bodies makes the surface area used to be 1 / N of the surface range of the (N) columnar body combinations that are not vertically stacked; the vertical stacking has an N-fold improvement in density. A memory column The bottom conductor of the column is the top conductor of the 1-sided memory columnar Zhao, and the top conductor of a memory column is the bottom conductor of the memory column above. This makes the stacking particularly simple and flexible. In a specific In the example, the two conductors at the ends of the memory cylinder are perpendicular. Since the conductors are shared among the layers of cylinders, the result of this specific example is that even-numbered conductors are arranged in one direction, and odd-numbered conductors are arranged in one direction. The conductors are arranged in the vertical direction. For example, i set the conductor 1 to the east-west direction. U. Conductor 2 is perpendicular to conductor 1 ', so conductor 2 is arranged north-south 1 conductor 3 is perpendicular to conductor 2' so conductor 3 is disposed east-west. Conductor 4 (vertical to conductor 3) is arranged south-north, And so on. So (in this example) the conductors 1 '3' 5 '... are arranged east-west, and the conductors 2, 4, r, ... are made of fine soil. In a specific example of the present invention, a conductor layer (such as The conductor layer number j) is arranged in the north-south direction, while the adjacent conductor layers (number J-1 and J + 1) are arranged in the east-west direction. Where the vertical projection of the (J) layer conductor crosses the (j- 丨) layer conductor A column of corpus callosum is produced. Similarly, a memory unit column is produced where the vertical projection of the (J + 1) layer conductor crosses the (J) layer conductor. The body is intersected (crossed) by the conductors

第25頁 發明說明(21) 圖J,所以諸柱狀體自我對齊於諸導體。自我對齊 囷樣被一t 二為:讓記憶體單元的光餘刻技術 造成較小的單元區域範圍,'结果可有較高;本 為:說明這些柱狀體的自我對齊製㉟,設想一種使 材:層(層堆疊)製造導引元件與狀態改變元件 介而狀態改變元件包括一多晶-氧化物-多晶 =電質破壞反保險絲、其他種具體實例說明 案之本體中。 」本具體實例中,一柱狀體包括四層材料於層堆疊中, a)中所示般依次排置:(1) 一層Ρ +摻雜的多晶矽40 一 層N摻雜的多晶石夕41;(3) —層二氧化石夕42;(4) is 捧雜的多晶矽43。層(4〇)與層(41)形成一PN接合二 弓1元件)’層(41-43)形成一多晶-氧化物一多晶介 ' 反保險絲。在本具體實例中,四層材料之堆疊共 同產生記憶體單元且被稱為“層堆疊” 45。在層堆疊“ ^ I方和上方也有導體層,其圖樣製作將在下文中描述。這 二導體在圖6(a)中顯示為導體4 6與48。 圖6(a)中顯示的另一種堆疊為堆疊450。同樣的,它包 有,堆疊末端的導體460與48(3,該等導體可由諸如金屬 5多晶石夕等任何導電材料製造。堆疊450内的導引元件包Page 25 Description of the invention (21) Figure J, so the columns are self-aligned with the conductors. The self-alignment method is described as follows: Let the light-cut technique of the memory unit cause a smaller unit area range, 'the result can be higher; this is: to explain the self-alignment system of these columns, imagine a Material: layer (layer stacking) manufacturing guide element and state change element, and the state change element includes a polycrystalline-oxide-polycrystalline = electrical destruction anti-fuse, and other specific examples. "In this specific example, a columnar body includes four layers of material in a layer stack, which are arranged in sequence as shown in a): (1) a layer of P + doped polycrystalline silicon 40 a layer of N doped polycrystalline silicon 41 (3) —Layered SiO 2 42; (4) is a polycrystalline silicon 43. The layer (40) and the layer (41) form a PN junction (bow 1 element) 'layer (41-43) forms a polycrystalline-oxide-polycrystalline dielectric' anti-fuse. In this specific example, a stack of four layers of material collectively produces a memory cell and is referred to as a "layer stack" 45. There are also conductor layers on and above the layer stack. The patterning will be described below. These two conductors are shown as conductors 4 6 and 48 in Figure 6 (a). Another stack shown in Figure 6 (a) It is a stack 450. Similarly, it includes the conductors 460 and 48 (3) at the end of the stack. These conductors can be made of any conductive material such as metal 5 polycrystalline stone. The guide element package in the stack 450

第26頁 4 2 5 5 6 1 五、發明說明(22). 括一 P +摻雜之諸如微晶矽等半導體的第一層4〇〇及一 N摻雜 之諸如微晶矽等半導體的第二層41{)。 狀態改變元件包括層420 層420可為一用來形成一反保 險絲之非晶矽層β此層有一額定高電阻值,但在程式化過 程中一大電流通過以後,其電阻值大幅降低。層43〇被顯 示為一Ν +層以提供好的電氣接觸到置於上方之導體48〇。 層4 3 0可為非晶質、微晶質、或多晶矽,但處理方法須為 低溫以維持層4 2 0内之非晶質結構。 圖6(a)内亦顯示另一種堆疊4〇5。它包括一 N—多晶矽層 400、一氧化石夕層402及一Ν+多晶矽層4〇3。同樣的,層400 或層403可為微晶質或非晶質半導體層。堆疊4〇5被包夹在 導體406與408之間。此處之導引元件為一由導體之金屬 406與層400形成的肖特基二極體。狀態改變元件是由層 402形成之反保險絲。舉例來說,層4〇6與層4〇8可為厚度 、”勺1 0 00埃的二矽化鈦或鋁。層wo,402與4〇3之厚度可分 別為500埃、8〇埃、與5〇卩埃。 /圖6(b)-6(g)示意說明記憶體單元之製造順序。在沉積 之後與製圓樣之前,層堆疊45(或堆疊45〇與4〇5)是一如圖 6(b)中所示延伸跨越整個積體電路(實際上跨越整個晶圓) 1連續薄版。在概念上,自我對齊方法是兩個蝕刻步驟的 程序:在第一蝕刻步驟中,此層堆疊(一連續薄板)被製圖 樣成(譬如)東西走向的長直條,用與蝕刻其下導體層上東 西走向之導體相同的製圖樣步驟蝕刻該等 介電質做沉積與磨…,沉積—第二導體:層=層:Page 26 4 2 5 5 6 1 V. Description of the invention (22). The first layer includes a P + doped semiconductor such as microcrystalline silicon and a first layer of 400 and an N doped semiconductor such as microcrystalline silicon. The second layer 41 {). The state changing element includes a layer 420. The layer 420 may be an amorphous silicon layer β for forming an anti-fuse. This layer has a rated high resistance value, but after a large current passes during the programming process, its resistance value is greatly reduced. Layer 43 is shown as an N + layer to provide good electrical contact to the conductor 48o placed above. Layer 4 3 0 can be amorphous, microcrystalline, or polycrystalline silicon, but the processing method must be low temperature to maintain the amorphous structure in layer 4 2 0. Figure 6 (a) also shows another stack 405. It includes an N-polycrystalline silicon layer 400, a stone oxide layer 402, and an N + polycrystalline silicon layer 403. Similarly, the layer 400 or the layer 403 may be a microcrystalline or amorphous semiconductor layer. The stack 405 is sandwiched between conductors 406 and 408. The guide element here is a Schottky diode formed by a conductive metal 406 and a layer 400. The state changing element is an inverse fuse formed by layer 402. For example, the layers 406 and 408 may be a thickness of 100 angstroms of titanium disilicide or aluminum. The thicknesses of the layers wo, 402 and 403 may be 500 angstroms, 80 angstroms, And 50 Angstroms. / Figures 6 (b) -6 (g) schematically illustrate the manufacturing sequence of the memory unit. After deposition and before making the round sample, the layer stack 45 (or stack 45 and 4 05) is one. As shown in Figure 6 (b), it extends across the entire integrated circuit (actually across the entire wafer). 1 Continuous thin plate. Conceptually, the self-alignment method is a procedure of two etching steps: In the first etching step, This layer stack (a continuous sheet) is patterned into (for example) long straight strips running in the east-west direction, and the same patterning steps are used to etch these dielectrics for deposition and grinding as the etching process of the east-west running conductors on the underlying conductor layer ... , Deposition—Second Conductor: Layer = Layer:

第27頁 425561 五、發明說明(23) 堆疊被製圖樣成南北走向的長直條^用於製造南北走向直 條圖樣的钱刻繼續進行直到第一層堆昼也已被餘刻穿過導 引元件。這樣會造成東西走向的柱狀體。其結果的柱狀體 很好地對齊於下方的導體與上方的導體,因為諸柱狀體和 諸導體均同時姓刻。在其他種具體實例中,層堆叠(45或 450或405)内的半導體層可被沉積為微晶質或多晶質,然 後用雷射處理以改善結晶狀況並提昇摻雜物活性。 柱狀趙的橫截面為矩形,矩形的一邊等於底部導體的寬 度,另一邊等於頂部導體的寬度。若這些導體的寬度相等 ,則該橫載面為正方形。 東西向和南北向的圖樣製作使用半導體工業中廣泛使用 且眾所熟知的光蝕刻技術步驟且可使用濕式或乾式蝕刻。 而且, 沉積之 當然 “舉離 或一種 層堆疊 方的導 一種特 實務 導體層 導體自 触刻去 i-u-且从 用於單元内的及當使用做-導體時的矽可在原 後被藉諸如離子植入等方法摻雜。 也可用其他圖樣製作技術取代姓刻,譬如可採用 (liftoff)技術或金屬鎮嵌(Damascene)’’技術 加入而非減去圖樣製作技術取代餘刻。但理想上, 應以兩個分離的步驟製作圖樣,一次用遮罩界定下 再次用遮罩界定上方的導體,這對不論使用哪 定製造技術來製造各個層圖樣均為真。 上建構了非常多個垂直堆疊的記憶體單元,且每個 自我對齊於下方的層堆疊和上方的層堆疊。所以將 我對齊於杈狀體的蝕刻步驟須將材料從三個不同層 掉’上方的層堆疊、導體層、和下方的層堆疊。Page 27 425561 V. Description of the invention (23) The stacking pattern is made into a long straight bar with a north-south trend ^ The money engraving for making a straight pattern with a north-south trend continues until the first layer of the day has also been passed through the guide引 Elements. This will cause east-west columns. The resulting columns are well aligned with the lower and upper conductors because the columns and conductors are engraved at the same time. In other specific examples, the semiconductor layers in the layer stack (45 or 450 or 405) can be deposited as microcrystalline or polycrystalline, and then treated with laser to improve the crystalline state and increase the dopant activity. The cross-section of the columnar Zhao is rectangular. One side of the rectangle is equal to the width of the bottom conductor and the other side is equal to the width of the top conductor. If the widths of these conductors are equal, the cross-section is square. East-west and north-south patterning uses photoetching technology steps that are widely used in the semiconductor industry and are well known and can use wet or dry etching. Moreover, the deposition "of course" or a layer-stacking method leads to a special practice conductor layer. The conductor is self-engraved to iu- and the silicon used in the unit and when used as a conductor can be borrowed from the original such as ions. Doping methods such as implantation. Other pattern making techniques can also be used to replace the last name. For example, liftoff or Damascene can be used instead of subtracting the pattern making technique. But ideally, The pattern should be made in two separate steps, once defined by the mask and once again by the mask to define the upper conductor. This is true regardless of which manufacturing technique is used to fabricate the pattern of each layer. A very large number of vertical stacks are constructed above Memory unit, and each self aligns with the lower layer stack and the upper layer stack. So the etching step that aligns me with the bifurcated body must remove the material from three different layers. The upper layer stack, the conductor layer, And the layers below.

第28頁 五、發明說明(24) 處理程序可開始於一已接受前處理步驟的晶圓,譬如 CMOS電晶體可在單晶基底内被製造做週邊電路用。然後沉 積一絕緣體’且宜被磨平(使用化學機械磨光法CMP、抗蝕 回磨平、或任何數目的其他磨平技術)。第一導體層被沉 積成如圖6(b)中的層46,然後沉積第一層堆疊45。圖6(b) 顯示此階段的晶圓。 其次,界定導體1層上形體的遮罩被加上,且這些形體 被蝕刻入柱狀體層堆疊45與其下的導體1層46。一絕緣層 被沉積在晶圓上並使用CMP或其他磨平技術磨平。圖6(c) 顯示此階段的晶圓。請特別注意柱狀體層堆疊與底部層已 被敍刻成連續的長條(46a與45a)及(46a與45b)但無絕緣的 個別獨立柱狀體。也請注意柱狀體層堆疊45a與45b的邊綠 對齊於導體層46a與46b的邊緣因為該二者均在同時用相 ,遮罩蝕刻。請注意諸導體一般包括共平面的導體’各層 疋像鋁或其他金屬、矽化物、或摻雜的矽導體。 雖然圖6(c)或其他圖式中未顯示’介電質充滿了諸長條 (及諸柱狀體)間的空隙並從而增加對陣列的支持。也請注 磨平作業須顯露諸長條的上部表面以使後讀的導體層接 ^長條。被磨平的介電質也形成讓圖丨3之通路與垂 體穿過的層。 疊&次堆冗^第體層5ίΚ導體2),並沉積第二柱狀體堆 韋自M M d顯不此階段之晶圓。請注意磨平作 茶自動提供一介於柱狀體層堆辱 的導艚居^ 叠(諸如45b)與其上之後續 的導體層Q如50)之間的自我對齊接觸點。Page 28 V. Description of the invention (24) The processing procedure can start on a wafer that has been subjected to a pre-processing step. For example, a CMOS transistor can be manufactured in a single crystal substrate for peripheral circuits. An insulator ' is then deposited and should be smoothed (using chemical mechanical polishing CMP, resist back-grinding, or any number of other smoothing techniques). The first conductor layer is deposited as layer 46 in Fig. 6 (b), and then a first layer stack 45 is deposited. Figure 6 (b) shows the wafer at this stage. Next, a mask defining the shapes on the conductor 1 layer is added, and these shapes are etched into the columnar layer stack 45 and the conductor 1 layer 46 below it. An insulating layer is deposited on the wafer and smoothed using CMP or other smoothing techniques. Figure 6 (c) shows the wafer at this stage. Pay particular attention to the individual stacks of columnar layer stacks and bottom layers that have been sculpted into continuous strips (46a and 45a) and (46a and 45b), but without insulation. Please also note that the edge green of the columnar layer stacks 45a and 45b is aligned with the edges of the conductor layers 46a and 46b because both of them are simultaneously etched and masked. Please note that the conductors generally include coplanar conductors' layers, such as aluminum or other metals, silicides, or doped silicon conductors. Although not shown in Fig. 6 (c) or other drawings, the 'dielectric' fills the spaces between the bars (and the columns) and thus increases the support for the array. Please also note that the smoothing operation must reveal the upper surface of the strips so that the conductor layer read later is connected to the strips. The smoothed dielectric also forms a layer that allows the pathway of Figure 3 to pass through the pituitary. Fold & sub-stack body layer 5 (conductor 2), and deposit a second columnar body stack. Since M M d shows the wafer at this stage. Note that the flattened tea automatically provides a self-aligned contact point between the stack of columnar body layers (such as 45b) and the subsequent conductor layer Q (such as 50) above it.

425561 五、發明說明(25) 現在加上導體2遮罩,且其形體被向下蝕刻入三個不同 的階層:柱狀體堆疊2(51).、導體2層50、及柱狀體堆疊i (45a與45b)。(此触刻步驟停止於45a與45b内的導引元件 下方’提供一穿過記憶體單元的獨特電路路徑)^ 一絕緣 層沉積於晶圓上並(周CMP或其他方法)磨平。圖6(6)顯示 此階段的晶圓。請注意導體2遮罩+蝕刻已完成層堆疊}内 個別柱狀體(45a 1 ’45a 2,45b 1,及45b 2)的界定。也 請注意層堆疊1層内的這些柱狀體對齊於導體1層(463, 46b)也對齊於導體2層(50a ’50b),藉此逹成自我對齊的 目標。 其次沉積第三導體層52(導體3),並沉積第三柱狀體層 堆整53(層堆疊3)。圖6(f)顯示此階段的晶圓。 現在加上導體3遮罩,且其形體被向下蝕刻入堆疊3、導 趙3、及堆疊2諸層。(此蝕刻作業停止於層堆疊2之導引元 件下方’且故意不碰到導體2層)。一絕緣層被沉積於晶圓 上並被(使用CMP或其他方法)磨平。圖6(g)顯示此階段之 晶圓。導體3遮罩+蝕刻已完成層堆疊2層内個別柱狀體(諸 如51a 1,51a 2 ’51b 2)之界定。圖6(g)顯示需要(N + l) = 3個導體層及(N + l) = 3個遮罩步驟來製作(n = 2)層的柱狀體 層堆疊。(不計入使用於週邊電路但不使用於記憶體單元 内的層間過渡層)。晶圓現在已(隨製造者的意思)準備好 接受更多堆疊層及導體層β 在本發明記憶體單元的一陣列之一種可能具體實例中, 諸柱狀體如圖6中所示般彼此上下直接垂直堆疊。請注意425561 V. Description of the invention (25) Now add the conductor 2 mask, and its shape is etched down into three different layers: columnar stack 2 (51)., Conductor 2 layer 50, and columnar stack i (45a and 45b). (This engraving step stops below the guide elements in 45a and 45b 'to provide a unique circuit path through the memory unit.) ^ An insulating layer is deposited on the wafer and polished (peripheral CMP or other methods). Figure 6 (6) shows the wafer at this stage. Please note the definition of individual columns (45a 1 ’45a 2, 45b 1, and 45b 2) within the conductor 2 mask + etched completed layer stack}. Also note that these pillars in layer 1 are aligned with conductor 1 (463, 46b) and conductor 2 (50a'50b), thereby achieving a self-aligned target. A third conductor layer 52 (conductor 3) is deposited next, and a third columnar layer stack 53 (layer stack 3) is deposited. Figure 6 (f) shows the wafer at this stage. Now add the conductor 3 mask, and its shape is etched down into the stack 3, guide 3, and stack 2 layers. (This etching operation is stopped below the guide element of layer stack 2 'and the conductor 2 layer is not touched intentionally). An insulating layer is deposited on the wafer and polished (using CMP or other methods). Figure 6 (g) shows the wafer at this stage. The conductor 3 mask + etching has completed the definition of individual columns (such as 51a 1, 51a 2 ′ 51b 2) in the layer stack 2. Figure 6 (g) shows that (N + l) = 3 conductor layers and (N + l) = 3 masking steps are needed to make (n = 2) layered columnar layer stacks. (Not counting interlayer transition layers used in peripheral circuits but not used in memory cells). The wafer is now (with the manufacturer's intention) ready to accept more stacked layers and conductor layers. In a possible specific example of an array of memory cells of the present invention, the pillars are as shown in FIG. Vertically stacked vertically. Please note

第30頁 _ 425561 五、發明說明(26) 諸柱狀體整齊排列成垂直對齊的堆疊。但因為自我對齊, 所以此種柱狀體的彼此上下直接垂直堆疊不是必要條件。 記憶體單元柱狀體自動形成於導體層(J + 1 )上的導體交 錯於導體層(J)上的導體之上方的地方。在柱狀體的垂直 堆疊下,即使諸導體層彼此並非直接上下對齊,這裡所說 的記憶體單元柱狀體之自動形成仍有效《實際上較佳的是 柱狀體不以垂直堆疊;也就是說它們如圖7中所示般彼此 錯開。比較圖5 (柱狀體垂直堆疊)和圖7 (柱狀體彼此錯開) 以觀察其效果。諸如圖7中所示錯開的或交錯的柱狀體配 置在實務上有其優點。其可有助於提供較平滑的晶圓表面 ’而更適於做磨平和拋光。 在前述步驟序列中’電極或導體材料連 姓刻。因為多數電敷金屬餘刻也-钮刻多晶 能此種雙蝕刻的實際材料組合是譬如鋁和 要,則蝕刻處理的控制實施可透過使用具 化學(譬如優先餘刻多晶;ε夕但停止於銘), 當作移除電極和裝置材料所用之蝕刻劑蚀 狀態改變元件也可被使用做蝕刻停止器, 為氧化物破壞型時為然。 諸如翻及鎮等高熔點金屬相容於矽所用 溫度且可用作導體。金屬矽化物相容於用 物之更高的溫度。即使被高濃度摻雜之矽 導體。導體材料之選擇係根據電阻性與 包括触刻特性。 同裝置材料一起 矽,所以一種致 多晶石夕。如果需 有選擇性的钱刻 或透過使用不被 刻的阻障材料。 尤其是當該元件 的傳統CVD沉積 來活化矽内摻雜 本身也可被用作 合性的考量,士Page 30 _ 425561 V. Description of the invention (26) The columns are neatly arranged in a vertically aligned stack. However, because of self-alignment, it is not necessary for such columns to be stacked vertically above each other. The columnar body of the memory unit is automatically formed where the conductors on the conductor layer (J + 1) intersect the conductors above the conductor layer (J). Under the vertical stacking of the columns, even if the conductor layers are not directly aligned with each other, the automatic formation of the memory cell columns as described herein is still effective. "In fact, it is better that the columns are not stacked vertically; That is, they are staggered from each other as shown in FIG. 7. Compare Figure 5 (the columns are stacked vertically) and Figure 7 (the columns are staggered from each other) to see the effect. Staggered or staggered columnar configurations such as those shown in Figure 7 have practical advantages. It can help provide a smoother wafer surface, and is more suitable for flattening and polishing. In the foregoing sequence of steps, the 'electrode or conductor material is engraved. Because most electroplated metals also have a double-etched actual material combination such as aluminum and poly, the control of the etching process can be performed by using a chemical (such as preferentially polycrystalline; ε Xidan (Stop at Ming). Etchant-state changing elements used to remove electrodes and device materials can also be used as etch stoppers. This is true for oxide-destructive devices. High-melting metals such as tumbling and balling are compatible with the temperatures used in silicon and can be used as conductors. Metal silicides are compatible with higher temperatures of the product. Even highly doped silicon conductors. The selection of the conductor material is based on resistivity and includes etch characteristics. Together with the device material, silicon is a kind of polycrystalline. Selective engraving is required or by using barrier materials that are not engraved. Especially when the traditional CVD deposition of the device to activate the doping in silicon itself can also be used as a synthetic consideration.

上述前半步驟之後的磨平作業是需要的以形成被半蝕刻 之早尤(即在前述範例中呈.東西走向的線條)的自我對 體。此磨平作業的實施可透過本技術領域中眾所週 多種不同方法完成,丨中三#眾所週知的範例有化學一機 械拋光法(CMP),蝕回旋轉於介電質層上,及蝕回旋 聚合體上。為了容許在磨平過程中可能發生之極端的過度 拋光或過度蝕刻可能,可在沉積一電極層之後進行一第二 磨平處理以確保一平坦的電極表面讓其後的裝置材料層^ 積。 上述處理程序序列利用自我對齊以減少柱狀體與導體間 所需的對齊容許寬放《本具體實例可用一種具體實例替代 ,該種具體實例涉及一個或更多個額外光蝕刻技術步驟以 明白地界定柱狀體本身,而不像自我對齊處理程序中使用 兩個導體光蝕刻技術步驟的交錯來界定柱狀體。這在許多 不同處理程序中有優點,即其可利用由此一處理程序造成 之明白地界定的側壁。譬如,非晶矽的固態結晶化可被用 以形成導引元件層堆疊。側壁的自由能量可被預期對導引 元件内單曰體或顆粒之形成有幫助,這在某些系統具體 實例中甚有利。 ' 可利用明白地界定之侧壁的另一種處理程序是雷射誘發 結晶化。同樣的,側壁的自由能量可被預期對導引元件内 單一晶體或顆粒之形成有幫助。 在涉及柱狀體之明白界定的處理程序中,一光蝕刻技術 步驟被用來界定一底部導體《此光姓刻技術被触刻。然後The smoothing operation after the first half of the above step is required to form a self-opposite that has been half-etched early (that is, a line running in the east and west directions in the previous example). The implementation of this smoothing operation can be accomplished through a variety of different methods known in the art. The well-known examples of Zhongsan # include chemical-mechanical polishing (CMP), etching back rotation on the dielectric layer, and etching back rotation. On the polymer. In order to allow extreme over-polishing or over-etching that may occur during the flattening process, a second flattening process may be performed after depositing an electrode layer to ensure a flat electrode surface for subsequent layering of device materials. The above processing program sequence utilizes self-alignment to reduce the required alignment between the columnar body and the conductor. This specific example can be replaced by a specific example that involves one or more additional photo-etching technology steps to clearly Defining the pillars themselves, rather than defining the pillars in a self-aligning process using the interleaving of two conductor photoetching steps. This has the advantage in many different processes that it can take advantage of the clearly defined side walls created by this process. For example, solid state crystallization of amorphous silicon can be used to form a guide element layer stack. The free energy of the side walls can be expected to help the formation of monoliths or particles within the guide element, which is advantageous in some system specific examples. 'Another treatment procedure that uses clearly defined sidewalls is laser induced crystallization. Similarly, the free energy of the side walls can be expected to help the formation of single crystals or particles in the guide element. In a well-defined processing procedure involving a columnar body, a photoetching technique step is used to define a bottom conductor. "This photolithography technique is touched." then

第32頁 425561Page 425561

’形成狀癌改變元件與導引 一個將被蝕刻之光蝕刻技術 蝕刻之後,一絕緣材料被如 平,將柱狀體的頂部暴露以 沉積頂部導體’且該處理程 諸層。 7G件所需之層堆疊被沉積。另 步驟被用來界定柱狀體β在此 自我對齊單元中一般沉積並磨 形成一自我對齊接觸點。然後 序依^需要被重複做單元的後續 狀中諸遮罩步驟之次序也可顛倒。譬如’柱 在製作底部導體圖樣之前形成。在此處理程序中, -邛、體導引元件、和狀態改變元件的整個層堆疊均可 被沉積。、然後柱狀體可被以版印界定並向下蝕刻穿過導知 元件…丨後界疋並姓刻底部導體。此種結構會使用上述 被磨平之絕緣體接觸架構純化。在所有三種處理程序y 自我對齊之接觸點也可被一日月白的用&amp;接觸點形成之照相 遮罩步驟替代。 各種不同裝置製造步驟可能造成殘留化學物或黏膠的拖 复 &gt;万染而有損裝置特性,明確的說,裝置洩漏可能肇因於 此種化學物殘留或黏膠的拖髮污染(譬如未完全清除的光 阻劑)。一種低溫(譬如&lt;40 0C)電漿氧化暴露法可用來在裝 置柱狀體的邊緣上生長一清除氧化物,藉此使邊緣陷阱鈍 化。氧化物的生長會自我限制,因為氡氣類僅緩慢擴散通 過前已生長之氧化物,造成極度均勻的氧化物厚度,且從 而改善其可製造性。(電漿氧化法亦可用來形成反保險絲 層。)氧化物沉積亦可用來鈍化表面,例如單獨作用或與 一已長成之氧化物併用。‘Formation of cancer-like change elements and guidance. A photo-etching technique to be etched. After etching, an insulating material is flattened, exposing the top of the columnar body to deposit the top conductor’ and the process has layers. The required layer stack for 7G pieces is deposited. Another step is used to define the cylindrical body β which is generally deposited and ground in this self-aligning unit to form a self-aligning contact point. Then, the order of the masking steps in the subsequent state of the unit that needs to be repeated can also be reversed. For example, the 'pillar' is formed before the bottom conductor pattern is made. In this processing procedure, the entire layer stack of the plutonium, the body guiding element, and the state changing element can be deposited. Then, the columnar body can be delineated with a printing plate and etched down through the guiding element ... The rear boundary is scribed and the bottom conductor is engraved. This structure is purified using the above-ground polished insulator contact architecture. The self-aligned contact points in all three processing procedures can also be replaced by a day and month photo masking step formed with & contact points. Various device manufacturing steps may cause residual chemical or viscous fouling &gt; dyeing and damage device characteristics. To be clear, device leakage may be caused by such chemical residues or viscous pollution (such as Photoresist not completely removed). A low temperature (e.g., <40 0C) plasma oxidation exposure method can be used to grow a scavenging oxide on the edges of the columnar device, thereby dulling the edge traps. The growth of oxides is self-limiting because radon species only slowly diffuse through previously grown oxides, resulting in an extremely uniform oxide thickness and thereby improving its manufacturability. (Plasma oxidation can also be used to form the anti-fuse layer.) Oxide deposition can also be used to passivate the surface, for example, alone or in combination with a grown oxide.

因為在前述的' 具贈普Ar i a ^ 一骽實例中,裝置材料I:譬如多晶矽) Γ 玉屬;之後沉積,所以最好是在可能的 ^ ^ ^ ^ ^ 敗埋裝置材料以加寬適當金屬的選 姐甘社 牡原位置摻雜之多晶矽可使用低壓化 从播甘# f 11縱加強化學蒸著沉積法(PECVD) ί1]1ινΓνηΛ . , ^超南真空化學蒸著沉積法 (UHVCVD)在低溫下沉積。—瀚娃 w 種替代方案是沉積未經摻雜的 郎矽、’接著使用一低溫處理程序做掺雜及活化“諸如 長加熱退火等傳統活化步驟將晶圓暴露於可能無法接受的 兩溫下。)在某些情況下可能也需要用冑晶石夕或非晶石夕或 結晶化的非晶矽取代多晶矽以致能低溫製造。 另一個考量因素是電極材料(譬如金属)在處理過程中擴 ,進入裝置層的可能。4氐溫處理程序有助於降低此問題的 嚴重性,但可能不足以完全解決此問題。為防止此問題, 可採用許多阻障材料。阻障材料的例子有氮化鈦(TiN)、 鉅(Ta)或氮化鈕(TaN),還有許多本技術領域中眾 的材料。 在單元的一種具體實例中,採用一薄介電質層做為反保 險絲7C件。在此一單元中,介電質厚度的良好均勻度以及 低薄膜缺陷密度(譬如介電質内針孔密度)是非常想要的特 性。介電質的品質可透過許多種方法提昇,例如在沉積期 間(持續地或週期性地)旋轉基底及/或源極;使用電榮或 低溫生長化學法藉加熱方法形成介電質;或採用液態介電Because in the above-mentioned example with the Ar ia ^, the device material I: such as polycrystalline silicon) Γ jade; later deposited, it is best to bury the device material where possible ^ ^ ^ ^ ^ to widen the appropriate Polycrystalline silicon doped at the original location of the metal can be reduced in pressure from Bogan #f 11 longitudinally enhanced chemical vapor deposition (PECVD) ί1] 1ινΓνηΛ., ^ Ultra-South Vacuum Chemical Vapor Deposition (UHVCVD) Deposit at low temperatures. — Hanwa ’s alternatives are to deposit un-doped silane, and then dope and activate using a low temperature process. “Traditional activation steps, such as long-heat annealing, expose the wafer to two temperatures that may not be acceptable. .) In some cases it may also be necessary to replace polycrystalline silicon with vermiculite or amorphous stone or crystallized amorphous silicon to enable low-temperature manufacturing. Another consideration is the expansion of electrode materials (such as metals) during processing. It is possible to enter the device layer. 4 The temperature treatment procedure can help reduce the severity of this problem, but it may not be enough to completely solve this problem. To prevent this problem, many barrier materials can be used. Examples of barrier materials are nitrogen Titanium (TiN), giant (Ta) or nitride button (TaN), and many other materials in this technical field. In a specific example of the unit, a thin dielectric layer is used as the anti-fuse 7C component In this unit, the good uniformity of the dielectric thickness and the low film defect density (such as the pinhole density in the dielectric) are very desirable characteristics. The quality of the dielectric can be measured in many ways L, for example between deposition of (continuously or periodically) the rotation of the substrate and / or source; an electric low-temperature growth or chemical wing is formed by a dielectric heating method; or using a dielectric liquid

第34頁 42556 五、發明說明(30) 最好有方法能減少涉及番龙 數目。減少遮罩步對齊容許寬放的遮罩步驟 相互連接的通路。通路可為疋抓用將數個電極層 許寬放獲得減輕。譬如,奐 (町背谷 屬線相互連接,X邊緣通路尺7Λχ:向配置的數層内之金 距寬鬆,從而造成長方形的通、較γ方:内的χ線的間 討論。 方形的通路。通路將參照圖12及圖13 1觸點之飛$ 俨文,所述者,每一層約需一個遮罩步驟來形成記憔 τ 的單几。但需要額外的遮罩來形成接觸點、連接^ :文所述陣列内導體之通路及垂直導體(有時統稱為連接到 ,)。百先請記得每一個陣列導體僅需一個接觸點。所以 2接觸點是在陣列導體的末端’則在某一層上相隔開之 =接觸點可在陣列的相反側。這點很重要因為其提供 多範圍給接觸點,此外,相同層上的導體長度不必一樣 屏也就是譬如說,諸導體可逐漸變短、或變長、或在某些 ^内較長而在另一些層内較短,以提供陣列週遭範圍給接 -點這些接觸點可向下伸展到較低層,譬如伸展到每個 隔開的較低層而不干擾到中間層内的接觸點。 接觸點須在陣列外部以將陣列内導體連接至驅動電路。 内建在基底裡面的電晶體一般提供驅動。驅動電晶體也可 $用與陣列共通的材料建構在基底上方。接觸點的最簡單 只施方法是給陣列的每一層一個通路遮罩^這些接觸點被 $以連接一上部層通過所有在其下方的層而電氣連接至基Page 34 42556 V. Description of the invention (30) It is best to have a method to reduce the number of Fanlong involved. Reduced mask step alignment allows interconnected pathways for wide mask steps. The vias can be used to ease the relaxation of several electrode layers for scratching. For example, 奂 (machibe valley lines are connected to each other, X-edge path ruler 7Λχ: the gold distance in several layers arranged is loose, resulting in rectangular passages, compared with the γ-square: in-line discussion. The path will refer to Fig. 12 and Fig. 13. The contact fly of the contact, in which, each layer requires about one masking step to form a single sheet of 憔 τ. However, additional masks are needed to form contact points, Connection ^: the path of the conductors inside the array and the vertical conductors (sometimes collectively referred to as connecting to). Please remember that each array conductor only needs one contact point. So 2 contact points are at the end of the array conductor. Spaced on a layer = contact points can be on the opposite side of the array. This is important because it provides multiple ranges for contact points. In addition, the conductors on the same layer need not have the same screen length, that is, the conductors can gradually Shorter, or longer, or longer in some layers and shorter in other layers to provide a range around the array-these points of contact can be extended down to lower layers, such as each Lower separated layers without disturbing the middle The contact point must be outside the array to connect the inner conductor of the array to the driving circuit. The transistor built in the substrate generally provides driving. The driving transistor can also be constructed over the substrate with materials common to the array. The simplest method of applying contact points is to mask each path of the array ^ These contact points are connected to an upper layer and electrically connected to the base through all the layers below it.

第35頁 4 2 5 5 6 1 广 五、發明說明(31) 底。這些接觸點或則彼此直接上下堆疊建構或則交錯建構 ,兩種方法在半導體工業中均甚普遍。 一般而言’諸通路與接觸點被用來提供陣列内導體與周 邊電路間的導通路徑。譬如,接觸點形成於陣列的週遭以 接觸如圖9(a),9(b),與9(c)中所示的解碼器、行輸入/ 輸出電路及列位址解碼器。在另一種具體實例中希望將陣 列製造在譬如破螭基底上,並採用薄膜電芦體形成一層上 的周邊電路’其接觸點提供從該層到陣列内之導體的導通 路徑。在另一種具體實例中,最上層可用作電源分配。 製作與每一層之接觸點的一種直接的方法是對每一層使 用一個遮罩與蝕刻步驟,該步驟發生於用以界定導體之層 的形成之前。此遮罩步驟形成對位於其下之層的開口並依 需要提供接觸點。 _ 圖1 2顯示此種方法的一個範例。開始於該結構的基礎, 在開始製造陣列之前,一接觸點π 〇被遮罩並蝕刻通過基 底絕緣器1 0 0到基底接觸點1 〇 1。 導體層1 0 6在記憶體堆疊1 3 1之前被沉積。在本範例中, 記憶體堆疊的較低層1 0 7是一被濃重摻雜之半導體。這在 本範例中很重要,因為濃重摻雜之半導體將提供一以歐姆 计算的連接’並從而不需完全從導體層移除。 區域1 20與接觸點11 0上方的範圍是在形成組成層丨之長 條的期間形成的。在此情況下,12〇基本上靠層丄之 局在電氣上與層1上其他導體絕緣。介電質接著被沉積遂 磨平以暴露層1的頂部表面《然後形成接觸開口 11丄穿過Page 35 4 2 5 5 6 1 Canton V. Explanation of Invention (31). These contact points are either stacked directly on top of each other or are staggered. Both methods are common in the semiconductor industry. In general, the vias and contacts are used to provide a conduction path between the conductors in the array and the peripheral circuits. For example, contact points are formed around the array to contact decoders, row input / output circuits, and column address decoders as shown in Figures 9 (a), 9 (b), and 9 (c). In another specific example, it is desirable to fabricate an array on, for example, a broken substrate, and use a thin film electric reed body to form a peripheral circuit on a layer 'whose contact points provide a conduction path from the layer to the conductors in the array. In another specific example, the uppermost layer can be used as a power distribution. A direct method of making contact with each layer is to use a masking and etching step for each layer, which occurs before the formation of the layer that defines the conductor. This masking step forms an opening to the layer below it and provides contact points as needed. _ Figure 12 shows an example of this approach. Starting from the foundation of the structure, a contact point π was masked and etched through the substrate insulator 100 to the substrate contact point 101 before starting to manufacture the array. The conductor layer 10 6 is deposited before the memory stack 1 3 1. In this example, the lower layer 107 of the memory stack is a heavily doped semiconductor. This is important in this example because the heavily doped semiconductor will provide a connection in ohms' and thus need not be completely removed from the conductor layer. The region 120 and the range above the contact point 110 are formed during the formation of the stripe of the composition layer. In this case, 120 is electrically insulated from the other conductors on layer 1 by the layer 丄 's arrangement. The dielectric is then deposited and smoothed to expose the top surface of layer 1 and then a contact opening is formed.

、發明說明(32) 1的諸+層至少下到被濃重摻雜的層1 〇 7。 圖5著層2導體122與記憶體堆疊層被沉積並以層1被製作 與層相同的方式製作圖樣。同樣地,遮罩被用來將此區域 露2陣列的導體絕緣。介電質同樣地被沉積並蝕回以暴 形2的頂部表面。正如層1中一般,一接觸點遮罩被用來 、開α 11 2通過記憶體單元元件下到被濃重摻雜的材料 〇 最後’層3導體被沉積入開口丨丨2以形成一從層3到基底 的連續電氣連接。 一由上文的敘述可明白知道來自任何層的接觸點可藉每層 額外遮罩步驟做給基底内的一個區域。在另一種具體 實例中’可使用少於每層一個的遮罩步驟來形成到達基底 的導通路徑。這在有一個以上導體接觸單一個基底區域的 情況下為可能。請注意圖13(c)中的例子,導體1,3,與5 連接到相同基底區域。 圖^(8)-13(6)顯示接觸點的幾種可能結構。圖13(£〇中 顯不一種配置,其中接觸點做在層丨(或層N)與層3(或層 N + 2)的導體之間。請注意在此種配置中,層Nh内的導體 被做成較層N與層N + 2内的導體短,以讓出寬闊的空間給接 觸點而不影響到層N + 1内的導體。此處的接觸點,因為其 介於鄰接層之間,所以其伸展穿過斜線陰影部分所示的記 憶體堆疊。 在圖13(b) _顯示一接觸點從層4(或層M + 3)内的導體接 觸層1與層2(或層N與層N + 1)内的導體。請注意在此配置下2. Description of the invention (32) The layers of + are at least down to the heavily doped layer 107. Figure 5 shows that layer 2 conductor 122 and the memory stack are deposited and patterned in the same manner as layer 1 was made. Similarly, a mask is used to insulate the conductors in this area. The dielectric is likewise deposited and etched back to the top surface of the storm 2. As in layer 1, a contact mask is used to open α 11 2 through the memory cell element down to the heavily doped material. Finally, layer 3 conductors are deposited into the opening 2 to form a slave layer. 3 continuous electrical connection to the substrate. -From the description above, it is clear that contact points from any layer can be applied to an area within the substrate by an additional masking step for each layer. In another specific example ', a masking step of less than one per layer may be used to form a conductive path to the substrate. This is possible if more than one conductor is in contact with a single substrate area. Note the example in Figure 13 (c), where conductors 1, 3, and 5 are connected to the same substrate area. Figures ^ (8) -13 (6) show several possible structures of contact points. Figure 13 (£ 〇 shows a configuration, where the contact point is made between the layer 丨 (or layer N) and the conductor of layer 3 (or layer N + 2). Please note that in this configuration, the layer Nh The conductor is made shorter than the conductors in layer N and layer N + 2 to allow a wide space for contact points without affecting the conductors in layer N + 1. The contact point here is because it lies between adjacent layers Between them, so it stretches through the memory stack shown by the shaded part of the slant line. Figure 13 (b) _ shows a contact point from a conductor in layer 4 (or layer M + 3) to contact layer 1 and layer 2 (or Layer N and layer N + 1) conductors. Please note in this configuration

第37頁 ^12556 1________ 五、發明說明(33) ,層N + 2内的導體較層N内的導體短,讓從層N + 3製造的結 構能向下伸展並接觸兩個位於下方的導體。僅須在絕緣體 内界定一單一開口以形成此接觸點且該開口被配置穿過使 用於磨平步驟中的氧化物或其他絕緣體。 另一種接觸點顯示於圖13(c)中,其中來自層1,3與5的 導體被連接接觸一基底區域。此處層2與4内的導體被交錯 以免影響到接觸點。同樣地僅使用一單一遮罩步驟界定此 接觸點。 圖13(d)中顯示一種接觸點結構,其中層1,2,3,4, 與5各有一導體連接至一共通基底區域。 最後在圖13(e)中顯示一接觸點從層3(或層N + 2)到層1 (或層N)。此處與圖13(a)不同的是有一單—開口穿過絕緣 材料。 . ^ 、 在形成結構1 3 ( a ) - ( e )時,垂直導體的電阻性很重要。 金屬、矽化物、及在原位摻雜的矽均可使用。被植入的梦 現今不被看好,因為把接觸點側壁上的矽摻雜很困難。 請注意在形成圖13(d)之接觸點時,首先有一開口被從 一上層蝕刻穿過數個較低層《在絕緣被蝕刻以暴露諸層之 邊緣以後,記憶體單元材料接著被等方向地蝕刻以暴露更 多的導體。依此方法,諸如多晶矽*CVD w等材料的等方 向沉積可被用來在纟導體上獲#一大表面豸圍以確保低接 觸電阻。 _ 雖然圖13(c)的接觸點使用相同原理’但因諸 ,僅有絕緣材料須被做等方向餘刻以暴露層i與層3導體9之Page 37 ^ 12556 1________ 5. Description of the invention (33), the conductor in layer N + 2 is shorter than the conductor in layer N, so that the structure made from layer N + 3 can extend downward and contact the two lower conductors . It is only necessary to define a single opening within the insulator to form this contact point and the opening is configured to pass through an oxide or other insulator used in the smoothing step. Another contact point is shown in Fig. 13 (c), where the conductors from layers 1, 3 and 5 are connected to contact a substrate area. The conductors in layers 2 and 4 are staggered here so as not to affect the contact points. Again, this contact point is defined using only a single masking step. A contact structure is shown in FIG. 13 (d), wherein each of the layers 1, 2, 3, 4, and 5 has a conductor connected to a common base region. Finally, a contact point is shown in FIG. 13 (e) from layer 3 (or layer N + 2) to layer 1 (or layer N). The difference here from Fig. 13 (a) is a single-opening through the insulating material. ^. When forming structures 1 3 (a)-(e), the resistivity of vertical conductors is important. Metals, silicides, and silicon doped in situ can be used. Implanted dreams are not favored today because it is difficult to dope the silicon on the contact sidewalls. Note that when forming the contact point of FIG. 13 (d), an opening is first etched from an upper layer through several lower layers. "After the insulation is etched to expose the edges of the layers, the memory cell material is then isotropically aligned. Etched to expose more conductors. In this way, isotropic deposition of materials such as polycrystalline silicon * CVD w can be used to obtain a large surface area on the plutonium conductor to ensure low contact resistance. _ Although the contact point of Fig. 13 (c) uses the same principle ', because of that, only the insulating material must be made in the same direction to expose the layer i and layer 3 conductor 9

^-42556 1^ -42556 1

邊緣。 需=罩〇步與:二(C。):示之技術被用來限制處理程序中所 數目從減少到㈣使用二者中的任-個技術均可將遮罩 、 單元:小形體尺寸 乂 i i:ϊ者’自我對齊讓記憶體單元的圖樣形體很小 _ 圖樣佈局時不需留不對齊容許寬放。這肽較小 ==記憶體單元範園減小,實際上較沒有自我對= 4 m Ϊ單元範圍還有第二個好處可進-步縮小單元: 每個遮罩層上幾何形體的高度重複性圖樣。 W本,月之s己憶體單元陣列的每一層内之幾何形狀非常簡 单.^僅是許多高度重複的、·很規則的緊密分隔的、直 長條平行導體線。光蝕刻技術中可利用到它們的簡單性和 規律丨生這樣所形成的較小形體尺寸與較佳解析度在任意 ,何形狀it &gt;兄下是不可能達到❼。譬如’若一(晶圓步進 器與£照明光源及透鏡和光阻劑)系統一般額定為X微米解析 度(譬如_0.^8微米),則本發明之簡單與高度規則形狀可讓 線與間隔运小於X微米。本發明可利用一個事實,也就是 沒有任意的幾何形狀;只有高度重複非常簡單的圖樣,這 在光學領域中為眾所熟知且在教科書中稱為“繞設光栅’’ 。精於本技術領域者可輕易明白如何利用繞設光柵圖樣的 優點達成較佳的解析度。 三維陣列結槿edge. Require = mask 0 steps and: two (C.): The technique shown is used to limit the number of processes in the process from reducing to ㈣ using any one of the two techniques can mask, unit: small size size 形ii: The person's self-alignment makes the pattern shape of the memory unit small _ The pattern layout does not need to be left out of alignment to allow for relaxation. This peptide is smaller == memory unit range is reduced, in fact it is less self-aligning = 4 m Ϊ unit range has a second benefit to further reduce the unit: the height of the geometry on each mask layer is repeated Sex pattern. In this case, the geometry of each layer of the memory cell array is very simple. It is just a lot of highly repetitive, very regular, closely spaced, straight long parallel conductor lines. The photolithography technology can be used for their simplicity and regularity. The smaller size and better resolution of the resulting shape are arbitrary, but it is impossible to achieve the shape. For example, 'If a (wafer stepper and illumination light source and lens and photoresist) system is generally rated at X micron resolution (such as 0. ^ 8 micron), the simple and highly regular shape of the present invention allows the line With spacing shipped less than X microns. The present invention can take advantage of the fact that there are no arbitrary geometries; only highly repeating very simple patterns are well known in the field of optics and are called "wrapping gratings" in textbooks. Proficient in the technical field The user can easily understand how to use the advantages of the raster pattern to achieve better resolution.

第39頁 Λ2556 ^ 五、發明說明(35) 暫時假設有一種具體實例具有六層記憶體單元柱狀體, 且所以具有七導體層的導體。若底部導體層(導體1)為東 西向配置,則導體3、導體5、及導體7也是東西向配置。 且導體2、導體4、及導體6為南北向配置。為了簡單起見 ,假設有一種具體實例,其中諸柱狀體不互抵或交錯,而 是彼此上下直接堆疊。圖8(a)中顯示六個此種柱狀體的單 一垂直堆疊。 圖8(a)中六個記憶體單元柱狀體之堆疊被顯示 為圖8(b)中的電路示意圖。請注意導體層1,3,5,7在示 意圖中彼此分隔開,但它們在實體結構(圖8(;a))中彼此上 下直接堆疊。同樣地,導體層2,4,6在圖8(a)中垂直堆 叠但在圖8(b)中彼此分隔開。 圖8 U)中有六個記憶體單元柱袱體:一個是導體2與導 體1交錯處,一個是導體3與導體2交錯處,…,且一個 導體7與導體6交錯虚。$此1 , +备胡丄 化些5己憶體早兀柱狀體在圖8(b)的 單元(包:一V:對:線顯在左下角處顯示-記憶體 體1之間。圖8(b)也顯示一呓怜體里 、導’、導 交錯處,另一單元位在導體二位在導體3與導體2 記情體簟开社狀魏认: 導體父錯處,依此類推。 八隐體早兀柱狀體的鄰接層分享一導體芦 刀享-輪入/輸出端子。在 9,所乂匕們也 於類似型態的端子之間:輸入端子趙’分享僅發生 導體層,•出端子與其他輪出他輪入端子分享- 體實例的優點是因為這表示每一:=:導體層。此種具 母導體層毫不含糊地為一輸Page 39 Λ2556 ^ V. Description of the Invention (35) For the time being, suppose that there is a concrete example of a columnar body with six memory cells, and therefore a conductor with seven conductor layers. If the bottom conductor layer (conductor 1) is arranged in the east-west direction, the conductor 3, the conductor 5, and the conductor 7 are also arranged in the east-west direction. The conductor 2, the conductor 4, and the conductor 6 are arranged in a north-south direction. For the sake of simplicity, suppose there is a specific example in which the columns do not offset or intersect, but are stacked directly on top of each other. A single vertical stack of six such columns is shown in Figure 8 (a). The stack of six memory cell columns in Fig. 8 (a) is shown as a schematic circuit diagram in Fig. 8 (b). Note that the conductor layers 1, 3, 5, 7 are separated from each other in the illustration, but they are directly stacked on top of each other in the solid structure (Fig. 8 (; a)). Similarly, the conductor layers 2, 4, 6 are vertically stacked in Fig. 8 (a) but separated from each other in Fig. 8 (b). In Figure 8 U), there are six memory cell pillars: one is where the conductor 2 and conductor 1 intersect, one is where the conductor 3 and conductor 2 intersect, ..., and one conductor 7 and conductor 6 are staggered. $ 此 1, + Be prepared for the 5 column of the 5th memory body and the early columnar body in Figure 8 (b) (package: one V: right: the line is displayed at the lower left corner-between memory 1. (b) It also shows that in the body, the conductor and the conductor are staggered, and the other unit is located at the conductor two at the conductor 3 and the conductor 2. It is recognized that the conductor parent is wrong, and so on. The adjacent layers of the eight hidden bodies of the early pillars share a conductive reed-reel-in / output terminal. In 9, the daggers are also between similar types of terminals: the input terminal Zhao 'sharing occurs only in the conductive layer , • Out terminal is shared with other in-out terminals. The advantage of the body example is that this means that each: =: conductor layer. Such a mother conductor layer is unambiguously a lose

第40頁 ^556 五、發明說明(36) 輸出f這樣就不會像輪入端子與輸出端子分享 -導體層般發生混雜,所以週邊電路就簡化了。輸入端子 電路與輸出端子接收器電路不必配置並多工於相同 導體上。 類^端子分享的好處之結果是記憶體單元内的導引元件 方向父互地成為陰極向i、然後陰極向下、然後陰極向上 、依此類推。要看此現象,假設導體層導體2是一輸出層 ,則柱狀體60與柱狀體61的陰極均連接呈導體2。 狀_的方向須為陰極向上,柱狀體61 續下去’若導體2是-輸出層’則導體3是―輸人層。柱狀 體61與柱狀體62的陽極均連接至導體3。所以柱 陰極向上。諸柱狀體的諸層須交替改變,陰極向上、陰極 向下、^、下、上、依此類推’-此種具體實例請見圖8 (b) 。這表示在製造期間,柱狀體夾層的各分層將以不同次序 沉f。在某些柱狀體層中,陽極材料分層在陰極材料分層 之前沉積’而在另一些柱狀體層中,陰極材料分層將先^ 沉積。所以在圖6(a)中踢示的諸層,在間隔的諸陣列層中 顯示為一個次序,而在其餘的諸層中為相反的次序。但請 記得在某些具體實例中不一定要交互變換堆疊材料。μ 記憶體單元之類似端子分享的好處之另一個結果是其使 導體層在僅為輸入端子和僅為輸出端子間交互變換。因為 連續的導體層配置為東西向、然後南北向、然後東西向〔 依此類推,這表示所有輸入導體將在相同方向(譬如東西 向)配置,而所有輸出導體也將在相同方向(譬如南北向)Page 40 ^ 556 V. Description of the invention (36) The output f will not be mixed like the conductor terminal and the output terminal like the conductor layer, so the peripheral circuit is simplified. The input terminal circuit and the output terminal receiver circuit need not be configured and multiplexed on the same conductor. As a result of the benefits of terminal-like sharing, the direction of the guiding element in the memory unit becomes cathode to i, then cathode down, then cathode up, and so on. To see this phenomenon, assuming that the conductor layer conductor 2 is an output layer, the cathodes of the columnar body 60 and the columnar body 61 are connected to form the conductor 2. The direction of the shape _ must be the cathode upwards, and the columnar body 61 continues. 'If the conductor 2 is an output layer', the conductor 3 is an input layer. The anodes of the columnar body 61 and the columnar body 62 are connected to the conductor 3. So the column cathode is up. The layers of the pillars must be changed alternately, cathode up, cathode down, ^, down, up, and so on '-for a specific example, see Figure 8 (b). This means that during manufacturing, the layers of the columnar sandwich will be deposited in a different order. In some columnar layers, the anode material layer is deposited before the cathode material is layered ', while in other columnar layer layers, the cathode material layer is first deposited. Therefore, the layers shown in Fig. 6 (a) are shown in an order among the spaced array layers and in the opposite order among the remaining layers. Remember, however, that it is not necessary to change the stack material interactively in some specific examples. Another consequence of the similar terminal-sharing benefits of the μ memory unit is that it allows the conductor layer to alternate between input-only terminals and output-only terminals. Because continuous conductor layers are configured east-west, then north-south, then east-west [and so on, this means that all input conductors will be configured in the same direction (such as east-west), and all output conductors will also be configured in the same direction (such as north-south). to)

第41頁 五、發明說明(37) 配置。戶斤以要設置輸入端子媒自在—起(譬如沿著 s己憶體陣列的西側邊緣)或要設置輸出端子接收器 其他地方(譬如沿著記憶體陣列的南側邊緣)將會特別路容在易 此對應於傳統記憶體設計的標準施做法:於 山 =電路67位在沿著陣列的西侧邊'緣’而輸出 路68位在沿著陣列的南側邊緣,如圖9(a)中所八 。° 傳統記憶體會將一半的輸人端子驅動器電 :f : 放置而另-半沿著西侧邊緣放置;這在東側邊緣 非常緊迫的時候經常如此做。同樣的距 著北侧邊緣放置;這在記憶體單元行j 體。 爾此裡刀割做法的傳統記憶 是;揮/性記憶體(不論是傳統以前技術的或 贅的〜端子驅動器電路有-較短且較不累 ^ ίΐΧ 解碼器”(咖仏―)電 明的、肉揮认發性'己憶體(不論是傳統以前技術的或是本發 ‘内之輸出端子接收器電路有一較短且較不累資的名 子叫行位址解碼器及行輸入/輸出,,(coluffln address 二whr and c〇luifln 1/〇)電路。在本發明說明討論記憶 單元墊外部陣列組織的本節中將使用此較短的名字。 會有可能把列解碼器電路及行解碼器與輸入/輸出電路 摺疊到記憶體陣列的下面。(這種可能是因為記憶體陣列Page 41 5. Description of the invention (37) Configuration. Households must set the input terminal media freely (for example, along the western edge of the memory array) or set the output terminal receiver elsewhere (for example, along the southern edge of the memory array). Yi Yi corresponds to the standard practice of traditional memory design: Yu Shan = circuit 67 is located along the western edge of the array and the output 68 is located along the southern edge of the array, as shown in Figure 9 (a) The eight. ° Traditional memory will put half of the input terminal driver: f: and place the other-half along the west edge; this is often done when the east edge is very tight. It is also placed at the same distance from the north edge; this is in the memory cell row j. Here the traditional memory of the cutting method is; flash memory (whether it is the traditional previous technology or redundant ~ the terminal driver circuit has-shorter and less tiring ^ ίΐΧ decoder "(Ca 仏 ―) The output circuit of the output terminal receiver circuit in the "reminiscence body" (whether it is the traditional previous technology or the current one) has a shorter and less expensive name called a line address decoder and a line input. / Output, (coluffln address two whr and coluifln 1 / 〇) circuit. This shorter name will be used in this section of the description of the invention to discuss the organization of the memory cell pad external arrays. It will be possible to combine column decoder circuits and The line decoder and input / output circuits are folded under the memory array. (This may be due to the memory array

42556 1 五、發明說明(38) 位於其下方的單晶美矻认L^_ 有的列解碼器電路;不接觸基底。)不會把所 :因為這樣摺叠會在路完全摺昼到陣列的下面 ,行解碼器與行輪入/仏山產生重疊。在一種具體實例中 面,但列&amp; ^ Μ 乂 輪出電路被摺疊到記憶體陣列的下 心中陣列的Γ。在另一種具 (與行電路益衝突的Λ的下面,且列解碼器的中央部分 路佈局在各角落m列的下方。這讓列電 翼片可和其他記憶體陣如圖9(c)中所示般。這些 多個)陣列緊密地放詈乂 一翼凸交錯’讓四個(或更 本技術#试去ί*知置在一起,如圖9(d)中所示般。精於 下方夕1、L P易地明瞭將解碼器的一部份摺疊在陣列 之方法可有許多其他變體。 括’本發明之現場可程式非揮發性記憶體包 -组織成許多較小的次陣列,而不做成-單 簡單66 ^。成1&quot;列有二個重要的好處:(1 )它們提供一種 次的方法給冗餘;⑴它們提高運作速度; (3)匕們降低運作耗電。用次陣列達成冗餘可非常直接。 掇? i終產品會是一具有(譬如)8ν位元的記憶體,則在鑄 &amp;上建構九個各包含Ν位元的次陣列是很簡單的事。九個 =陣列中的一個可能有缺陷,但只要跳過該有缺陷的次陣 ’该鑄模仍能被組態而當作可用的8N位元 將記憶體分割成次陣列也提高速度;這是因為;體較短 减低其電阻),且每個導體有較少個記憶體單元附接其上 (減低電容)。因為延遲正比於電阻和電容的乘積,所^將42556 1 V. Description of the invention (38) The single crystal underneath it recognizes some column decoder circuits; it does not touch the substrate. ) Will not put all: because this fold will completely break the road to the bottom of the array, the line decoder and line turn into / Laoshan overlap. In a specific example, the column &amp; ^ Μ 乂 wheel-out circuit is folded to Γ of the array in the center of the memory array. Under another Λ that conflicts with the row circuit, and the central part of the column decoder is arranged below the m column in each corner. This allows the column electrical fins and other memory arrays to be shown in Figure 9 (c) As shown in these. These multiple) arrays are closely packed with one wing convex staggered, so that four (or more technical techniques try it together), as shown in Figure 9 (d). Below 1. The LP easily understands that there can be many other variants of the method of folding a part of the decoder into the array. Including 'the field programmable non-volatile memory package of the present invention-organized into many smaller times Array, not made-single simple 66 ^. Into 1 &quot; lists two important benefits: (1) they provide a secondary method for redundancy; ⑴ they increase the speed of operation; (3) they reduce the cost of operation It is very straightforward to use a sub-array to achieve redundancy. 掇? I The final product will be a memory with (for example) 8 ν bits, so it is very easy to construct nine sub-arrays each containing N bits on the cast. Simple thing. Nine = one of the arrays may be defective, but just skip the defective subarray 'the mold 8N bits that can still be configured as usable. Splitting the memory into sub-arrays also increases speed; this is because; shorter bodies reduce their resistance), and each conductor has fewer memory cells attached to it Up (reduce capacitance). Because the delay is proportional to the product of resistance and capacitance,

第43頁 1^^ 五 '發明說明(39) 導體長度減半可使延遲變為四分之一。藉此,次陣列減少 延遲,也就是提高速度。- 、-欠陣列也提供較低耗電的運作。因為耗電的一個重要部 $是記憶體陣列中導體的電容性充電和放電,降低導體電 谷將減少電源消耗。導趙長度減半可將電容減半,這會將 電容性充電與放電電流減半。 -電释計.與選擇 “,本發明的一種具體實例中,記憶體陣列的列(亦稱為 ,字組線)為記憶體單元的輸入,而行(亦稱為“位元 線)為記憶體單元的輸出。一強迫功能被加諸記憶體單 广輸入(字組線)’且對一讀取作業而纟,記憶體單元輸出 (位元線)處的結果被感測。而對一寫入作業而言,另—強 迫功能被加諸記憶體單元輸出(藉此強迫單元的兩個端子) :本發明所使用的強追功能可為電㈣、電流源、波形產 生=(面阻抗或低阻抗)、充電封包、或其他驅動脈衝。 入糊Ϊ存取各個單獨的記憶體單^對讀取和寫 穿仍々⑽-電路路徑被建立,起自列線、 體…到行 '線。這個唯-需求的結果是不能同 線;這可由圖8⑴中看出。圖8(b)中的列 在導體層2,4,及6層上。L及7上。灯線(位元線)係 體的一簟^ ^ ^ ^ #纪得圖8表示記憶體單元柱狀 體的早一垂直堆疊;它是一置 &quot; 錯地區。圖8(b)中的圖干&amp; 7早一列與一皁一仃的實體交 分隔開來,# t ^ i - i、為了檢視方便起見顯示諸導體被 刀隔開來,但實際上它們彼此上下堆疊βPage 43 1 ^^ 5 'Explanation of the invention (39) Halving the length of the conductor can make the delay one quarter. As a result, the sub-array reduces latency, which means faster speed. -, -Under array also provides lower power consumption operation. Because an important part of power consumption is the capacitive charging and discharging of the conductors in the memory array, lowering the conductor valley will reduce power consumption. Halving the pilot length halves the capacitance, which halves the capacitive charging and discharging current. -Electroelectric meter. And selection ", in a specific example of the present invention, the columns (also known as word lines) of the memory array are inputs to the memory cells, and the rows (also called" bit lines ") are Output of the memory unit. A forcing function is added to the memory input (word line) 'and for a read operation, the result at the memory cell output (bit line) is sensed. For a writing operation, the other-force function is added to the memory unit output (by which the two terminals of the unit are forced): the strong chase function used in the present invention can be electricity, current source, waveform generation = (Area impedance or low impedance), charging packets, or other driving pulses. Access to each individual memory unit ^ pairs of read and write penetration still-the circuit path is established, starting from the column line, the body ... to the row 'line. The result of this only-demand is that it cannot be aligned; this can be seen in Figure 8 (a). The columns in Fig. 8 (b) are on the conductor layers 2, 4, and 6. L and 7. A light line (bit line) system is a ^ ^ ^ ^ ^ # Jide Figure 8 shows the vertical stacking of the memory cell column; it is a wrong place. Figure 8 (b) Figure 7 &amp; 7 The first column is separated from the solid by the other. # T ^ i-i. For the convenience of inspection, it is shown that the conductors are separated by a knife, but the actual Stack them on top of each other β

五、發明說明(40) 假設所有的字組線同時被驅動;例如假設導體層丨,3, 5,及7被強迫至一高電壓。沒有不含糊的電路路徑到電路 輸出(在位元線上,即導體層2,4,及6),所以記憶體單 兀的内容無法決定。譬#,假設感測電路判定導體2是在 什麼?這表示或則是導體1與導體2間之記 間之記憶趙單元被程式化至—低阻抗狀態。這兩種可導能的 任一種建立一從冑電麼源(字组線)至導體2±之 =路=但不幸的是無法判定這些可能中實際上哪線的個 為真·次有-條到達導體2的唯一電路路徑 兩條位元線一導體4與導體6 —也是一樣。 障况對另 :以不應該同時驅動所有字組 '線;否 路路徑到I己憶體陣職出…種_直接解決方案電 早一字組線’不驅動所有其他字組線。 二 驅動 中。一個列解踩哭7 η,理祖L ^ 員不於圖1 0 ( a) 致能。且四锢層選擇信號選擇在被,線疋否要被 字組線應被致能。&quot;一個以外導體層 選擇狀態(譬如低電壓),且諸層選擇作號均在不 選擇狀態(譬如高電壓)^如此,僅有—二中僅有一個是在 其他三個不被驅動。 予組線被驅動,而 很明顯地,圖10(a)中的裝置建立— -路徑。假設導體5上的字組線被選 f陣列輪出的唯 判定導贈4样力宝φ腋 α ^ ^ 1假設感測電路 :』疋導體4係在南電壓。只有兩種途徑 壓:其-是透過位於導體3與導體4之門#體4羞為南電 之間的記憶體單元71 , 425561V. Description of the invention (40) Suppose that all block lines are driven at the same time; for example, suppose that the conductor layers, 3, 5, and 7 are forced to a high voltage. There is no unambiguous circuit path to the circuit output (on the bit lines, ie, conductor layers 2, 4, and 6), so the contents of the memory unit cannot be determined. For example #, suppose the sensing circuit determines what conductor 2 is? This means that the memory of the conductor between conductor 1 and conductor 2 is programmed to a low impedance state. Either of these two conductable energies is established, from the source of the galvanic source (block line) to the conductor 2 ± of = road = unfortunately, it is not possible to determine which of these possibilities is actually true. The only circuit path to conductor 2 is the same for two bit lines, conductor 4 and conductor 6. Obstacles to the other: should n’t drive all the word lines at the same time; No, the path goes to the I-memory array ... Kind of _direct solution electricity Early word line does not drive all other word lines. Two driving. A train solution cries 7 η, and Li Zu members are not enabled as shown in Fig. 10 (a). And the four-layer selection signal is being selected. Whether the line is to be blocked or not. The block line should be enabled. &quot; An outer conductor layer is selected (for example, low voltage), and the layers are selected as non-selected (for example, high voltage) ^ So, only-only one of the two is not driven in the other three. The pre-set line is driven, and it is clear that the device in FIG. 10 (a) establishes a path. Assume that the word line on conductor 5 is selected by the f array. It is judged that 4 samples of Lippo φ axillary α ^ ^ 1 are assumed to be sensing circuits: 疋 疋 Conductor 4 is at south voltage. There are only two ways to press: its-is through the memory unit 71, 425561 between the gate 3 of the conductor 3 and the conductor 4 of the body 4

五、發明說明(41) ::是透過位於導體4與導體5之間的記憶體單元72 =5被驅動而導體3不被驅動,故唯一存在的電路路搜^ Ϊ 的道字組線透過位於導體5與導體4之間的記憶趙 ΐ :)體4上的位元線。若感測導體4為高電壓則 - ί ;ι趙早兀被程式化為一邏輯° ;否則此記憶體單元為 個t 二7的裝置成本甚高;其記憶體陣列*的每〜 直層(馨如16層的若在陣列中有非常多個垂 八個位元線導狀體需#九個字組線導體層和V. Description of the invention (41) :: is transmitted through the memory unit 72 = 5 between the conductor 4 and the conductor 5 is driven and the conductor 3 is not driven, so the only existing circuit circuit search ^ 的 word block line through Memory of Zhao Zhao between conductors 5 and 4 :) Bit lines on body 4. If the sensing conductor 4 is high voltage, then til Zhao Zaowu is stylized as a logic °; otherwise, the cost of this memory unit to t 2 7 is very high; each of the memory array * 's straight layers (Xing such as 16 layers, if there are a lot of vertical eight bit line conductors in the array, it is necessary to # 9 word line conductor layers and

W 這會減損铸模效率耗費許多碎日日£域。 '然而,我們觀察到提高且密度降低。 兩條路徑:其一泝()中的含糊源起於每個位元線有 -源自緊接於其:之導2:::=導體層上的字組線’另 我們僅須確保兩個2廣士的子組線。$了避免含糊, 字組線區隔成組:‘‘ ϊ徑中僅有一個被致能。只要把諸 成這種確保。導第一組”與“第二組”,就很容易達 …等導體層上的字細'/體5、導體9、導體13、導體17、 11、導體15、…等敗線在第—組内,導體3、導體7、導體 觀察是若同時驅動證體層上的字組線在第二組内。重要的 有其他字組線被黯I ~組内所有字組線,只要第二組内沒 。 ’就絕對安全,反之亦然(圖10(b)) 圖1{Hb)中的電路 列中記憶體單元之董包括兩個切換電晶體75與76,與陣 &quot; 垂直層的數目無關。第一組字元線有-W This can detract from the efficiency of the mold and cost many days. 'However, we observed an increase and a decrease in density. Two paths: the ambiguity in its traceback () originates from each bit line with-from the line immediately following it: Zhi 2: 2 :: = the word line on the conductor layer 'and we only have to ensure two A 2 Guang Shi sub-group line. To avoid ambiguity, the word lines are separated into groups: ‘only one of the paths is enabled. Just put it into this assurance. "Guide the first group" and "the second group", it's very easy to reach ... The lines on the conductor layer are '/ body 5, conductor 9, conductor 13, conductor 17, 11, conductor 15, ... and so on. In the group, conductor 3, conductor 7, and conductor observation are to drive the block lines on the body layer in the second group at the same time. It is important that other block lines are obscured ~ all block lines in the group, as long as the second group "It is absolutely safe, and vice versa (Figure 10 (b)). The director of the memory cell in the circuit column in Figure 1 {Hb) includes two switching transistors 75 and 76, and the array of vertical layers" The number is irrelevant. The first set of character lines has-

第46頁 /1 2 5 F 6 五、發明說明(42) 切換^•晶體’第二組字元線有另—切換電晶體。類似地, 有兩個組選擇^號判斷兩個字組線組中哪一組被驅動。晶 片中記憶體單元之垂直層數越多,囷1〇(b)比起圖1〇(3)節 省的越多。 ,設第-個組選擇信號係在選擇狀態(高電壓)且第二個 且選擇信號係在不選擇狀態。則在導體丨、導體5、導體g 二:層”字組線被驅動’而導體3、導體?、導體u、 奶上的位元線:此路徑是從導體】透過(唯路徑到導 之間的記憶體單元到達導體2層上的:於導體1與導體2 過介於導體3與導體2之間的記憶體單元t上。從導體3透 、條可能路徑被阻斷,因為在第二字蚯達導體2上的另 破驅動^ ’線組内的導體3不 1路設計:行解碼鱼 、兩纟且字組線結構(圖10(b))的影響是 破歹擇的記憶體單元於其上…二母如個上元線會有-N)個導體層,則每個被選擇的行可同時諸^位70線有 元的纪憶體。本發明的一種具體實例確/'或寫入⑻位 ,行中讀伽或寫入)N位元。其他被選 夕:器電4 ’其會減少同時存取之記憶體單元的數广 圖11顯示另一種具體實例。每個位元線 換電晶體’諸如電晶體77與78。若此行被、身的 作=連接-位元線至—雙向輸入/輪出匯流排。在讀取-業』間’該位元線驅動該輸入/輸出匯流排。但在寫入Page 46/1 2 5 F 6 V. Description of the Invention (42) Switching ^ • Crystals ”The second group of word lines has another—switching transistors. Similarly, there are two group selection ^ signs to determine which of the two block line groups is driven. The more vertical layers of memory cells in the wafer, the more 囷 10 (b) saves compared to Fig. 10 (3). Let the first group selection signal be in the selection state (high voltage) and the second group selection signal be in the non-selection state. Then on conductor 丨, conductor 5, conductor g 2: layer "block line is driven 'and conductor 3, conductor ?, conductor u, bit line on the milk: this path is from the conductor] through (only the path to the conductor The memory unit between the two reaches the conductor 2 layer: on the memory unit t between the conductor 1 and the conductor 2 between the conductor 3 and the conductor 2. The path from the conductor 3 may be blocked, because Another broken drive on the two-character conductor 2 ^ 'Conductor 3 in the line group is not a 1-way design: the effect of decoding lines, two lines, and the structure of the line group (Figure 10 (b)) is the memory of the broken selection The body unit is on it ... If the second mother line has -N) conductor layers, each selected row can simultaneously have 70 lines of elementary memory. A specific example of the present invention does / 'Or write bit, read or write in line) N bits. Other selected evening: device 4' It will reduce the number of memory cells accessed simultaneously Figure 11 shows another specific example. Each bit line is replaced with a transistor such as transistors 77 and 78. If this line is used, the connection is made as = connection-bit line to-bidirectional input / round out bus. After reading- Inter '' the bit line driver of the input / output bus. However, in the writing

^h_A2_§_5_6J 五、發明說明(43) 作業期間,該輸入/輸出匯流排驅動該位元線。若有(N )層 位兀線’則會有(N)個切換電晶體和(N)個輪入/輸出匯流 挪導體。輸入/輸出匯流排導體連接至週邊電路,包括一 感測放大器(讀取兩)和一寫入驅動器(寫入用)。 此行選擇電路的成本遠較圖〗0(b)中所示之列選擇電路 $成本南《因為每個位元線須有一切換電晶體,若有越來 多的s己憶體單元層垂直堆疊起來’則有越來越多的位元 綠和越來越多的切換電晶體。 以行選擇電路耗費的矽晶區域較列選擇電路多,尤其 =二有报多記憶體單元層垂直堆疊時為然。這就是為什麼 乂希望將行選擇電路摺疊到記憶體陣列下方而將列選擇 。$视為次要的原因’如圖9(c)中所示般:行電路遠較大 下&amp;實上,合理的設計決定會將行電路摺疊到記憶體陣列 利Μ,而甚至完全不設法把列選擇電路摺疊到下面。優點 益主要來自行選擇電路的摺疊。 I充電記憶體陳列 在 有字 倍, 供應 許多情況下,很適合在開始讀取或寫入作業之前將所 '及線胃充電i -中間位準—譬如供應電壓的〇. 5 並將所有位7G線“預充電,,至一中間電壓位準一譬如 電壓的0. 4倍。 讀取/寫入遇邊雷玖 ,發明的幾種具體實例使用_狀態改該 對應於不同的阻抗值。譬如,介電質破 絲有兩個狀態:非常低阻抗與非常高阻抗,其J抗之差^ h_A2_§_5_6J V. Description of the Invention (43) During operation, the input / output bus drives the bit line. If there are (N) -level line wires, there will be (N) switching transistors and (N) wheel-in / output-bus conductors. The input / output bus conductors are connected to peripheral circuits and include a sense amplifier (read two) and a write driver (for write). The cost of the selection circuit in this row is much higher than the cost of the selection circuit shown in Figure 0 (b). "Because each bit line must have a switching transistor, if there are more s memory cells, the layer is vertical. Stacked up 'there are more and more bit greens and more and more switching transistors. The row selection circuit consumes more silicon area than the column selection circuit, especially when multiple memory cell layers are stacked vertically. That's why I want to fold the row selection circuit under the memory array and select the column. $ Is regarded as a secondary cause 'as shown in Figure 9 (c): the row circuit is much larger &amp; in fact, a reasonable design decision will fold the row circuit to the memory array, but not even at all Try to collapse the column selection circuit below. Benefits Benefits mainly come from the folding of the row selection circuit. I charge memory is displayed in a word size, in many cases, it is very suitable to charge the stomach and the stomach before starting a read or write operation i-intermediate level-such as the supply voltage of 0.5 and all bits The 7G line is "pre-charged, to an intermediate voltage level, such as 0.4 times the voltage. Read / write edge encounters, several specific examples of the invention use the _state change to correspond to different impedance values. For example, there are two states of dielectric broken wire: very low impedance and very high impedance, the difference in J reactance

第48頁 42556 1Page 48 42556 1

五、發明說明(44) 異達十的數次方。像這類具體實例可使用“電流模式讀 取”和“電壓模式或電流·模式寫入”,此將於下文中解 釋。 當讀取此一記憶體單元時,一電流源可被選擇當作強返 功能來驅動字組線。若記憶體單元被程式化(介電質被破 壞從而有低阻抗),則此驅動電流將通過記憶體單元到{立 元線上。被選擇的位元線將被切換到(雙向的)輸入/輸出 線上,且驅動電流將被送到該輸入/輸出線上。一連接至 該輸入/輸出線的電流感測放大器檢測該驅動電流是否被 送到該輪入/輸出線上。若是,則該被讀取的單元包含一 邏輯1 ” ;若否,則該單元包含一 “邏輯0 ” 。 電流模式讀取的主要優點是速度:藉著強迫及感測電流 (而非電壓),可免除將記憶體陣冽申的高電容性字組線與 位元線充電與放電之需要,所以字組線與位元線不會在大 電壓擺幅間搖擺’這可加速讀取作業。所以,在本發明的 許多具體實例中偏好電流模式讀取。 在寫入記憶體單元的一種具體實例中,一電壓源可被選 擇做為強迫功能來驅動字組線。此外,雙向輸入/輸出匯 流排可用另一電壓源來驅動。輸入/輸出匯流排(藉行選擇 切換電晶體)連接至被選擇之行内的位元線,所以被選擇 的記憶體單元(位在被選擇的字組線與被選擇的位元線之 交錯地帶)被兩個電壓源驅動:一個在字組線上,另一個 在輸入/輸出匯流排上。這兩個電壓源間之大電壓差異將 被直接加在被選擇之記憶單元的兩端,達成一電壓模式V. Description of the invention (44) Powers up to ten. Specific examples like this can use "current mode read" and "voltage mode or current · mode write", which will be explained later. When reading a memory cell, a current source can be selected as the strong return function to drive the block line. If the memory cell is programmed (the dielectric is broken and has a low impedance), this drive current will pass through the memory cell to the {LEV line. The selected bit line will be switched to the (bidirectional) input / output line, and the drive current will be sent to this input / output line. A current sense amplifier connected to the input / output line detects whether the driving current is sent to the wheel input / output line. If yes, then the unit being read contains a logic 1 "; if not, the unit contains a" logic 0 ". The main advantage of current mode reading is speed: by forcing and sensing current (not voltage) , Can eliminate the need to charge and discharge the high-capacitance word line and bit line of the memory array, so the word line and bit line will not swing between large voltage swings. This can speed up reading operations. Therefore, current mode reading is preferred in many specific examples of the present invention. In a specific example of writing to a memory cell, a voltage source may be selected as a forcing function to drive the block line. In addition, bidirectional input / The output bus can be driven by another voltage source. The input / output bus (borrow selection switching transistor) is connected to the bit line in the selected row, so the selected memory cell (located in the selected block) The intersection of the line and the selected bit line is driven by two voltage sources: one on the block line and the other on the input / output bus. The large voltage difference between the two voltage sources will be directly added to Both ends of the selected memory cell achieve a voltage mode

第49頁 五、發明說明(45) (字組線與位元線上的大電壓擺幅)寫入β 雖然電壓模式寫入因為須對高電容性字組線與位元線充 電和放電而較慢,但在本發明的某些具體實例中仍偏好此 電壓模式寫入。如果必要的話,電壓模式寫入可提供非常 高的電流通過記憶體單元,這在諸如非晶半導體反保險絲 等狀態改變元件的許多具體實例中甚為有利。在電壓模式 寫入的某些具體實例中,會希望最好能限制最大電流為某 一值。限制最大電流的—種可能好處是減少沿著陣列之導 體的電流乘電阻(IR)之電壓下降影響’以確保一致的程式 化能量傳送給每個記憶體單元,而不受單元在陣列内位置 的影響。一致的程式化能量會很重要,因為某些狀態改變 元件材料的特性可能對程式化能量很敏感^ 在某些具體實例中,將狀態改.變元件程式化所需的電壓 可能超過週邊電晶體的電壓能力。這在電晶體因小尺寸需 求而被縮小(譬如小於〇. 2微米的通道長度)時尤其明顯。 在這些情況下,週邊電路可被安排使得在寫入週期期間, 列解碼器從一 + V伏特電源操作,而行解碎器與行輸入/輪 出電路及寫入資料驅動器從一 -V伏特電源操作。此種安排 將一 2xV伏特的電壓差加在被寫入的記憶體單元兩端 (( + V)-(-V)=2xV),而對任一電晶體的兩端最多為v伏特。 上文中描述了一種可容許以極高密度陣列製造之垂直堆 疊的非揮發性記憶體。Page 49 V. Description of the invention (45) (Large voltage swing on block lines and bit lines) Write β Although voltage mode writes are more complicated because of the need to charge and discharge high-capacity word lines and bit lines Slow, but this voltage mode write is still preferred in some specific examples of the invention. If necessary, voltage mode writing can provide very high currents through the memory cells, which is advantageous in many specific examples of state changing elements such as amorphous semiconductor anti-fuse. In some specific examples of voltage mode writing, it may be desirable to limit the maximum current to a certain value. One possible benefit of limiting the maximum current is to reduce the effect of the current along the array's conductor multiplied by the voltage drop of the resistor (IR) to ensure a consistent stylized energy transfer to each memory cell, regardless of the cell's location within the array Impact. Consistent stylized energy will be important, because the properties of some state-changing component materials may be sensitive to the stylized energy ^ In some specific examples, the state is changed. The voltage required to program the variable element may exceed the surrounding transistor Voltage capability. This is especially noticeable when transistors are being reduced due to small size requirements (such as channel lengths less than 0.2 microns). In these cases, the peripheral circuits can be arranged such that during the write cycle, the column decoder operates from a + V volt power supply, while the row shredder and row input / roll out circuits and write data driver from a -V volt Power operation. This arrangement adds a voltage difference of 2xV volts to both ends of the memory cell to be written ((+ V)-(-V) = 2xV), and at most two volts across any transistor. The foregoing describes a non-volatile memory that allows vertical stacking in very high density arrays.

第50頁Page 50

Claims (1)

42556 1 —^^,丨_一 六、申請專利範圍 !· 一種記憶體單元,包括: 一導引元件,用來提供增強的電流在一方向流經該 導引元件:以及 一狀態改變元件,用來保持一被程式化的狀態,該 狀態改變元件串聯於該導引元件使得該導引元件與該狀態 改變元件提供一二端子單元; 其中該導引元件與該狀態改變元件彼此垂直對齊。 2.如申請專利範圍第1項之單元,其中該導引元件由多 晶石夕製造。 元,其中該多晶矽被摻雜 元,其 -二極體 元,其 源極區 元,其 源極區 元,其 極體。 元,並 中該導引元件為一 0 中該導引元件為一 域或汲極區域。 中該導引元件為一 域或汲極區域。 中該導引元件為一 中該導引元件為一 元,其令該導引元件為 3. 如申請專利範圍第2項之單 以形成一二極體。 4. 如申請專利範圍第1項之單 金屬—半導體肖特基(Schottky) 5. 如申請專利範圍第丨項之單 接合場效電晶體,其閘極連接至 6·如申請專利範圍第1項之單 場效電晶體,其絕緣閘極連接至 7·如申請專利範圍第1項之單 形成於非晶半導體内之PN接合二 8. 如申請專利範圍第1項之單 齊納(Zener)二桎體。 9. 如申請專利範圍第丨項之單 突崩二極體。 10. 如申請專利範圍第丨項之單 元’其中該導引元件為一42556 1 — ^^, 丨 _16. Patent application scope! · A memory unit includes: a guiding element for providing enhanced current flowing through the guiding element in one direction: and a state changing element, It is used to maintain a programmed state. The state changing element is connected in series to the guiding element so that the guiding element and the state changing element provide a two-terminal unit; wherein the guiding element and the state changing element are vertically aligned with each other. 2. The unit according to item 1 of the patent application scope, wherein the guide element is made of polycrystalline stone. Element, where the polycrystalline silicon is doped, its -diode element, its source region element, its source region element, its polar body. The guiding element is a field or the drain region. The guide element is a domain or a drain region. The guide element is a unit, and the guide element is a unit, which makes the guide unit be 3. As in the second item of the scope of patent application, a diode is formed. 4. For the single metal-semiconductor Schottky (item 1 of the scope of patent application) 5. For the single-junction field effect transistor (item 丨 of the scope of patent application), its gate is connected to 6. • If the scope of patent application 1 Single field-effect transistor with its gate connected to 7 · If the PN junction of the first patent application is formed in the amorphous semiconductor II. 8. If the single zener of the patent application ) Two carcasses. 9. As a single item in the scope of patent application, burst diode. 10. As for the unit of the scope of application for patent item 丨 wherein the guide element is a 第51頁 42556 1 六、申請專利範圍 穿隧二極體。 11.如申請專利範圍第1項之單元,其中該導引元件為一 四層二極體(SCR)。 1 2.如申請專利範圍第1項之單元,其中該狀態改變元件 為一反保險絲。 1 3.如申請專利範圍第1 2項之單元,其中該反保險絲由 多晶矽形成。 1 4.如申請專利範圍第1 2項之單元,其中該反保險絲包 括二氧化矽。 其中該狀態改變元件 其中該狀態改變元件 其中該狀態改變元件 其中該狀態改變元件 其中該狀態改變元件 其中該狀態改變元件 其中該狀態改變元件 1 5.如申請專利範圍第1項之單元 為一介電質破壞反保險絲。 1 6.如申請專利範圍第1項之單元 為一多晶半導體反保險絲。 1 7.如申請專利範圍第1項之單元 為一非晶半導體反保險絲。 1 8.如申請專利範圍第1項之單元 為一金屬白熱絲電子移動保險絲□ 1 9.如申請專利範圍第1項之單元 為一多晶矽電阻保險絲。 2 0.如申請專利範圍第1項之單元 採角陷阱誘發磁滯。 21, 如申請專利範圍第1項之單元 採用強介電質電容。 其中該狀態改變元件 22. 如申請專利範圍第1項之單元Page 51 42556 1 6. Scope of patent application Tunneling diode. 11. The unit of claim 1 in which the guiding element is a four-layer diode (SCR). 1 2. The unit according to item 1 of the patent application scope, wherein the state changing element is an anti-fuse. 1 3. The unit according to item 12 of the patent application scope, wherein the anti-fuse is formed of polycrystalline silicon. 14. The unit according to item 12 of the patent application scope, wherein the anti-fuse includes silicon dioxide. Wherein the state changing element, which state changing element, which state changing element, which state changing element, which state changing element, which state changing element 1 Electricity destroys anti-fuse. 16. The unit of item 1 in the scope of patent application is a polycrystalline semiconductor anti-fuse. 1 7. If the unit in the first item of the patent application scope is an amorphous semiconductor anti-fuse. 1 8. If the unit in the scope of the patent application is item 1 is a metallic incandescent wire electronic mobile fuse □ 1 9. If the unit in the scope of the patent application is item 1 is a polycrystalline silicon resistance fuse. 2 0. The unit of item 1 in the scope of the patent application. The angle trap induces hysteresis. 21. If the unit in the first item of the patent application is a ferroelectric capacitor. Among them, the state changing element 22. As the unit of the scope of patent application No. 1 第52頁 六'申請專利範圍 採用霍耳(Hail )效應裝置。 2 3 ·如申請專利範圍第1項之單元,其中該導引元件包括 一二極體且該狀態改變元件包括一反保險絲,且其中該二 極體可承載足以改變該反保險絲之狀態的電流。 24.如申請專利範圍第1項之單元,其中該導引元件包括 一再結晶半導體。 2 5.如申請專利範圍第1項之單元,其中該導引元件與該 狀態改變元件包括非晶矽。 2 6.如申請專利範圍第1項之單元,其中該單元之二端子 中的一個端子連接至一字組線。 2 7,如申請專利範圍第26項之單元,其中該單元之二端 子中的另一個端子連接至一位元線。 2 8. —種記憶體單元,包括:- 一柱狀體,該柱狀體具有大體呈矩形的橫截面,且 在其一端有一在一方向更易導通電流之導引元件,在柱狀 體的另一端有一狀態改變元件,該狀態改變元件係用來記 錄一狀態。 2 9.如申請專利範圍第2 8項之記憶體單元,其中該導引 元件包括一二極體。 3 0.如申請專利範圍第2 9項之記憶體單元,其中該二極 體包括多晶石夕。 3 1.如申請專利範圍第28項之記憶體單元,其中該狀態 改變元件包括一介電質破壞反保險絲。 3 2.如申請專利範圍第3 1項之記憶體單元,其中該反保Page 52 6 'Patent Application Scope Uses the Hail effect device. 2 3 · If the unit of the scope of patent application is item 1, wherein the guiding element includes a diode and the state changing element includes an anti-fuse, and wherein the diode can carry a current sufficient to change the state of the anti-fuse . 24. The unit of claim 1 wherein the guiding element comprises a recrystallized semiconductor. 2 5. The unit according to item 1 of the patent application scope, wherein the guiding element and the state changing element include amorphous silicon. 2 6. The unit according to item 1 of the patent application scope, wherein one of the two terminals of the unit is connected to a block line. 27. If the unit under the scope of the patent application is No. 26, the other terminal of the two terminals of the unit is connected to a bit line. 2 8. A memory unit comprising:-a columnar body having a generally rectangular cross-section, and at one end of the columnar body there is a guiding element that is more conductive in one direction, and the columnar body The other end has a state changing element for recording a state. 2 9. The memory unit according to item 28 of the patent application scope, wherein the guiding element comprises a diode. 30. The memory cell according to item 29 of the patent application scope, wherein the diode includes polycrystalline silicon. 3 1. The memory unit according to item 28 of the patent application scope, wherein the state changing element includes a dielectric breakdown anti-fuse. 3 2. The memory unit according to item 31 of the patent application scope, wherein the counter-insurance 第53頁 六、申請專利範圍 險絲包括一包夾在兩層多晶石夕之間的二氧化石夕層。 3 3.如申請專利範圍第2 8項之記憶體單元,具有一與該 導引元件接觸之第一導體,該第一導體之寬度約等於該矩 形橫載面的一邊。 3 4.如申請專利範圍第33項之記憶體單元,具有一與該 狀態改變元件接觸之第二導體,該第二導體之寬度約等於 該矩形橫截面的另一邊。 3 5. —種記憶體陣列,包括: 許多個分隔開來平行且大致共平面之第一導體; 許多個分隔開來平行且大致共平面之第二導體,該 等第二導體配置在該等第一導體的大致垂直上方且與之分 隔開來,該等第一導體與該等第二導體彼此大致直交;及 許多個第一記憶體單元,每個單元配置在該等第一 導體中的一個與該等第二導體中的一個之間且位於第一導 體之垂直投影與第二導體交錯處,該等單元垂直對齊於諸 導體中的至少一個導體。 36. 如申請專利範圍第35項之陣列,其中該等單元與第 一導體和第二導體均對齊。 37. 如申請專利範圍第35項之陣列,其中該等單元各包 括一導引元件和一狀態改變元件。 3 8. —種記憶體陣列,包括: 許多個分隔開來平行且大致共平面之第一導體; 許多個分隔開來平行且大致共平面之第二導體,該 等第二導體配置在該等第一導體的大致垂直上方且與之分Page 53 6. Scope of patent application The fuse consists of a layer of dioxide of dioxide sandwiched between two layers of polycrystalline stone. 3 3. The memory unit according to item 28 of the patent application scope has a first conductor in contact with the guiding element, and the width of the first conductor is approximately equal to one side of the rectangular cross-section. 3 4. If the memory unit of claim 33 has a second conductor in contact with the state changing element, the width of the second conductor is approximately equal to the other side of the rectangular cross section. 3 5. A memory array comprising: a plurality of first conductors spaced apart in parallel and approximately coplanar; a plurality of second conductors spaced apart in parallel and approximately coplanar, the second conductors are arranged in The first conductors are substantially vertically above and separated from the first conductors, the first conductors and the second conductors are substantially orthogonal to each other; and a plurality of first memory cells, each of which is disposed on the first conductors One of the conductors and one of the second conductors are located at the intersection of the vertical projection of the first conductor and the second conductor, and the units are vertically aligned with at least one of the conductors. 36. For an array in the scope of patent application item 35, wherein the cells are aligned with the first conductor and the second conductor. 37. In the case of an array in the scope of patent application No. 35, each of these units includes a guide element and a state change element. 3 8. A memory array comprising: a plurality of first conductors spaced apart to be parallel and substantially coplanar; a plurality of second conductors spaced apart to be parallel and substantially coplanar, the second conductors being arranged at The first conductors are substantially vertically above and separate from 第54頁 Λ2556 1_ 六、申請專利範圍 隔開來,該等第一導體與該等第二導體彼此大致直交; 許多個第一記憶體單元,每個單元配置在該等第一 導體中的一個與該等第二導體中的一個之間且位於第一導 體之垂直投影與第二導體交錯處; 許多個分隔開來平行且大致共平面之第三導體,該 等第三導體配置在該等第二導體的大致垂直上方且與之分 隔開來,該等第三導體配置的方向與該等第一導體相同; 以及 許多個第二記憶體單元,每個單元配置在該等第二 導體中的一個與該等第三導體中的一個之間且位於第二導 體之垂直投影與第三導體交錯處。 3 9.如申請專利範圍第3 8項之陣列,其中該等第一單元 與該等第二單元彼此垂直對齊。. 40. 如申請專利範圍第38項之陣列,其中該等第一單元 與該等第二單元彼此交錯。 41. 如申請專利範圍第3 8項之陣列,其中該等第一單元 與該等第二單元中的每個單元包括一導引元件與一狀態改 變元件。 4 2.如申請專利範圍第41項之陣列,其中該等第一與第 二單元之導引元件連接至該等第二導體。 4 3.如申請專利範圍第4 1項之陣列,其中該等第一與第 二單元之狀態改變元件連接至該等第二導體。 44.如申請專利範圍第38項之陣列,其中該等第一與第 二單元有大致呈矩形的橫截面。Page 54 Λ2556 1_ 6. The scope of the patent application is separated, the first conductors and the second conductors are substantially orthogonal to each other; many first memory cells, each of which is arranged in one of the first conductors And one of the second conductors and located at the intersection of the vertical projection of the first conductor and the second conductor; a plurality of third conductors spaced apart to be parallel and substantially coplanar, and the third conductors are arranged in the When the second conductor is substantially vertically above and separated from it, the third conductors are arranged in the same direction as the first conductors; and a plurality of second memory cells, each of which is disposed on the second conductors. One of the conductors and one of the third conductors are located at the intersection of the vertical projection of the second conductor and the third conductor. 39. The array of claim 38, wherein the first unit and the second unit are vertically aligned with each other. 40. For the array in the 38th area of the patent application, wherein the first unit and the second unit are staggered with each other. 41. In the case of the array in the 38th aspect of the patent application, each of the first unit and the second unit includes a guide element and a state change element. 4 2. The array of claim 41, wherein the guide elements of the first and second units are connected to the second conductors. 4 3. The array according to item 41 of the scope of patent application, wherein the state changing elements of the first and second units are connected to the second conductors. 44. The array of claim 38, wherein the first and second units have a generally rectangular cross section. 第55頁 425561 六、申請專利範圍 45.如申請專利範圍第38項之陣列,其中該等第一與第 二單元包括多晶矽與二氧化矽。 4 6.如申請專利範圍第38項之陣列,其中該等第一與第 二單元包括多晶矽。 4 7.如申請專利範圍第3 8項之陣列,其中該陣列在一矽 基底上製造。 4 8.如申請專利範圍第47項之陣列,包括一第一接觸點 從該等第二導體中的一個延伸到基底内的一第一區域。 4 9.如申請專利範圍第48項之陣列,包括一第二接觸點 從該等第一導體中的一個延伸到基底内的一第二區域。 5 0.如申請專利範圍第38項之陣列,其中該等第一與第 二導體包括一高嫁點金屬。 5 1,如申請專利範圍第5 0項之陣列,其中該高熔點金屬 為鶴。 5 2.如申請專利範圍第38項之陣列,其中該等第一與第 二導體為一矽化物。 5 3. —種記憶體陣列,包括: 許多個在諸層1,2,3,4…上的導體,其沖諸層平 行且分隔開來,在1,3…等奇數層内的導體朝第一方向配 置,在2,4…等偶數層内的導體朝一大致與第一方向垂直 的第二方向配置,及 許多個各具有一輸入端子及一輸出端子的記憶體單 元,該等單元配置在各個層1,2,3,4…等内的導體之間Page 55 425561 6. Scope of patent application 45. The array of the scope of patent application item 38, wherein the first and second units include polycrystalline silicon and silicon dioxide. 4 6. The array of claim 38, wherein the first and second units include polycrystalline silicon. 47. The array of claim 38, wherein the array is fabricated on a silicon substrate. 4 8. The array of claim 47, including a first contact point, extending from one of the second conductors to a first area within the substrate. 49. The array of claim 48, including a second contact point, extending from one of the first conductors to a second area within the substrate. 50. The array of claim 38, wherein the first and second conductors include a high-marry point metal. 51. The array according to item 50 of the scope of patent application, wherein the high melting point metal is a crane. 5 2. The array of claim 38, wherein the first and second conductors are a silicide. 5 3. A kind of memory array, including: a number of conductors on the layers 1, 2, 3, 4 ..., which are parallel and separated from each other, and the conductors in the odd layers such as 1, 3 ... It is arranged in the first direction, and the conductors in the even layers such as 2, 4, ... are arranged in a second direction substantially perpendicular to the first direction, and a plurality of memory units each having an input terminal and an output terminal. Arranged between conductors in each layer 1, 2, 3, 4, etc. 第56頁 六、申請專利範圍 其中諸單元的輸入 而諸單元的輸出端 其中諸單元的輸出 而諸單元的輸入端 54·如申請專利範圍第53項之陣列 端子連接至奇數層1,3…等内的導體 子連接至偶數層2,4.··等内的導體。 5 5.如申請專利範圍第5 3項之陣列 端子連接至奇數層1,3…等内的導體 子連接至偶數層2,4…等内的導體。 56.如申請專利範圍第54或55項之陣列,1 一 括連接在輸入端子和輸出端子之間的導引元件/早疋包 元件。 年Ή凡件和狀態改變 其中諸單元具有大 其中諸單元包括矽 5 7.如申請專利範圍第$ 3項之陣列 體呈矩形之橫戴面。 5 8.如申請專利範圍第53項之陣列 和二氧化矽。 其中層1 其中層1 ^ 的諸 59·如申請專利範圍第53項之陣列 導體彼此垂直對齊。 與層 6〇*如申請專利範圍第53項之陣列,儿τ層1 2 /…的組合中的—組内之導體在垂直方向曰交錯 61. 如申請專利範圍第53項 曰 晶矽。 平夕』其中诸導體包括多 62. 如申請專利範圍第53項之陣 矽基底上製造。 ,、τ及陣列係在一 63. 如申請專利範圍第62項之 ...内的導體之-延伸到基底的接觸點。匕括從奇數層1,3 64. 如申請專利範圍第62項之陣列,包括從偶數層2,4 六、 高 許 t 的 成 形 開 直 四 五 包括至少— 個從最 其中一個接魅I 供觸點由 申請專利範圍 ,内的導體之一延伸到基底的接觸點 6 5.如申請專利範圍第6 2 —項之陣列, 層延伸到基底的接觸點。 6 6.如申請專利範圍第6 2項之陣歹,】, 多個每層各有一個的接觸點構成。 6 7. —種製造記憶體陣列的處理程序 (a) 形成一第一層導體材料; ,包括: (b) 形成許多個第二層以界定第&amp; 層上的記憶體單元 (c) 對第二層製作圖樣使成為許 長條; 千行且分隔開來 (d) 钱刻第一層使對齊於由第二 一 (e) 形成絕緣材料介於第一層 =成的長條; 的長條之間; 4長條與由第 , ^ 一'續形 ()形成第二層的導體村料於给 成之長條上; 緣材料及由第_ a % —層 pi形成許多個第四層以界定第二 (h )對該等第 廣的3己憶體單元. * ^ 乐四層製作圖樣使成為許多個芈&gt; ’ 來的長條’由該每 干行且分f!3 於山筮 a 尊第四層形成之長條配置的方Θ冬 m 於由第-層形成之長條;以&amp; 的方向大致垂 (i )餘列證 _ 層形成之長條f層與由第二層形成之長條使對齊於由第 6 8.如申請專刹y 層導體材料於由\園第67項之處理程序’包括形成一第 、田第四層形成之長條上; Λ2556 1 六、申請專利範圍 ^^ 對第五層製作圖樣使成為許多個平 導體,該等導體的配置大致垂直於第四開來的 蝕刻由第四層形成之長條使對齊 ' 彳、,及 定附加的記憶體單元。 於啫導體,藉此界 重複步驟(a)到 加上如申請專 重複步驟(a)到 加上如申請專 其17有一介電 69,如申請專利範圍第67項之處理程岸 步驟(i) , ^ 7〇.如申請專利範圍第69項之處理程岸 利範圍第6 8項之步驟。 7 1.如申請專利範圍第67項之處理程戽 步驟(i )許多次。 72. 如申請專利範圍第71項之處理程 利範圍第68項之步驟。 73. 如申請專利範圍第67項之處理程序,其 質被加上且在第—® y* 、 1 平步驟。 層钱刻之後與第三層形成之前進行-磨 如申請專利範圍第73項之處理程序 驟包括化學機械拋光法。 磨千步 75·如申叫專利範圍第67項之處理程序,包括沉— 緣體並將其勒回以磨平,莊姓并上 '邑 (d)與(e)間由第二層形成之長條。 運在^驟 7 6、如争清專利範圍第67項之處理程序,其中該等許多 固第一層與許多個第四層各包括多晶矽與二氧化矽層。 77.如申明專利範圍第以項之處理程序其中該多晶矽 被摻雜以使每個記憶體單元包括一二極體。Page 56 6. The input range of the unit of the patent application and the output end of the unit of the output unit of the unit and the input end of the unit 54. For example, the array terminal of the 53rd range of the patent application is connected to the odd layer 1, 3 ... The conductors in the etc. are connected to the conductors in the even-numbered layers 2, 4 .... 5 5. As for the array of item 53 of the patent application, the terminals are connected to the conductors in the odd-numbered layers 1, 3, etc. The sub-connects are connected to the conductors in the even-numbered layers 2, 4, .... 56. As for the array in the scope of patent application No. 54 or 55, 1 includes a guide element / early pack element connected between an input terminal and an output terminal. Every year, the parts and status are changed. The units are large, and the units include silicon. 5. For example, the array of the patent application scope of item 3 is a rectangular cross-section. 5 8. Arrays and silicon dioxide such as those in the scope of patent application No. 53. Among the layers 1 and 59 of the layer 1 ^ The array conductors of item 53 in the scope of patent application are vertically aligned with each other. And the layer 60 * such as the array of the scope of the patent application No. 53, the combination of the τ layer 1 2 / ...-the conductors in the group are staggered in the vertical direction 61. Such as the scope of the patent application No. 53 is crystalline silicon. "Heavenly" among them are conductors. 62. For example, the array of patent application No. 53 is manufactured on a silicon substrate. ,, Τ and the array are in a 63. As in the scope of the patent application No. 62-the conductor-extending to the contact point of the substrate. From the odd-numbered layers 1, 3 64. If the array of the scope of the patent application number 62, including from the even-numbered layers 2, 4, 6, high Xu t formed straight four or five, including at least-one from the most I The contact is extended from one of the conductors in the scope of the patent application to the contact point of the substrate 6 5. As in the array of the scope of the patent application item 6 2-, the layer extends to the contact point of the substrate. 6 6. As in the case of item 62 of the scope of the patent application, a plurality of contact points are formed for each layer. 6 7. A process for manufacturing a memory array (a) forming a first layer of conductive material; including: (b) forming a plurality of second layers to define the memory cells on the & layer (c) pairs The second layer is made into a long strip; Thousands of lines are separated (d) The first layer of money is engraved to align the strip formed by the second one (e) with the insulating material interposed between the first layer; Between the strips; 4 strips and the conductor layer forming the second layer from the first, ^ '' continuation () on the given strip; the marginal material and a number of layers from the _a% -layer pi The fourth layer is used to define the second (h) to the third-largest memory unit. * ^ The four layers of Le make patterns to become many 成为 &gt; 'long strips' from each line and divided into f ! 3 The square Θ winter m arranged in the strip formed by the fourth layer of Yu Shanjiu is formed by the strip formed by the first layer; it is roughly perpendicular to the direction of &amp; (i) the remaining column _ The strip formed by the layer f The layer and the strip formed by the second layer are aligned with the sixth layer. On the strip; Λ2556 1 VI. Patent application scope ^^ The pattern of the fifth layer is made into a number of flat conductors, and the configuration of these conductors is approximately perpendicular to the fourth. '彳 ,, and set additional memory units. For the 啫 conductor, repeat step (a) to add the repeat step (a) to add a dielectric 69 if you apply for it, and step 67 (i) for the process of applying patent scope item 67. ), ^ 7〇. If the process of applying for the scope of the patent No. 69, the procedures of the scope of No. 68 range. 7 1. The processing procedure of item 67 of the scope of patent application 戽 step (i) many times. 72. For example, the procedure of item 71 of the scope of patent application shall be followed by the procedure of item 68 of the scope of patent. 73. If the processing procedure of the scope of application for item 67 of the patent is applied, its quality is added and it is in the step of -® y * and 1 level. After the layer is engraved and before the third layer is formed-grinding. The processing procedure in the scope of patent application No. 73 includes chemical mechanical polishing. Mo Qianbu 75 · The processing procedure of No. 67 of the scope of patent of Rushen, including Shen-yuan, and drawing it back to flattening, and the name of Zhuang and 'yi' are formed by the second layer between (d) and (e) Strips. This procedure is described in item 76. Such as the contention of the 67th patent procedure, the first layer and the fourth layer include a polycrystalline silicon layer and a silicon dioxide layer. 77. The process of claim 1, wherein the polycrystalline silicon is doped so that each memory cell includes a diode. I麵I side 第59頁Page 59 88.如申請專利範圍第87項之處理程序,其中該 低壓化學蒸著沉積法(LPCVD)沉積。 用 8 9,如申請專利範圍第87項之處理程序,其令該矽使用 556 1 六、申請專利範圍 其中該石夕使用 其中該梦使用 電漿加^化學蒸著沉積法(PECVD)沉積。 90.如申請專利範圍第87項之處理程序 物理蒸著沉積法(PVD)沉積。 9&gt;1.如申明專利範圍第$ 7項之處理程序 超间真二化學蒸著沉積法(UHVCVD)沉積。 92. —種記憶體,包括: 單石夕基底,有列位址解碼器及行位址解碼器與 輸入出電路形成於基底上及其内; 一 5己憶體陣列,有許多個行導體與列導,體形成於基 底上方且在電氣上連接至諸解碼器與輸入/輸出電路; 吞玄陣列句紅_ ^ a, 層有分隔開來且平行^第^ 千订而大致共平面的列導體; 面的行導胃,該;體來且平行而大致共平 寺仃導體大致垂直於該等列導體;以及 遠接/错&amp; a ^於各該等層之間的記憶體單元,每個單元 93如申抹導東體中的一個和諸行導體中的一個。 器盘於入/二山利範圍第92項之記憶體,其中該等行解碼 體了刖輸出電路被摺疊到陣列的下面並連接至諸行導 宜Λ4二申Λ專’範圍第92項之記德體,#中該陣列被分 剴成沣多個次陣列。88. The process of claim 87, wherein the low pressure chemical vapor deposition (LPCVD) deposition method. Use 89, such as the processing procedure of the 87th patent application, which makes the silicon use 556 1 Sixth, the patent application of which the Shi Xi use where the dream uses plasma and chemical vapor deposition (PECVD) deposition. 90. The processing procedure according to item 87 of the scope of patent application Physical vapor deposition (PVD) deposition. 9> 1. As stated in the patent procedure No. 7 of the processing procedures Ultra-major chemical vapor deposition (UHVCVD) deposition. 92. — A kind of memory, including: a single-stone substrate, a column address decoder, a row address decoder, and input and output circuits formed on and in the substrate; a 5 memory array with many row conductors With the column guide, the body is formed above the substrate and is electrically connected to the decoders and input / output circuits; the array is red ^ ^ a, the layers are separated and parallel ^ th ^ th order and approximately coplanar The conductors of the face; the conductors of the face, the body; the parallel and generally coplanar conductors; the conductors are generally perpendicular to the conductors; and the remote / wrong &amp; a memory between the layers Unit, each unit 93 is one of the conductors and one of the rows of conductors. The device is in the memory of item 92 of the Ershanli range, in which the rows of decoders are folded, and the output circuits are folded below the array and connected to the lines of the guideline. In mind, the array in # is divided into multiple sub-arrays.
TW088108207A 1998-11-16 1999-05-19 Vertically stacked field programmable nonvolatile memory and method of fabrication TW425561B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/192,883 US6034882A (en) 1998-11-16 1998-11-16 Vertically stacked field programmable nonvolatile memory and method of fabrication

Publications (1)

Publication Number Publication Date
TW425561B true TW425561B (en) 2001-03-11

Family

ID=22711417

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088108207A TW425561B (en) 1998-11-16 1999-05-19 Vertically stacked field programmable nonvolatile memory and method of fabrication

Country Status (8)

Country Link
US (2) US6034882A (en)
EP (1) EP1141963A4 (en)
JP (1) JP3639786B2 (en)
KR (1) KR100429083B1 (en)
CN (2) CN1213437C (en)
AU (1) AU3874599A (en)
TW (1) TW425561B (en)
WO (1) WO2000030118A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI401684B (en) * 2004-08-17 2013-07-11 Spansion Llc Systems and methods for adjusting programming thresholds of polymer memory cells
TWI671746B (en) * 2015-04-06 2019-09-11 南韓商愛思開海力士有限公司 Antifuse memory cells and arrays thereof
TWI707447B (en) * 2017-07-26 2020-10-11 美商美光科技公司 Self-aligned memory decks in cross-point memory arrays

Families Citing this family (879)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5673218A (en) 1996-03-05 1997-09-30 Shepard; Daniel R. Dual-addressed rectifier storage device
US6351406B1 (en) 1998-11-16 2002-02-26 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6385074B1 (en) 1998-11-16 2002-05-07 Matrix Semiconductor, Inc. Integrated circuit structure including three-dimensional memory array
WO2001086725A1 (en) * 1999-06-14 2001-11-15 Um Gregory S Electronic switching device
KR100716074B1 (en) * 1999-06-17 2007-05-08 가부시키가이샤 히타치세이사쿠쇼 Semiconductor memory device and method of manufacturing the same
EP1194960B1 (en) * 1999-07-02 2010-09-15 President and Fellows of Harvard College Nanoscopic wire-based devices, arrays, and methods of their manufacture
US6420772B1 (en) * 1999-10-13 2002-07-16 International Business Machines Corporation Re-settable tristate programmable device
US6314014B1 (en) * 1999-12-16 2001-11-06 Ovonyx, Inc. Programmable resistance memory arrays with reference cells
US6274395B1 (en) * 1999-12-23 2001-08-14 Lsi Logic Corporation Method and apparatus for maintaining test data during fabrication of a semiconductor wafer
US6459625B1 (en) * 2000-02-25 2002-10-01 Advanced Micro Devices, Inc. Three metal process for optimizing layout density
US6251710B1 (en) * 2000-04-27 2001-06-26 International Business Machines Corporation Method of making a dual damascene anti-fuse with via before wire
US8575719B2 (en) * 2000-04-28 2013-11-05 Sandisk 3D Llc Silicon nitride antifuse for use in diode-antifuse memory arrays
US6420215B1 (en) 2000-04-28 2002-07-16 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6856572B2 (en) * 2000-04-28 2005-02-15 Matrix Semiconductor, Inc. Multi-headed decoder structure utilizing memory array line driver with dual purpose driver device
WO2001084553A2 (en) * 2000-04-28 2001-11-08 Matrix Semiconductor, Inc. Three-dimensional memory array and method of fabrication
US6631085B2 (en) 2000-04-28 2003-10-07 Matrix Semiconductor, Inc. Three-dimensional memory array incorporating serial chain diode stack
US6567287B2 (en) 2001-03-21 2003-05-20 Matrix Semiconductor, Inc. Memory device with row and column decoder circuits arranged in a checkerboard pattern under a plurality of memory arrays
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6833984B1 (en) * 2000-05-03 2004-12-21 Rambus, Inc. Semiconductor module with serial bus connection to multiple dies
US6593606B1 (en) * 2000-05-16 2003-07-15 Advanced Micro Devices, Inc. Staggered bitline strapping of a non-volatile memory cell
US6956757B2 (en) * 2000-06-22 2005-10-18 Contour Semiconductor, Inc. Low cost high density rectifier matrix memory
US6545891B1 (en) * 2000-08-14 2003-04-08 Matrix Semiconductor, Inc. Modular memory device
US6711043B2 (en) 2000-08-14 2004-03-23 Matrix Semiconductor, Inc. Three-dimensional memory cache system
US6765813B2 (en) 2000-08-14 2004-07-20 Matrix Semiconductor, Inc. Integrated systems using vertically-stacked three-dimensional memory cells
US6658438B1 (en) * 2000-08-14 2003-12-02 Matrix Semiconductor, Inc. Method for deleting stored digital data from write-once memory device
EP1312120A1 (en) 2000-08-14 2003-05-21 Matrix Semiconductor, Inc. Dense arrays and charge storage devices, and methods for making same
US6515888B2 (en) 2000-08-14 2003-02-04 Matrix Semiconductor, Inc. Low cost three-dimensional memory array
US6424581B1 (en) 2000-08-14 2002-07-23 Matrix Semiconductor, Inc. Write-once memory array controller, system, and method
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
US6587365B1 (en) 2000-08-31 2003-07-01 Micron Technology, Inc. Array architecture for depletion mode ferroelectric memory devices
US6515889B1 (en) * 2000-08-31 2003-02-04 Micron Technology, Inc. Junction-isolated depletion mode ferroelectric memory
US6584541B2 (en) 2000-09-15 2003-06-24 Matrix Semiconductor, Inc. Method for storing digital information in write-once memory array
US20030120858A1 (en) * 2000-09-15 2003-06-26 Matrix Semiconductor, Inc. Memory devices and methods for use therewith
US6661730B1 (en) 2000-12-22 2003-12-09 Matrix Semiconductor, Inc. Partial selection of passive element memory cell sub-arrays for write operation
US6541312B2 (en) 2000-12-22 2003-04-01 Matrix Semiconductor, Inc. Formation of antifuse structure in a three dimensional memory
US6591394B2 (en) 2000-12-22 2003-07-08 Matrix Semiconductor, Inc. Three-dimensional memory array and method for storing data bits and ECC bits therein
US6486065B2 (en) * 2000-12-22 2002-11-26 Matrix Semiconductor, Inc. Method of forming nonvolatile memory device utilizing a hard mask
US6649451B1 (en) 2001-02-02 2003-11-18 Matrix Semiconductor, Inc. Structure and method for wafer comprising dielectric and semiconductor
US6778974B2 (en) 2001-02-02 2004-08-17 Matrix Semiconductor, Inc. Memory device and method for reading data stored in a portion of a memory device unreadable by a file system of a host device
US20020108054A1 (en) * 2001-02-02 2002-08-08 Moore Christopher S. Solid-state memory device storing program code and methods for use therewith
JP3846202B2 (en) * 2001-02-02 2006-11-15 ソニー株式会社 Semiconductor nonvolatile memory device
US20020105057A1 (en) * 2001-02-02 2002-08-08 Vyvoda Michael A. Wafer surface that facilitates particle removal
US6407953B1 (en) 2001-02-02 2002-06-18 Matrix Semiconductor, Inc. Memory array organization and related test method particularly well suited for integrated circuits having write-once memory arrays
US7352199B2 (en) 2001-02-20 2008-04-01 Sandisk Corporation Memory card with enhanced testability and methods of making and using the same
US6817531B2 (en) * 2001-03-07 2004-11-16 Hewlett-Packard Development Company, L.P. Apparatus and methods for marking content of memory storage devices
US6618295B2 (en) * 2001-03-21 2003-09-09 Matrix Semiconductor, Inc. Method and apparatus for biasing selected and unselected array lines when writing a memory array
US6515904B2 (en) 2001-03-21 2003-02-04 Matrix Semiconductor, Inc. Method and system for increasing programming bandwidth in a non-volatile memory device
US6522594B1 (en) 2001-03-21 2003-02-18 Matrix Semiconductor, Inc. Memory array incorporating noise detection line
US7177181B1 (en) 2001-03-21 2007-02-13 Sandisk 3D Llc Current sensing method and apparatus particularly useful for a memory array of cells having diode-like characteristics
US6504753B1 (en) 2001-03-21 2003-01-07 Matrix Semiconductor, Inc. Method and apparatus for discharging memory array lines
US6545898B1 (en) 2001-03-21 2003-04-08 Silicon Valley Bank Method and apparatus for writing memory arrays using external source of high programming voltage
US6574145B2 (en) 2001-03-21 2003-06-03 Matrix Semiconductor, Inc. Memory device and method for sensing while programming a non-volatile memory cell
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US7424201B2 (en) * 2001-03-30 2008-09-09 Sandisk 3D Llc Method for field-programming a solid-state memory device with a digital media file
US6895490B1 (en) 2001-04-09 2005-05-17 Matrix Semiconductor, Inc. Method for making a write-once memory device read compatible with a write-many file system
US6996660B1 (en) 2001-04-09 2006-02-07 Matrix Semiconductor, Inc. Memory device and method for storing and reading data in a write-once memory array
US7062602B1 (en) 2001-04-09 2006-06-13 Matrix Semiconductor, Inc. Method for reading data in a write-once memory device using a write-many file system
US7003619B1 (en) 2001-04-09 2006-02-21 Matrix Semiconductor, Inc. Memory device and method for storing and reading a file system structure in a write-once memory array
US6717215B2 (en) * 2001-06-21 2004-04-06 Hewlett-Packard Development Company, L.P. Memory structures
US20030001188A1 (en) * 2001-06-27 2003-01-02 Nakagawa Osamu Samuel High-dielectric constant metal-insulator metal capacitor in VLSI multi-level metallization systems
US6569745B2 (en) * 2001-06-28 2003-05-27 Sharp Laboratories Of America, Inc. Shared bit line cross point memory array
US6756620B2 (en) * 2001-06-29 2004-06-29 Intel Corporation Low-voltage and interface damage-free polymer memory device
US6599796B2 (en) * 2001-06-29 2003-07-29 Hewlett-Packard Development Company, L.P. Apparatus and fabrication process to reduce crosstalk in pirm memory array
DE10132849A1 (en) 2001-07-06 2003-01-23 Infineon Technologies Ag Semiconductor memory device e.g. magnetoresistive random access memory has memory areas which are selectively controlled using each selection device during operating mode
US6744681B2 (en) * 2001-07-24 2004-06-01 Hewlett-Packard Development Company, L.P. Fault-tolerant solid state memory
US7816188B2 (en) 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6567301B2 (en) * 2001-08-09 2003-05-20 Hewlett-Packard Development Company, L.P. One-time programmable unit memory cell based on vertically oriented fuse and diode and one-time programmable memory using the same
US6584029B2 (en) * 2001-08-09 2003-06-24 Hewlett-Packard Development Company, L.P. One-time programmable memory using fuse/anti-fuse and vertically oriented fuse unit memory cells
US6843421B2 (en) 2001-08-13 2005-01-18 Matrix Semiconductor, Inc. Molded memory module and method of making the module absent a substrate support
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US7148570B2 (en) * 2001-08-13 2006-12-12 Sandisk 3D Llc Low resistivity titanium silicide on heavily doped semiconductor
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6490218B1 (en) * 2001-08-17 2002-12-03 Matrix Semiconductor, Inc. Digital memory method and system for storing multiple bit digital data
US6724665B2 (en) 2001-08-31 2004-04-20 Matrix Semiconductor, Inc. Memory device and method for selectable sub-array activation
US6735546B2 (en) 2001-08-31 2004-05-11 Matrix Semiconductor, Inc. Memory device and method for temperature-based control over write and/or read operations
US6541792B1 (en) 2001-09-14 2003-04-01 Hewlett-Packard Development Company, Llp Memory device having dual tunnel junction memory cells
KR20030025315A (en) 2001-09-20 2003-03-29 주식회사 하이닉스반도체 Flash memory device and method for fabricating the same
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
US6690026B2 (en) * 2001-09-28 2004-02-10 Intel Corporation Method of fabricating a three-dimensional array of active media
US7000063B2 (en) 2001-10-05 2006-02-14 Matrix Semiconductor, Inc. Write-many memory device and method for limiting a number of writes to the write-many memory device
US6717222B2 (en) * 2001-10-07 2004-04-06 Guobiao Zhang Three-dimensional memory
US7067850B2 (en) * 2001-10-16 2006-06-27 Midwest Research Institute Stacked switchable element and diode combination
US6879525B2 (en) * 2001-10-31 2005-04-12 Hewlett-Packard Development Company, L.P. Feedback write method for programmable memory
US6504742B1 (en) * 2001-10-31 2003-01-07 Hewlett-Packard Company 3-D memory device for large storage capacity
US6549447B1 (en) * 2001-10-31 2003-04-15 Peter Fricke Memory cell structure
US6624485B2 (en) 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
US6675276B2 (en) 2001-11-13 2004-01-06 Eastman Kodak Company Method for providing extensible dos-fat system structures on one-time programmable media
US6889307B1 (en) 2001-11-16 2005-05-03 Matrix Semiconductor, Inc. Integrated circuit incorporating dual organization memory array
US6768685B1 (en) 2001-11-16 2004-07-27 Mtrix Semiconductor, Inc. Integrated circuit memory array with fast test mode utilizing multiple word line selection and method therefor
US6483734B1 (en) 2001-11-26 2002-11-19 Hewlett Packard Company Memory device having memory cells capable of four states
US6928590B2 (en) * 2001-12-14 2005-08-09 Matrix Semiconductor, Inc. Memory device and method for storing bits in non-adjacent storage locations in a memory array
US6534841B1 (en) 2001-12-14 2003-03-18 Hewlett-Packard Company Continuous antifuse material in memory structure
US6563745B1 (en) 2001-12-14 2003-05-13 Matrix Semiconductor, Inc. Memory device and method for dynamic bit inversion
US7219271B2 (en) * 2001-12-14 2007-05-15 Sandisk 3D Llc Memory device and method for redundancy/self-repair
US6901549B2 (en) * 2001-12-14 2005-05-31 Matrix Semiconductor, Inc. Method for altering a word stored in a write-once memory device
US20030115191A1 (en) * 2001-12-17 2003-06-19 Max Copperman Efficient and cost-effective content provider for customer relationship management (CRM) or other applications
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6515346B1 (en) * 2002-01-02 2003-02-04 Zoltan A. Kemeny Microbar and method of its making
US6703652B2 (en) * 2002-01-16 2004-03-09 Hewlett-Packard Development Company, L.P. Memory structure and method making
US6559516B1 (en) 2002-01-16 2003-05-06 Hewlett-Packard Development Company Antifuse structure and method of making
JP3948292B2 (en) * 2002-02-01 2007-07-25 株式会社日立製作所 Semiconductor memory device and manufacturing method thereof
US6649505B2 (en) 2002-02-04 2003-11-18 Matrix Semiconductor, Inc. Method for fabricating and identifying integrated circuits and self-identifying integrated circuits
US7038248B2 (en) 2002-02-15 2006-05-02 Sandisk Corporation Diverse band gap energy level semiconductor device
US6731011B2 (en) 2002-02-19 2004-05-04 Matrix Semiconductor, Inc. Memory module having interconnected and stacked integrated circuits
US6809362B2 (en) * 2002-02-20 2004-10-26 Micron Technology, Inc. Multiple data state memory cell
KR100429237B1 (en) * 2002-02-21 2004-04-29 주식회사 하이닉스반도체 Method and Circuit for Repairing of Nonvolatile Ferroelectric Memory Device
US20040108573A1 (en) * 2002-03-13 2004-06-10 Matrix Semiconductor, Inc. Use in semiconductor devices of dielectric antifuses grown on silicide
US6853049B2 (en) * 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6778421B2 (en) 2002-03-14 2004-08-17 Hewlett-Packard Development Company, Lp. Memory device array having a pair of magnetic bits sharing a common conductor line
US20030172533A1 (en) * 2002-03-15 2003-09-18 Alexander Pamela K. Scissors type element for sectioning and retaining a candle wick
US6885573B2 (en) * 2002-03-15 2005-04-26 Hewlett-Packard Development Company, L.P. Diode for use in MRAM devices and method of manufacture
US6579760B1 (en) 2002-03-28 2003-06-17 Macronix International Co., Ltd. Self-aligned, programmable phase change memory
US20030183868A1 (en) * 2002-04-02 2003-10-02 Peter Fricke Memory structures
US6643159B2 (en) 2002-04-02 2003-11-04 Hewlett-Packard Development Company, L.P. Cubic memory array
US6661691B2 (en) 2002-04-02 2003-12-09 Hewlett-Packard Development Company, L.P. Interconnection structure and methods
US6967350B2 (en) * 2002-04-02 2005-11-22 Hewlett-Packard Development Company, L.P. Memory structures
US6940085B2 (en) 2002-04-02 2005-09-06 Hewlett-Packard Development Company, I.P. Memory structures
US6821848B2 (en) * 2002-04-02 2004-11-23 Hewlett-Packard Development Company, L.P. Tunnel-junction structures and methods
AU2003201760A1 (en) 2002-04-04 2003-10-20 Kabushiki Kaisha Toshiba Phase-change memory device
US6906361B2 (en) * 2002-04-08 2005-06-14 Guobiao Zhang Peripheral circuits of electrically programmable three-dimensional memory
JP4103497B2 (en) * 2002-04-18 2008-06-18 ソニー株式会社 Memory device and method for manufacturing and using the same, semiconductor device and method for manufacturing the same
US6567304B1 (en) 2002-05-09 2003-05-20 Matrix Semiconductor, Inc Memory device and method for reliably reading multi-bit data from a write-many memory cell
US20030218896A1 (en) * 2002-05-22 2003-11-27 Pon Harry Q Combined memory
US6996009B2 (en) * 2002-06-21 2006-02-07 Micron Technology, Inc. NOR flash memory cell with high storage density
US6917532B2 (en) * 2002-06-21 2005-07-12 Hewlett-Packard Development Company, L.P. Memory storage device with segmented column line array
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US6970370B2 (en) * 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
US6888739B2 (en) 2002-06-21 2005-05-03 Micron Technology Inc. Nanocrystal write once read only memory for archival storage
US7154140B2 (en) * 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6707087B2 (en) 2002-06-21 2004-03-16 Hewlett-Packard Development Company, L.P. Structure of chalcogenide memory element
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US6768661B2 (en) * 2002-06-27 2004-07-27 Matrix Semiconductor, Inc. Multiple-mode memory and method for forming same
US6952043B2 (en) * 2002-06-27 2005-10-04 Matrix Semiconductor, Inc. Electrically isolated pillars in active devices
US6857054B2 (en) * 2002-06-28 2005-02-15 Hewlett-Packard Development Company, L.P. Write-once memory storage device
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6774458B2 (en) 2002-07-23 2004-08-10 Hewlett Packard Development Company, L.P. Vertical interconnection structure and methods
US6683365B1 (en) * 2002-08-01 2004-01-27 Micron Technology, Inc. Edge intensive antifuse device structure
US7079442B2 (en) * 2002-08-02 2006-07-18 Unity Semiconductor Corporation Layout of driver sets in a cross point memory array
US6906939B2 (en) * 2002-08-02 2005-06-14 Unity Semiconductor Corporation Re-writable memory with multiple memory layers
US6836421B2 (en) * 2002-08-02 2004-12-28 Unity Semiconductor Corporation Line drivers that fit within a specified line pitch
US7042035B2 (en) * 2002-08-02 2006-05-09 Unity Semiconductor Corporation Memory array with high temperature wiring
US7884349B2 (en) * 2002-08-02 2011-02-08 Unity Semiconductor Corporation Selection device for re-writable memory
US7057914B2 (en) * 2002-08-02 2006-06-06 Unity Semiconductor Corporation Cross point memory array with fast access time
US7009909B2 (en) * 2002-08-02 2006-03-07 Unity Semiconductor Corporation Line drivers that use minimal metal layers
US7186569B2 (en) * 2002-08-02 2007-03-06 Unity Semiconductor Corporation Conductive memory stack with sidewall
US6777290B2 (en) * 2002-08-05 2004-08-17 Micron Technology, Inc. Global column select structure for accessing a memory
US6864503B2 (en) * 2002-08-09 2005-03-08 Macronix International Co., Ltd. Spacer chalcogenide memory method and device
US6850432B2 (en) 2002-08-20 2005-02-01 Macronix International Co., Ltd. Laser programmable electrically readable phase-change memory method and device
US20070076509A1 (en) * 2002-08-28 2007-04-05 Guobiao Zhang Three-Dimensional Mask-Programmable Read-Only Memory
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US6710409B1 (en) 2002-10-15 2004-03-23 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with etch stop layer and method of making same
TW583764B (en) * 2002-11-11 2004-04-11 Macronix Int Co Ltd Mask ROM having diodes and manufacturing method thereof
CN101763899B (en) * 2002-11-17 2012-11-14 成都海存艾匹科技有限公司 Three-dimensional read-only memory using polarizing memory cell
US6925015B2 (en) * 2002-11-26 2005-08-02 Intel Corporation Stacked memory device having shared bitlines and method of making the same
US6954394B2 (en) * 2002-11-27 2005-10-11 Matrix Semiconductor, Inc. Integrated circuit and method for selecting a set of memory-cell-layer-dependent or temperature-dependent operating conditions
US6859410B2 (en) * 2002-11-27 2005-02-22 Matrix Semiconductor, Inc. Tree decoder structure particularly well-suited to interfacing array lines having extremely small layout pitch
US8008700B2 (en) * 2002-12-19 2011-08-30 Sandisk 3D Llc Non-volatile memory cell with embedded antifuse
US7176064B2 (en) * 2003-12-03 2007-02-13 Sandisk 3D Llc Memory cell comprising a semiconductor junction diode crystallized adjacent to a silicide
US7800933B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Method for using a memory cell comprising switchable semiconductor memory element with trimmable resistance
US7767499B2 (en) * 2002-12-19 2010-08-03 Sandisk 3D Llc Method to form upward pointing p-i-n diodes having large and uniform current
US20070164388A1 (en) * 2002-12-19 2007-07-19 Sandisk 3D Llc Memory cell comprising a diode fabricated in a low resistivity, programmed state
US20050226067A1 (en) 2002-12-19 2005-10-13 Matrix Semiconductor, Inc. Nonvolatile memory cell operating by increasing order in polycrystalline semiconductor material
US7618850B2 (en) * 2002-12-19 2009-11-17 Sandisk 3D Llc Method of making a diode read/write memory cell in a programmed state
US7285464B2 (en) * 2002-12-19 2007-10-23 Sandisk 3D Llc Nonvolatile memory cell comprising a reduced height vertical diode
US8637366B2 (en) 2002-12-19 2014-01-28 Sandisk 3D Llc Nonvolatile memory cell without a dielectric antifuse having high- and low-impedance states
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
AU2003296988A1 (en) 2002-12-19 2004-07-29 Matrix Semiconductor, Inc An improved method for making high-density nonvolatile memory
US6946719B2 (en) * 2003-12-03 2005-09-20 Matrix Semiconductor, Inc Semiconductor device including junction diode contacting contact-antifuse unit comprising silicide
US7660181B2 (en) * 2002-12-19 2010-02-09 Sandisk 3D Llc Method of making non-volatile memory cell with embedded antifuse
US7800932B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Memory cell comprising switchable semiconductor memory element with trimmable resistance
US7051251B2 (en) * 2002-12-20 2006-05-23 Matrix Semiconductor, Inc. Method for storing data in a write-once memory array using a write-many file system
US6807119B2 (en) * 2002-12-23 2004-10-19 Matrix Semiconductor, Inc. Array containing charge storage and dummy transistors and method of operating the array
US6849905B2 (en) * 2002-12-23 2005-02-01 Matrix Semiconductor, Inc. Semiconductor device with localized charge storage dielectric and method of making same
US7005350B2 (en) * 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
US7505321B2 (en) * 2002-12-31 2009-03-17 Sandisk 3D Llc Programmable memory array structure incorporating series-connected transistor strings and methods for fabrication and operation of same
US7233522B2 (en) * 2002-12-31 2007-06-19 Sandisk 3D Llc NAND memory array incorporating capacitance boosting of channel regions in unselected memory cells and method for operation of same
US7383476B2 (en) * 2003-02-11 2008-06-03 Sandisk 3D Llc System architecture and method for three-dimensional memory
US7606059B2 (en) 2003-03-18 2009-10-20 Kabushiki Kaisha Toshiba Three-dimensional programmable resistance memory device with a read/write circuit stacked under a memory cell array
CN1764982B (en) * 2003-03-18 2011-03-23 株式会社东芝 Phase-change memory device and its manufacture method
US6868022B2 (en) * 2003-03-28 2005-03-15 Matrix Semiconductor, Inc. Redundant memory structure using bad bit pointers
US7233024B2 (en) * 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
US6879505B2 (en) * 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US6822903B2 (en) * 2003-03-31 2004-11-23 Matrix Semiconductor, Inc. Apparatus and method for disturb-free programming of passive element memory cells
US7511352B2 (en) * 2003-05-19 2009-03-31 Sandisk 3D Llc Rail Schottky device and method of making
US6815077B1 (en) * 2003-05-20 2004-11-09 Matrix Semiconductor, Inc. Low temperature, low-resistivity heavily doped p-type polysilicon deposition
US6778429B1 (en) * 2003-06-02 2004-08-17 International Business Machines Corporation Write circuit for a magnetic random access memory
US6858883B2 (en) * 2003-06-03 2005-02-22 Hewlett-Packard Development Company, L.P. Partially processed tunnel junction control element
US7243203B2 (en) * 2003-06-13 2007-07-10 Sandisk 3D Llc Pipeline circuit for low latency memory
US20050006719A1 (en) * 2003-06-24 2005-01-13 Erh-Kun Lai [three-dimensional memory structure and manufacturing method thereof]
US7307012B2 (en) * 2003-06-30 2007-12-11 Sandisk 3D Llc Post vertical interconnects formed with silicide etch stop and method of making
US6956278B2 (en) * 2003-06-30 2005-10-18 Matrix Semiconductor, Inc. Low-density, high-resistivity titanium nitride layer for use as a contact for low-leakage dielectric layers
US7408212B1 (en) * 2003-07-18 2008-08-05 Winbond Electronics Corporation Stackable resistive cross-point memory with schottky diode isolation
EP1501098A3 (en) * 2003-07-21 2007-05-09 Macronix International Co., Ltd. A memory
US20050035429A1 (en) * 2003-08-15 2005-02-17 Yeh Chih Chieh Programmable eraseless memory
US7132350B2 (en) 2003-07-21 2006-11-07 Macronix International Co., Ltd. Method for manufacturing a programmable eraseless memory
US7180123B2 (en) * 2003-07-21 2007-02-20 Macronix International Co., Ltd. Method for programming programmable eraseless memory
US7376008B2 (en) 2003-08-07 2008-05-20 Contour Seminconductor, Inc. SCR matrix storage device
US7084446B2 (en) * 2003-08-25 2006-08-01 Intel Corporation Polymer memory having a ferroelectric polymer memory material with cell sizes that are asymmetric
US7177183B2 (en) 2003-09-30 2007-02-13 Sandisk 3D Llc Multiple twin cell non-volatile memory array and logic block structure and method therefor
US7057958B2 (en) * 2003-09-30 2006-06-06 Sandisk Corporation Method and system for temperature compensation for memory cells with temperature-dependent behavior
WO2005059955A2 (en) * 2003-11-18 2005-06-30 Halliburton Energy Services A high temperature memory device
US7009278B2 (en) * 2003-11-24 2006-03-07 Sharp Laboratories Of America, Inc. 3d rram
US7682920B2 (en) * 2003-12-03 2010-03-23 Sandisk 3D Llc Method for making a p-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US8018024B2 (en) 2003-12-03 2011-09-13 Sandisk 3D Llc P-i-n diode crystallized adjacent to a silicide in series with a dielectric antifuse
US7423304B2 (en) 2003-12-05 2008-09-09 Sandisck 3D Llc Optimization of critical dimensions and pitch of patterned features in and above a substrate
US7474000B2 (en) * 2003-12-05 2009-01-06 Sandisk 3D Llc High density contact to relaxed geometry layers
US7023739B2 (en) * 2003-12-05 2006-04-04 Matrix Semiconductor, Inc. NAND memory array incorporating multiple write pulse programming of individual memory cells and method for operation of same
US20050128807A1 (en) * 2003-12-05 2005-06-16 En-Hsing Chen Nand memory array incorporating multiple series selection devices and method for operation of same
US7221588B2 (en) * 2003-12-05 2007-05-22 Sandisk 3D Llc Memory array incorporating memory cells arranged in NAND strings
US6951780B1 (en) * 2003-12-18 2005-10-04 Matrix Semiconductor, Inc. Selective oxidation of silicon in diode, TFT, and monolithic three dimensional memory arrays
JP2005183557A (en) * 2003-12-18 2005-07-07 Canon Inc Semiconductor integrated circuit and its operation method, and ic card having the circuit
JP2005183619A (en) * 2003-12-18 2005-07-07 Canon Inc Non-volatile memory device
US20060171200A1 (en) * 2004-02-06 2006-08-03 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
US7538338B2 (en) * 2004-09-03 2009-05-26 Unity Semiconductor Corporation Memory using variable tunnel barrier widths
US7082052B2 (en) 2004-02-06 2006-07-25 Unity Semiconductor Corporation Multi-resistive state element with reactive metal
US7112815B2 (en) * 2004-02-25 2006-09-26 Micron Technology, Inc. Multi-layer memory arrays
US20050212022A1 (en) * 2004-03-24 2005-09-29 Greer Edward C Memory cell having an electric field programmable storage element, and method of operating same
US20070204122A1 (en) * 2004-04-04 2007-08-30 Guobiao Zhang Multimedia Three-Dimensional Memory (M3DM) System
US7728391B2 (en) * 2004-04-04 2010-06-01 Guobiao Zhang Small-pitch three-dimensional mask-programmable memory
US7410838B2 (en) * 2004-04-29 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication methods for memory cells
KR20050107238A (en) * 2004-05-08 2005-11-11 서동학 Non-volatile memory devices by organic and polymeric materials
US7088613B2 (en) * 2004-05-14 2006-08-08 Macronix International Co., Ltd. Method for controlling current during read and program operations of programmable diode
US7398348B2 (en) 2004-08-24 2008-07-08 Sandisk 3D Llc Method and apparatus for using a one-time or few-time programmable memory with a host device designed for erasable/rewritable memory
US7106639B2 (en) * 2004-09-01 2006-09-12 Hewlett-Packard Development Company, L.P. Defect management enabled PIRM and method
US7432141B2 (en) * 2004-09-08 2008-10-07 Sandisk 3D Llc Large-grain p-doped polysilicon films for use in thin film transistors
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US20060067117A1 (en) * 2004-09-29 2006-03-30 Matrix Semiconductor, Inc. Fuse memory cell comprising a diode, the diode serving as the fuse element
US7212432B2 (en) * 2004-09-30 2007-05-01 Infineon Technologies Ag Resistive memory cell random access memory device and method of fabrication
US20060067127A1 (en) * 2004-09-30 2006-03-30 Matrix Semiconductor, Inc. Method of programming a monolithic three-dimensional memory
US7158220B2 (en) * 2004-10-19 2007-01-02 Guobiao Zhang Three-dimensional memory system-on-a-chip
US7314815B2 (en) * 2004-10-21 2008-01-01 Macronix International Co., Ltd. Manufacturing method of one-time programmable read only memory
US8179711B2 (en) * 2004-10-26 2012-05-15 Samsung Electronics Co., Ltd. Semiconductor memory device with stacked memory cell and method of manufacturing the stacked memory cell
US7453716B2 (en) * 2004-10-26 2008-11-18 Samsung Electronics Co., Ltd Semiconductor memory device with stacked control transistors
US20060108667A1 (en) * 2004-11-22 2006-05-25 Macronix International Co., Ltd. Method for manufacturing a small pin on integrated circuits or other devices
US20060120235A1 (en) * 2004-12-06 2006-06-08 Teac Aerospace Technologies System and method of erasing non-volatile recording media
CA2591333A1 (en) * 2004-12-06 2006-06-15 Teac Aerospace Technologies, Inc. System and method of erasing non-volatile recording media
US7220983B2 (en) * 2004-12-09 2007-05-22 Macronix International Co., Ltd. Self-aligned small contact phase-change memory method and device
TWI260764B (en) * 2004-12-10 2006-08-21 Macronix Int Co Ltd Non-volatile memory cell and operating method thereof
US7218570B2 (en) * 2004-12-17 2007-05-15 Sandisk 3D Llc Apparatus and method for memory operations using address-dependent conditions
US7277336B2 (en) * 2004-12-28 2007-10-02 Sandisk 3D Llc Method and apparatus for improving yield in semiconductor devices by guaranteeing health of redundancy information
US7298665B2 (en) * 2004-12-30 2007-11-20 Sandisk 3D Llc Dual-mode decoder circuit, integrated circuit memory array incorporating same, and related methods of operation
US7177191B2 (en) * 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
US7286439B2 (en) 2004-12-30 2007-10-23 Sandisk 3D Llc Apparatus and method for hierarchical decoding of dense memory arrays using multiple levels of multiple-headed decoders
US7709334B2 (en) 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US7307268B2 (en) 2005-01-19 2007-12-11 Sandisk Corporation Structure and method for biasing phase change memory array for reliable writing
US7259038B2 (en) * 2005-01-19 2007-08-21 Sandisk Corporation Forming nonvolatile phase change memory cell having a reduced thermal contact area
US7517796B2 (en) * 2005-02-17 2009-04-14 Sandisk 3D Llc Method for patterning submicron pillars
CN100505268C (en) * 2005-03-21 2009-06-24 旺宏电子股份有限公司 Memory device and methods of accessing memory unit
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
CN100391002C (en) * 2005-03-29 2008-05-28 旺宏电子股份有限公司 Single programmable read-only memory and method of manufacture
US8031509B2 (en) * 2008-12-19 2011-10-04 Unity Semiconductor Corporation Conductive metal oxide structures in non-volatile re-writable memory devices
US8314024B2 (en) 2008-12-19 2012-11-20 Unity Semiconductor Corporation Device fabrication
US8270193B2 (en) 2010-01-29 2012-09-18 Unity Semiconductor Corporation Local bit lines and methods of selecting the same to access memory elements in cross-point arrays
US7897951B2 (en) * 2007-07-26 2011-03-01 Unity Semiconductor Corporation Continuous plane of thin-film materials for a two-terminal cross-point memory
US8003511B2 (en) * 2008-12-19 2011-08-23 Unity Semiconductor Corporation Memory cell formation using ion implant isolated conductive metal oxide
US20130082232A1 (en) 2011-09-30 2013-04-04 Unity Semiconductor Corporation Multi Layered Conductive Metal Oxide Structures And Methods For Facilitating Enhanced Performance Characteristics Of Two Terminal Memory Cells
US9058300B2 (en) * 2005-03-30 2015-06-16 Unity Semiconductor Corporation Integrated circuits and methods to control access to multiple layers of memory
US8565003B2 (en) 2011-06-28 2013-10-22 Unity Semiconductor Corporation Multilayer cross-point memory array having reduced disturb susceptibility
US8937292B2 (en) 2011-08-15 2015-01-20 Unity Semiconductor Corporation Vertical cross point arrays for ultra high density memory applications
US8559209B2 (en) 2011-06-10 2013-10-15 Unity Semiconductor Corporation Array voltage regulating technique to enable data operations on large cross-point memory arrays with resistive memory elements
US7054219B1 (en) 2005-03-31 2006-05-30 Matrix Semiconductor, Inc. Transistor layout configuration for tight-pitched memory array lines
US7272052B2 (en) * 2005-03-31 2007-09-18 Sandisk 3D Llc Decoding circuit for non-binary groups of memory line drivers
TWI395321B (en) * 2005-03-31 2013-05-01 Semiconductor Energy Lab Semiconductor device and driving method thereof
US7142471B2 (en) * 2005-03-31 2006-11-28 Sandisk 3D Llc Method and apparatus for incorporating block redundancy in a memory array
US7359279B2 (en) * 2005-03-31 2008-04-15 Sandisk 3D Llc Integrated circuit memory array configuration including decoding compatibility with partial implementation of multiple memory layers
US7053406B1 (en) 2005-04-01 2006-05-30 Macronix International Co., Ltd. One-time programmable read only memory and manufacturing method thereof
JP5049483B2 (en) 2005-04-22 2012-10-17 パナソニック株式会社 ELECTRIC ELEMENT, MEMORY DEVICE, AND SEMICONDUCTOR INTEGRATED CIRCUIT
US7638855B2 (en) * 2005-05-06 2009-12-29 Macronix International Co., Ltd. Anti-fuse one-time-programmable nonvolatile memory
US7728390B2 (en) * 2005-05-06 2010-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-level interconnection memory device
US20060250836A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a diode and a resistance-switching material
US7812404B2 (en) 2005-05-09 2010-10-12 Sandisk 3D Llc Nonvolatile memory cell comprising a diode and a resistance-switching material
US7700984B2 (en) 2005-05-20 2010-04-20 Semiconductor Energy Laboratory Co., Ltd Semiconductor device including memory cell
US7598512B2 (en) * 2005-06-17 2009-10-06 Macronix International Co., Ltd. Thin film fuse phase change cell with thermal isolation layer and manufacturing method
US7514367B2 (en) * 2005-06-17 2009-04-07 Macronix International Co., Ltd. Method for manufacturing a narrow structure on an integrated circuit
US7534647B2 (en) 2005-06-17 2009-05-19 Macronix International Co., Ltd. Damascene phase change RAM and manufacturing method
US7696503B2 (en) * 2005-06-17 2010-04-13 Macronix International Co., Ltd. Multi-level memory cell having phase change element and asymmetrical thermal boundary
US7514288B2 (en) * 2005-06-17 2009-04-07 Macronix International Co., Ltd. Manufacturing methods for thin film fuse phase change ram
US8237140B2 (en) * 2005-06-17 2012-08-07 Macronix International Co., Ltd. Self-aligned, embedded phase change RAM
US7238994B2 (en) * 2005-06-17 2007-07-03 Macronix International Co., Ltd. Thin film plate phase change ram circuit and manufacturing method
US7321130B2 (en) * 2005-06-17 2008-01-22 Macronix International Co., Ltd. Thin film fuse phase change RAM and manufacturing method
US7764549B2 (en) * 2005-06-20 2010-07-27 Sandisk 3D Llc Floating body memory cell system and method of manufacture
US7317641B2 (en) * 2005-06-20 2008-01-08 Sandisk Corporation Volatile memory cell two-pass writing method
US7167397B2 (en) * 2005-06-21 2007-01-23 Intel Corporation Apparatus and method for programming a memory array
US7212454B2 (en) * 2005-06-22 2007-05-01 Sandisk 3D Llc Method and apparatus for programming a memory array
US20070069241A1 (en) * 2005-07-01 2007-03-29 Matrix Semiconductor, Inc. Memory with high dielectric constant antifuses and method for using at low voltage
US7304888B2 (en) * 2005-07-01 2007-12-04 Sandisk 3D Llc Reverse-bias method for writing memory cells in a memory array
US7453755B2 (en) * 2005-07-01 2008-11-18 Sandisk 3D Llc Memory cell with high-K antifuse for reverse bias programming
US20070009821A1 (en) * 2005-07-08 2007-01-11 Charlotte Cutler Devices containing multi-bit data
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7420242B2 (en) 2005-08-31 2008-09-02 Macronix International Co., Ltd. Stacked bit line dual word line nonvolatile memory
KR100655078B1 (en) * 2005-09-16 2006-12-08 삼성전자주식회사 Semiconductor memory device having bit registering layer and method for driving thereof
US7655324B2 (en) * 2005-09-20 2010-02-02 Sridhar Kasichainula Electro-magnetic storage device and method
US8291295B2 (en) * 2005-09-26 2012-10-16 Sandisk Il Ltd. NAND flash memory controller exporting a NAND interface
US7631245B2 (en) * 2005-09-26 2009-12-08 Sandisk Il Ltd. NAND flash memory controller exporting a NAND interface
US7800934B2 (en) * 2005-09-28 2010-09-21 Sandisk 3D Llc Programming methods to increase window for reverse write 3D cell
US20070111429A1 (en) * 2005-11-14 2007-05-17 Macronix International Co., Ltd. Method of manufacturing a pipe shaped phase change memory
US7397060B2 (en) * 2005-11-14 2008-07-08 Macronix International Co., Ltd. Pipe shaped phase change memory
US7394088B2 (en) * 2005-11-15 2008-07-01 Macronix International Co., Ltd. Thermally contained/insulated phase change memory device and method (combined)
US7635855B2 (en) 2005-11-15 2009-12-22 Macronix International Co., Ltd. I-shaped phase change memory cell
US7786460B2 (en) * 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7450411B2 (en) 2005-11-15 2008-11-11 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7414258B2 (en) 2005-11-16 2008-08-19 Macronix International Co., Ltd. Spacer electrode small pin phase change memory RAM and manufacturing method
US7507986B2 (en) 2005-11-21 2009-03-24 Macronix International Co., Ltd. Thermal isolation for an active-sidewall phase change memory cell
TWI318003B (en) * 2005-11-21 2009-12-01 Macronix Int Co Ltd Air cell thermal isolation for a memory array formed of a programmable resistive material
US7829876B2 (en) * 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
US7479649B2 (en) * 2005-11-21 2009-01-20 Macronix International Co., Ltd. Vacuum jacketed electrode for phase change memory element
US7449710B2 (en) * 2005-11-21 2008-11-11 Macronix International Co., Ltd. Vacuum jacket for phase change memory element
US7599217B2 (en) 2005-11-22 2009-10-06 Macronix International Co., Ltd. Memory cell device and manufacturing method
US7816659B2 (en) * 2005-11-23 2010-10-19 Sandisk 3D Llc Devices having reversible resistivity-switching metal oxide or nitride layer with added metal
US7834338B2 (en) * 2005-11-23 2010-11-16 Sandisk 3D Llc Memory cell comprising nickel-cobalt oxide switching element
US7688619B2 (en) 2005-11-28 2010-03-30 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7459717B2 (en) * 2005-11-28 2008-12-02 Macronix International Co., Ltd. Phase change memory cell and manufacturing method
US7521364B2 (en) 2005-12-02 2009-04-21 Macronix Internation Co., Ltd. Surface topology improvement method for plug surface areas
US7605079B2 (en) * 2005-12-05 2009-10-20 Macronix International Co., Ltd. Manufacturing method for phase change RAM with electrode layer process
US7486534B2 (en) * 2005-12-08 2009-02-03 Macronix International Co., Ltd. Diode-less array for one-time programmable memory
US7642539B2 (en) * 2005-12-13 2010-01-05 Macronix International Co., Ltd. Thin film fuse phase change cell with thermal isolation pad and manufacturing method
US20070140019A1 (en) * 2005-12-21 2007-06-21 Macronix International Co., Ltd. Method and apparatus for operating a string of charge trapping memory cells
TWI266423B (en) * 2005-12-23 2006-11-11 Ind Tech Res Inst Three-dimensional thin-film transistor nano-die memory device and manufacturing method thereof
US7531825B2 (en) * 2005-12-27 2009-05-12 Macronix International Co., Ltd. Method for forming self-aligned thermal isolation cell for a variable resistance memory array
KR100866749B1 (en) 2005-12-30 2008-11-03 주식회사 하이닉스반도체 Non-volatile semiconductor memory device
TW200802369A (en) * 2005-12-30 2008-01-01 Hynix Semiconductor Inc Nonvolatile semiconductor memory device
US8062833B2 (en) * 2005-12-30 2011-11-22 Macronix International Co., Ltd. Chalcogenide layer etching method
US7595218B2 (en) * 2006-01-09 2009-09-29 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US20070158632A1 (en) * 2006-01-09 2007-07-12 Macronix International Co., Ltd. Method for Fabricating a Pillar-Shaped Phase Change Memory Element
US7741636B2 (en) * 2006-01-09 2010-06-22 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7560337B2 (en) 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
US7825396B2 (en) * 2006-01-11 2010-11-02 Macronix International Co., Ltd. Self-align planerized bottom electrode phase change memory and manufacturing method
US7432206B2 (en) * 2006-01-24 2008-10-07 Macronix International Co., Ltd. Self-aligned manufacturing method, and manufacturing method for thin film fuse phase change ram
US7456421B2 (en) * 2006-01-30 2008-11-25 Macronix International Co., Ltd. Vertical side wall active pin structures in a phase change memory and manufacturing methods
US7956358B2 (en) 2006-02-07 2011-06-07 Macronix International Co., Ltd. I-shaped phase change memory cell with thermal isolation
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7605410B2 (en) * 2006-02-23 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP4790446B2 (en) * 2006-03-01 2011-10-12 三菱電機株式会社 Moving picture decoding apparatus and moving picture encoding apparatus
US7910907B2 (en) 2006-03-15 2011-03-22 Macronix International Co., Ltd. Manufacturing method for pipe-shaped electrode phase change memory
JP2009530843A (en) 2006-03-20 2009-08-27 エスティーマイクロエレクトロニクス エス.アール.エル. Semiconductor field effect transistor, memory cell, and memory element
US7884346B2 (en) * 2006-03-30 2011-02-08 Panasonic Corporation Nonvolatile memory element and manufacturing method thereof
US7808810B2 (en) * 2006-03-31 2010-10-05 Sandisk 3D Llc Multilevel nonvolatile memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7829875B2 (en) * 2006-03-31 2010-11-09 Sandisk 3D Llc Nonvolatile rewritable memory cell comprising a resistivity-switching oxide or nitride and an antifuse
US7875871B2 (en) * 2006-03-31 2011-01-25 Sandisk 3D Llc Heterojunction device comprising a semiconductor and a resistivity-switching oxide or nitride
JP4908901B2 (en) * 2006-04-11 2012-04-04 ラピスセミコンダクタ株式会社 Method for manufacturing nonvolatile memory
US7554144B2 (en) * 2006-04-17 2009-06-30 Macronix International Co., Ltd. Memory device and manufacturing method
US7928421B2 (en) 2006-04-21 2011-04-19 Macronix International Co., Ltd. Phase change memory cell with vacuum spacer
KR101239962B1 (en) * 2006-05-04 2013-03-06 삼성전자주식회사 Variable resistive memory device comprising buffer layer on lower electrode
US8129706B2 (en) * 2006-05-05 2012-03-06 Macronix International Co., Ltd. Structures and methods of a bistable resistive random access memory
US9680686B2 (en) * 2006-05-08 2017-06-13 Sandisk Technologies Llc Media with pluggable codec methods
US20070260615A1 (en) * 2006-05-08 2007-11-08 Eran Shen Media with Pluggable Codec
US7608848B2 (en) * 2006-05-09 2009-10-27 Macronix International Co., Ltd. Bridge resistance random access memory device with a singular contact structure
US7283414B1 (en) 2006-05-24 2007-10-16 Sandisk 3D Llc Method for improving the precision of a temperature-sensor circuit
US7423300B2 (en) 2006-05-24 2008-09-09 Macronix International Co., Ltd. Single-mask phase change memory element
US7732800B2 (en) * 2006-05-30 2010-06-08 Macronix International Co., Ltd. Resistor random access memory cell with L-shaped electrode
US7820997B2 (en) * 2006-05-30 2010-10-26 Macronix International Co., Ltd. Resistor random access memory cell with reduced active area and reduced contact areas
US7575984B2 (en) * 2006-05-31 2009-08-18 Sandisk 3D Llc Conductive hard mask to protect patterned features during trench etch
US7338892B2 (en) 2006-06-09 2008-03-04 Advanced Semiconductor Engineering, Inc. Circuit carrier and manufacturing process thereof
US7696506B2 (en) 2006-06-27 2010-04-13 Macronix International Co., Ltd. Memory cell with memory material insulation and manufacturing method
US7747817B2 (en) * 2006-06-28 2010-06-29 Unity Semiconductor Corporation Performing data operations using non-volatile third dimension memory
US20080017890A1 (en) * 2006-06-30 2008-01-24 Sandisk 3D Llc Highly dense monolithic three dimensional memory array and method for forming
US7785920B2 (en) * 2006-07-12 2010-08-31 Macronix International Co., Ltd. Method for making a pillar-type phase change memory element
US7968967B2 (en) * 2006-07-17 2011-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. One-time-programmable anti-fuse formed using damascene process
KR100813618B1 (en) * 2006-07-25 2008-03-17 삼성전자주식회사 Semiconductor memory device with three-dimentional array structure
US7570523B2 (en) * 2006-07-31 2009-08-04 Sandisk 3D Llc Method for using two data busses for memory array block selection
WO2008016419A2 (en) * 2006-07-31 2008-02-07 Sandisk 3D Llc Mixed-use memory array and method for use therewith
US7554832B2 (en) * 2006-07-31 2009-06-30 Sandisk 3D Llc Passive element memory array incorporating reversible polarity word line and bit line decoders
US7463536B2 (en) * 2006-07-31 2008-12-09 Sandisk 3D Llc Memory array incorporating two data busses for memory array block selection
US7542337B2 (en) * 2006-07-31 2009-06-02 Sandisk 3D Llc Apparatus for reading a multi-level passive element memory cell array
US7463546B2 (en) * 2006-07-31 2008-12-09 Sandisk 3D Llc Method for using a passive element memory array incorporating reversible polarity word line and bit line decoders
US7719874B2 (en) * 2006-07-31 2010-05-18 Sandisk 3D Llc Systems for controlled pulse operations in non-volatile memory
US20080023790A1 (en) * 2006-07-31 2008-01-31 Scheuerlein Roy E Mixed-use memory array
US7499304B2 (en) * 2006-07-31 2009-03-03 Sandisk 3D Llc Systems for high bandwidth one time field-programmable memory
US7499355B2 (en) * 2006-07-31 2009-03-03 Sandisk 3D Llc High bandwidth one time field-programmable memory
US7450414B2 (en) * 2006-07-31 2008-11-11 Sandisk 3D Llc Method for using a mixed-use memory array
US7492630B2 (en) * 2006-07-31 2009-02-17 Sandisk 3D Llc Systems for reverse bias trim operations in non-volatile memory
US7495947B2 (en) * 2006-07-31 2009-02-24 Sandisk 3D Llc Reverse bias trim operations in non-volatile memory
US7596050B2 (en) * 2006-07-31 2009-09-29 Sandisk 3D Llc Method for using a hierarchical bit line bias bus for block selectable memory array
US7499366B2 (en) 2006-07-31 2009-03-03 Sandisk 3D Llc Method for using dual data-dependent busses for coupling read/write circuits to a memory array
US7522448B2 (en) * 2006-07-31 2009-04-21 Sandisk 3D Llc Controlled pulse operations in non-volatile memory
US7542338B2 (en) * 2006-07-31 2009-06-02 Sandisk 3D Llc Method for reading a multi-level passive element memory cell array
US7633828B2 (en) * 2006-07-31 2009-12-15 Sandisk 3D Llc Hierarchical bit line bias bus for block selectable memory array
US8279704B2 (en) 2006-07-31 2012-10-02 Sandisk 3D Llc Decoder circuitry providing forward and reverse modes of memory array operation and method for biasing same
US20080025069A1 (en) * 2006-07-31 2008-01-31 Scheuerlein Roy E Mixed-use memory array with different data states
US7486537B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Method for using a mixed-use memory array with different data states
US7486587B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Dual data-dependent busses for coupling read/write circuits to a memory array
WO2008016421A2 (en) * 2006-07-31 2008-02-07 Sandisk 3D Llc Mixed-use memory array with different data states and method for use therewith
US7838864B2 (en) * 2006-08-08 2010-11-23 Ovonyx, Inc. Chalcogenide switch with laser recrystallized diode isolation device and use thereof in three dimensional memory arrays
US7442603B2 (en) * 2006-08-16 2008-10-28 Macronix International Co., Ltd. Self-aligned structure and method for confining a melting point in a resistor random access memory
US7619945B2 (en) * 2006-08-18 2009-11-17 Unity Semiconductor Corporation Memory power management
US20080046641A1 (en) * 2006-08-21 2008-02-21 Sandisk Il Ltd. NAND flash memory controller exporting a logical sector-based interface
US20080046630A1 (en) * 2006-08-21 2008-02-21 Sandisk Il Ltd. NAND flash memory controller exporting a logical sector-based interface
US7772581B2 (en) * 2006-09-11 2010-08-10 Macronix International Co., Ltd. Memory device having wide area phase change element and small electrode contact area
US7473986B2 (en) * 2006-09-22 2009-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Positive-intrinsic-negative (PIN) diode semiconductor devices and fabrication methods thereof
US7681008B2 (en) * 2006-09-29 2010-03-16 Sandisk Corporation Systems for managing file allocation table information
US7752412B2 (en) * 2006-09-29 2010-07-06 Sandisk Corporation Methods of managing file allocation table information
KR101337319B1 (en) * 2006-10-04 2013-12-06 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and manufacturing method thereof
US7504653B2 (en) * 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US7539811B2 (en) * 2006-10-05 2009-05-26 Unity Semiconductor Corporation Scaleable memory systems using third dimension memory
US7646664B2 (en) * 2006-10-09 2010-01-12 Samsung Electronics Co., Ltd. Semiconductor device with three-dimensional array structure
US7510929B2 (en) * 2006-10-18 2009-03-31 Macronix International Co., Ltd. Method for making memory cell device
US20080094885A1 (en) * 2006-10-24 2008-04-24 Macronix International Co., Ltd. Bistable Resistance Random Access Memory Structures with Multiple Memory Layers and Multilevel Memory States
US7527985B2 (en) 2006-10-24 2009-05-05 Macronix International Co., Ltd. Method for manufacturing a resistor random access memory with reduced active area and reduced contact areas
US7863655B2 (en) * 2006-10-24 2011-01-04 Macronix International Co., Ltd. Phase change memory cells with dual access devices
US7391638B2 (en) * 2006-10-24 2008-06-24 Sandisk 3D Llc Memory device for protecting memory cells during programming
US7589989B2 (en) 2006-10-24 2009-09-15 Sandisk 3D Llc Method for protecting memory cells during programming
WO2008051840A1 (en) * 2006-10-24 2008-05-02 Sandisk Corporation Memory device and method for controlling current during programming of memory cells
US7420850B2 (en) * 2006-10-24 2008-09-02 Sandisk 3D Llc Method for controlling current during programming of memory cells
US7388771B2 (en) 2006-10-24 2008-06-17 Macronix International Co., Ltd. Methods of operating a bistable resistance random access memory with multiple memory layers and multilevel memory states
WO2008050880A1 (en) * 2006-10-24 2008-05-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device including storage device and method for driving the same
US7420851B2 (en) * 2006-10-24 2008-09-02 San Disk 3D Llc Memory device for controlling current during programming of memory cells
US7791972B2 (en) * 2006-11-01 2010-09-07 International Business Machines Corporation Design structure for providing optimal field programming of electronic fuses
JP4577695B2 (en) 2006-11-07 2010-11-10 エルピーダメモリ株式会社 Semiconductor memory device and manufacturing method of semiconductor memory device
KR20080042548A (en) * 2006-11-10 2008-05-15 삼성전자주식회사 Hinge module and electronic equipment havint the same
US8067762B2 (en) * 2006-11-16 2011-11-29 Macronix International Co., Ltd. Resistance random access memory structure for enhanced retention
KR101206036B1 (en) * 2006-11-16 2012-11-28 삼성전자주식회사 Resistive random access memory enclosing a transition metal solid solution and Manufacturing Method for the same
KR101416876B1 (en) * 2006-11-17 2014-07-08 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
US20100025861A1 (en) * 2006-12-01 2010-02-04 Guobiao Zhang Hybrid-Level Three-Dimensional Mask-Programmable Read-Only Memory
CN101192611A (en) * 2006-12-01 2008-06-04 张国飙 Hybrid layer three-dimensional storage
US7473576B2 (en) * 2006-12-06 2009-01-06 Macronix International Co., Ltd. Method for making a self-converged void and bottom electrode for memory cell
US7476587B2 (en) * 2006-12-06 2009-01-13 Macronix International Co., Ltd. Method for making a self-converged memory material element for memory cell
US7682868B2 (en) 2006-12-06 2010-03-23 Macronix International Co., Ltd. Method for making a keyhole opening during the manufacture of a memory cell
US20080137400A1 (en) * 2006-12-06 2008-06-12 Macronix International Co., Ltd. Phase Change Memory Cell with Thermal Barrier and Method for Fabricating the Same
WO2008070172A2 (en) * 2006-12-06 2008-06-12 Fusion Multisystems, Inc. (Dba Fusion-Io) Apparatus, system, and method for remote direct memory access to a solid-state storage device
US7697316B2 (en) * 2006-12-07 2010-04-13 Macronix International Co., Ltd. Multi-level cell resistance random access memory with metal oxides
US7903447B2 (en) * 2006-12-13 2011-03-08 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on programmable resistive memory cell
US8344347B2 (en) * 2006-12-15 2013-01-01 Macronix International Co., Ltd. Multi-layer electrode structure
US7718989B2 (en) * 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
US7525869B2 (en) * 2006-12-31 2009-04-28 Sandisk 3D Llc Method for using a reversible polarity decoder circuit
US7495500B2 (en) * 2006-12-31 2009-02-24 Sandisk 3D Llc Method for using a multiple polarity reversible charge pump circuit
US7477093B2 (en) * 2006-12-31 2009-01-13 Sandisk 3D Llc Multiple polarity reversible charge pump circuit
US7542370B2 (en) * 2006-12-31 2009-06-02 Sandisk 3D Llc Reversible polarity decoder circuit
US7515461B2 (en) * 2007-01-05 2009-04-07 Macronix International Co., Ltd. Current compliant sensing architecture for multilevel phase change memory
US7440315B2 (en) 2007-01-09 2008-10-21 Macronix International Co., Ltd. Method, apparatus and computer program product for stepped reset programming process on programmable resistive memory cell
US7433226B2 (en) * 2007-01-09 2008-10-07 Macronix International Co., Ltd. Method, apparatus and computer program product for read before programming process on multiple programmable resistive memory cell
US7667220B2 (en) * 2007-01-19 2010-02-23 Macronix International Co., Ltd. Multilevel-cell memory structures employing multi-memory with tungsten oxides and manufacturing method
US7663135B2 (en) 2007-01-31 2010-02-16 Macronix International Co., Ltd. Memory cell having a side electrode contact
US7888200B2 (en) * 2007-01-31 2011-02-15 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7535756B2 (en) 2007-01-31 2009-05-19 Macronix International Co., Ltd. Method to tighten set distribution for PCRAM
US7868388B2 (en) * 2007-01-31 2011-01-11 Sandisk 3D Llc Embedded memory in a CMOS circuit and methods of forming the same
US7619311B2 (en) * 2007-02-02 2009-11-17 Macronix International Co., Ltd. Memory cell device with coplanar electrode surface and method
JP5263757B2 (en) 2007-02-02 2013-08-14 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US7972897B2 (en) 2007-02-05 2011-07-05 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7701759B2 (en) * 2007-02-05 2010-04-20 Macronix International Co., Ltd. Memory cell device and programming methods
US7678607B2 (en) * 2007-02-05 2010-03-16 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7704789B2 (en) * 2007-02-05 2010-04-27 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7483292B2 (en) * 2007-02-07 2009-01-27 Macronix International Co., Ltd. Memory cell with separate read and program paths
US7463512B2 (en) * 2007-02-08 2008-12-09 Macronix International Co., Ltd. Memory element with reduced-current phase change element
US8138028B2 (en) * 2007-02-12 2012-03-20 Macronix International Co., Ltd Method for manufacturing a phase change memory device with pillar bottom electrode
US7884343B2 (en) * 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US7619237B2 (en) * 2007-02-21 2009-11-17 Macronix International Co., Ltd. Programmable resistive memory cell with self-forming gap
US8008643B2 (en) * 2007-02-21 2011-08-30 Macronix International Co., Ltd. Phase change memory cell with heater and method for fabricating the same
US7956344B2 (en) * 2007-02-27 2011-06-07 Macronix International Co., Ltd. Memory cell with memory element contacting ring-shaped upper end of bottom electrode
US7629198B2 (en) * 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
US8097878B2 (en) * 2007-03-05 2012-01-17 Intermolecular, Inc. Nonvolatile memory elements with metal-deficient resistive-switching metal oxides
JP5525694B2 (en) 2007-03-14 2014-06-18 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method of semiconductor device
US7848145B2 (en) * 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
US7808038B2 (en) * 2007-03-27 2010-10-05 Sandisk 3D Llc Method of making three dimensional NAND memory
US7575973B2 (en) * 2007-03-27 2009-08-18 Sandisk 3D Llc Method of making three dimensional NAND memory
US7851851B2 (en) * 2007-03-27 2010-12-14 Sandisk 3D Llc Three dimensional NAND memory
US7745265B2 (en) * 2007-03-27 2010-06-29 Sandisk 3D, Llc Method of making three dimensional NAND memory
US7982209B2 (en) 2007-03-27 2011-07-19 Sandisk 3D Llc Memory cell comprising a carbon nanotube fabric element and a steering element
US7667999B2 (en) * 2007-03-27 2010-02-23 Sandisk 3D Llc Method to program a memory cell comprising a carbon nanotube fabric and a steering element
US7586773B2 (en) 2007-03-27 2009-09-08 Sandisk 3D Llc Large array of upward pointing p-i-n diodes having large and uniform current
US7435636B1 (en) 2007-03-29 2008-10-14 Micron Technology, Inc. Fabrication of self-aligned gallium arsenide MOSFETs using damascene gate methods
US7558140B2 (en) * 2007-03-31 2009-07-07 Sandisk 3D Llc Method for using a spatially distributed amplifier circuit
US7554406B2 (en) 2007-03-31 2009-06-30 Sandisk 3D Llc Spatially distributed amplifier circuit
US7786461B2 (en) * 2007-04-03 2010-08-31 Macronix International Co., Ltd. Memory structure with reduced-size memory element between memory material portions
US8610098B2 (en) * 2007-04-06 2013-12-17 Macronix International Co., Ltd. Phase change memory bridge cell with diode isolation device
US7629247B2 (en) * 2007-04-12 2009-12-08 Sandisk 3D Llc Method of fabricating a self-aligning damascene memory structure
US7755076B2 (en) * 2007-04-17 2010-07-13 Macronix International Co., Ltd. 4F2 self align side wall active phase change memory
US7569844B2 (en) 2007-04-17 2009-08-04 Macronix International Co., Ltd. Memory cell sidewall contacting side electrode
US7483316B2 (en) * 2007-04-24 2009-01-27 Macronix International Co., Ltd. Method and apparatus for refreshing programmable resistive memory
US7863087B1 (en) 2007-05-09 2011-01-04 Intermolecular, Inc Methods for forming resistive-switching metal oxides for nonvolatile memory elements
CN101711431B (en) * 2007-05-09 2015-11-25 分子间公司 Resistive-switching nonvolatile memory elements
US7958390B2 (en) * 2007-05-15 2011-06-07 Sandisk Corporation Memory device for repairing a neighborhood of rows in a memory array using a patch table
US7966518B2 (en) * 2007-05-15 2011-06-21 Sandisk Corporation Method for repairing a neighborhood of rows in a memory array using a patch table
US8173989B2 (en) * 2007-05-30 2012-05-08 Samsung Electronics Co., Ltd. Resistive random access memory device and methods of manufacturing and operating the same
CN101779249B (en) * 2007-06-14 2013-03-27 桑迪士克科技股份有限公司 Programmable chip enable and chip address in semiconductor memory
US20080315206A1 (en) * 2007-06-19 2008-12-25 Herner S Brad Highly Scalable Thin Film Transistor
US7537968B2 (en) * 2007-06-19 2009-05-26 Sandisk 3D Llc Junction diode with reduced reverse current
US7830697B2 (en) * 2007-06-25 2010-11-09 Sandisk 3D Llc High forward current diodes for reverse write 3D cell
US7684226B2 (en) * 2007-06-25 2010-03-23 Sandisk 3D Llc Method of making high forward current diodes for reverse write 3D cell
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US7718546B2 (en) * 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
US7773446B2 (en) * 2007-06-29 2010-08-10 Sandisk 3D Llc Methods and apparatus for extending the effective thermal operating range of a memory
CN101720506B (en) * 2007-06-29 2012-05-16 桑迪士克3D公司 Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7902537B2 (en) * 2007-06-29 2011-03-08 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US8233308B2 (en) * 2007-06-29 2012-07-31 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7846785B2 (en) * 2007-06-29 2010-12-07 Sandisk 3D Llc Memory cell that employs a selectively deposited reversible resistance-switching element and methods of forming the same
US7759666B2 (en) * 2007-06-29 2010-07-20 Sandisk 3D Llc 3D R/W cell with reduced reverse leakage
US7824956B2 (en) 2007-06-29 2010-11-02 Sandisk 3D Llc Memory cell that employs a selectively grown reversible resistance-switching element and methods of forming the same
US7800939B2 (en) * 2007-06-29 2010-09-21 Sandisk 3D Llc Method of making 3D R/W cell with reduced reverse leakage
US8513637B2 (en) * 2007-07-13 2013-08-20 Macronix International Co., Ltd. 4F2 self align fin bottom electrodes FET drive phase change memory
US7777215B2 (en) * 2007-07-20 2010-08-17 Macronix International Co., Ltd. Resistive memory structure with buffer layer
US8294219B2 (en) * 2007-07-25 2012-10-23 Intermolecular, Inc. Nonvolatile memory element including resistive switching metal oxide layers
US8101937B2 (en) 2007-07-25 2012-01-24 Intermolecular, Inc. Multistate nonvolatile memory elements
US7995371B2 (en) * 2007-07-26 2011-08-09 Unity Semiconductor Corporation Threshold device for a memory array
US7742323B2 (en) * 2007-07-26 2010-06-22 Unity Semiconductor Corporation Continuous plane of thin-film materials for a two-terminal cross-point memory
US7884342B2 (en) * 2007-07-31 2011-02-08 Macronix International Co., Ltd. Phase change memory bridge cell
US7729161B2 (en) * 2007-08-02 2010-06-01 Macronix International Co., Ltd. Phase change memory with dual word lines and source lines and method of operating same
US9018615B2 (en) * 2007-08-03 2015-04-28 Macronix International Co., Ltd. Resistor random access memory structure having a defined small area of electrical contact
US7813210B2 (en) * 2007-08-16 2010-10-12 Unity Semiconductor Corporation Multiple-type memory
US7996600B2 (en) 2007-08-30 2011-08-09 Unity Semiconductor Corporation Memory emulation in an electronic organizer
US8164656B2 (en) * 2007-08-31 2012-04-24 Unity Semiconductor Corporation Memory emulation in an image capture device
EP2037461A3 (en) * 2007-09-12 2009-10-28 Samsung Electronics Co., Ltd. Multi-layered memory devices
US8178386B2 (en) * 2007-09-14 2012-05-15 Macronix International Co., Ltd. Phase change memory cell array with self-converged bottom electrode and method for manufacturing
US7642125B2 (en) * 2007-09-14 2010-01-05 Macronix International Co., Ltd. Phase change memory cell in via array with self-aligned, self-converged bottom electrode and method for manufacturing
US7846782B2 (en) 2007-09-28 2010-12-07 Sandisk 3D Llc Diode array and method of making thereof
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US8165621B2 (en) * 2007-10-10 2012-04-24 Unity Semiconductor Corporation Memory emulation in a cellular telephone
US7551473B2 (en) * 2007-10-12 2009-06-23 Macronix International Co., Ltd. Programmable resistive memory with diode structure
US7593284B2 (en) * 2007-10-17 2009-09-22 Unity Semiconductor Corporation Memory emulation using resistivity-sensitive memory
US7919766B2 (en) 2007-10-22 2011-04-05 Macronix International Co., Ltd. Method for making self aligning pillar memory cell device
US7813157B2 (en) * 2007-10-29 2010-10-12 Contour Semiconductor, Inc. Non-linear conductor memory
US20090113116A1 (en) * 2007-10-30 2009-04-30 Thompson E Earle Digital content kiosk and methods for use therewith
US7804083B2 (en) * 2007-11-14 2010-09-28 Macronix International Co., Ltd. Phase change memory cell including a thermal protect bottom electrode and manufacturing methods
CN101878529B (en) * 2007-11-29 2012-07-04 松下电器产业株式会社 Nonvolatile storage device and method for manufacturing the same
US7646631B2 (en) * 2007-12-07 2010-01-12 Macronix International Co., Ltd. Phase change memory cell having interface structures with essentially equal thermal impedances and manufacturing methods
JP5072564B2 (en) 2007-12-10 2012-11-14 株式会社東芝 Semiconductor memory device and memory cell voltage application method
JP4598147B2 (en) * 2007-12-10 2010-12-15 パナソニック株式会社 Nonvolatile memory device and manufacturing method thereof
US8911888B2 (en) 2007-12-16 2014-12-16 HGST Netherlands B.V. Three-dimensional magnetic memory with multi-layer data storage layers
US7759201B2 (en) * 2007-12-17 2010-07-20 Sandisk 3D Llc Method for fabricating pitch-doubling pillar structures
US7822913B2 (en) * 2007-12-20 2010-10-26 Unity Semiconductor Corporation Emulation of a NAND memory system
US7751221B2 (en) * 2007-12-21 2010-07-06 Unity Semiconductor Corporation Media player with non-volatile memory
US8283214B1 (en) 2007-12-21 2012-10-09 Intermolecular, Inc. Methods for forming nickel oxide films for use with resistive switching memory devices
US7877541B2 (en) * 2007-12-22 2011-01-25 Unity Semiconductor Corporation Method and system for accessing non-volatile memory
US20090171650A1 (en) * 2007-12-27 2009-07-02 Unity Semiconductor Corporation Non-Volatile memories in interactive entertainment systems
US7887999B2 (en) * 2007-12-27 2011-02-15 Sandisk 3D Llc Method of making a pillar pattern using triple or quadruple exposure
US7746680B2 (en) 2007-12-27 2010-06-29 Sandisk 3D, Llc Three dimensional hexagonal matrix memory array
US20090172350A1 (en) * 2007-12-28 2009-07-02 Unity Semiconductor Corporation Non-volatile processor register
KR20090072399A (en) * 2007-12-28 2009-07-02 삼성전자주식회사 Tree dimentional memory device
US8275927B2 (en) * 2007-12-31 2012-09-25 Sandisk 3D Llc Storage sub-system for a computer comprising write-once memory devices and write-many memory devices and related method
US8236623B2 (en) 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US20090166610A1 (en) * 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US8558220B2 (en) * 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US8878235B2 (en) 2007-12-31 2014-11-04 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
KR101448169B1 (en) * 2008-01-02 2014-10-13 삼성전자주식회사 Tree dimentional memory device of multi-pln achitechure
US7639527B2 (en) 2008-01-07 2009-12-29 Macronix International Co., Ltd. Phase change memory dynamic resistance test and manufacturing methods
KR101373183B1 (en) * 2008-01-15 2014-03-14 삼성전자주식회사 Semiconductor memory device with three-dimensional array structure and repair method thereof
US7768812B2 (en) 2008-01-15 2010-08-03 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US7906392B2 (en) 2008-01-15 2011-03-15 Sandisk 3D Llc Pillar devices and methods of making thereof
US7879643B2 (en) * 2008-01-18 2011-02-01 Macronix International Co., Ltd. Memory cell with memory element contacting an inverted T-shaped bottom electrode
KR20090080751A (en) * 2008-01-22 2009-07-27 삼성전자주식회사 Resistive random access memory device and method of manufacturing the same
KR20090081153A (en) * 2008-01-23 2009-07-28 삼성전자주식회사 Resistive random access memory device and method of manufacturing the same
US7879645B2 (en) * 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8158965B2 (en) 2008-02-05 2012-04-17 Macronix International Co., Ltd. Heating center PCRAM structure and methods for making
US7990762B2 (en) * 2008-02-06 2011-08-02 Unity Semiconductor Corporation Integrated circuits to control access to multiple layers of memory
US20090225621A1 (en) * 2008-03-05 2009-09-10 Shepard Daniel R Split decoder storage array and methods of forming the same
US8208284B2 (en) * 2008-03-07 2012-06-26 Unity Semiconductor Corporation Data retention structure for non-volatile memory
US8084842B2 (en) * 2008-03-25 2011-12-27 Macronix International Co., Ltd. Thermally stabilized electrode structure
US8030634B2 (en) 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
US7825398B2 (en) 2008-04-07 2010-11-02 Macronix International Co., Ltd. Memory cell having improved mechanical stability
US20090251960A1 (en) * 2008-04-07 2009-10-08 Halliburton Energy Services, Inc. High temperature memory device
US8530318B2 (en) * 2008-04-11 2013-09-10 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
EP2263252B1 (en) * 2008-04-11 2013-10-09 SanDisk 3D LLC Methods for etching carbon nano-tube films for use in non-volatile memories
US8304284B2 (en) * 2008-04-11 2012-11-06 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element, and methods of forming the same
KR101537518B1 (en) * 2008-04-11 2015-07-17 쌘디스크 3디 엘엘씨 Memory cell that includes a carbon nano-tube reversible resistance-switching element and methods of forming the same
WO2009126846A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D, Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US20100017558A1 (en) 2008-04-11 2010-01-21 Richard Matthew Fruin Memory device operable in read-only and re-writable modes of operation
US7830698B2 (en) * 2008-04-11 2010-11-09 Sandisk 3D Llc Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same
US7809873B2 (en) * 2008-04-11 2010-10-05 Sandisk Il Ltd. Direct data transfer between slave devices
US7812335B2 (en) * 2008-04-11 2010-10-12 Sandisk 3D Llc Sidewall structured switchable resistor cell
US7791057B2 (en) * 2008-04-22 2010-09-07 Macronix International Co., Ltd. Memory cell having a buried phase change region and method for fabricating the same
US7786015B2 (en) * 2008-04-28 2010-08-31 Sandisk 3D Llc Method for fabricating self-aligned complementary pillar structures and wiring
US8450835B2 (en) * 2008-04-29 2013-05-28 Sandisk 3D Llc Reverse leakage reduction and vertical height shrinking of diode with halo doping
US8211743B2 (en) 2008-05-02 2012-07-03 Micron Technology, Inc. Methods of forming non-volatile memory cells having multi-resistive state material between conductive electrodes
US8077505B2 (en) * 2008-05-07 2011-12-13 Macronix International Co., Ltd. Bipolar switching of phase change device
US7701750B2 (en) * 2008-05-08 2010-04-20 Macronix International Co., Ltd. Phase change device having two or more substantial amorphous regions in high resistance state
JP2011151049A (en) * 2008-05-16 2011-08-04 Panasonic Corp Non-volatile semiconductor memory device and method for manufacturing the same
US20090296445A1 (en) * 2008-06-02 2009-12-03 Shepard Daniel R Diode decoder array with non-sequential layout and methods of forming the same
US8415651B2 (en) * 2008-06-12 2013-04-09 Macronix International Co., Ltd. Phase change memory cell having top and bottom sidewall contacts
US8154005B2 (en) * 2008-06-13 2012-04-10 Sandisk 3D Llc Non-volatile memory arrays comprising rail stacks with a shared diode component portion for diodes of electrically isolated pillars
US8134137B2 (en) 2008-06-18 2012-03-13 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
EP2139054A3 (en) * 2008-06-25 2011-08-31 Samsung Electronics Co., Ltd. Memory device and method of manufacturing the same
US7944728B2 (en) 2008-12-19 2011-05-17 Sandisk 3D Llc Programming a memory cell with a diode in series by applying reverse bias
US8134857B2 (en) * 2008-06-27 2012-03-13 Macronix International Co., Ltd. Methods for high speed reading operation of phase change memory and device employing same
US7781269B2 (en) * 2008-06-30 2010-08-24 Sandisk 3D Llc Triangle two dimensional complementary patterning of pillars
US9343665B2 (en) 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US7733685B2 (en) * 2008-07-09 2010-06-08 Sandisk 3D Llc Cross point memory cell with distributed diodes and method of making same
US8014185B2 (en) * 2008-07-09 2011-09-06 Sandisk 3D Llc Multiple series passive element matrix cell for three-dimensional arrays
US7579232B1 (en) 2008-07-11 2009-08-25 Sandisk 3D Llc Method of making a nonvolatile memory device including forming a pillar shaped semiconductor device and a shadow mask
US20100012914A1 (en) * 2008-07-18 2010-01-21 Sandisk 3D Llc Carbon-based resistivity-switching materials and methods of forming the same
US20100019215A1 (en) * 2008-07-22 2010-01-28 Macronix International Co., Ltd. Mushroom type memory cell having self-aligned bottom electrode and diode access device
US7932506B2 (en) 2008-07-22 2011-04-26 Macronix International Co., Ltd. Fully self-aligned pore-type memory cell having diode access device
US7903457B2 (en) * 2008-08-19 2011-03-08 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
US8130528B2 (en) * 2008-08-25 2012-03-06 Sandisk 3D Llc Memory system with sectional data lines
US7943515B2 (en) * 2008-09-09 2011-05-17 Sandisk 3D Llc Shared masks for x-lines and shared masks for y-lines for fabrication of 3D memory arrays
US7719913B2 (en) * 2008-09-12 2010-05-18 Macronix International Co., Ltd. Sensing circuit for PCRAM applications
US8324605B2 (en) * 2008-10-02 2012-12-04 Macronix International Co., Ltd. Dielectric mesh isolated phase change structure for phase change memory
US8027209B2 (en) 2008-10-06 2011-09-27 Sandisk 3D, Llc Continuous programming of non-volatile memory
US7920407B2 (en) 2008-10-06 2011-04-05 Sandisk 3D, Llc Set and reset detection circuits for reversible resistance switching memory material
US8325556B2 (en) * 2008-10-07 2012-12-04 Contour Semiconductor, Inc. Sequencing decoder circuit
US7897954B2 (en) 2008-10-10 2011-03-01 Macronix International Co., Ltd. Dielectric-sandwiched pillar memory device
JP5193796B2 (en) * 2008-10-21 2013-05-08 株式会社東芝 Three-dimensional stacked nonvolatile semiconductor memory
US8397024B2 (en) * 2008-10-25 2013-03-12 Sandisk 3D Llc Page buffer program command and methods to reprogram pages without re-inputting data to a memory device
JP5300419B2 (en) * 2008-11-05 2013-09-25 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US8036014B2 (en) * 2008-11-06 2011-10-11 Macronix International Co., Ltd. Phase change memory program method without over-reset
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
US8907316B2 (en) * 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8105867B2 (en) * 2008-11-18 2012-01-31 Sandisk 3D Llc Self-aligned three-dimensional non-volatile memory fabrication
US8193074B2 (en) * 2008-11-21 2012-06-05 Sandisk 3D Llc Integration of damascene type diodes and conductive wires for memory device
US8316201B2 (en) * 2008-12-18 2012-11-20 Sandisk Il Ltd. Methods for executing a command to write data from a source location to a destination location in a memory device
US7910407B2 (en) * 2008-12-19 2011-03-22 Sandisk 3D Llc Quad memory cell and method of making same
WO2010080437A2 (en) 2008-12-19 2010-07-15 Sandisk 3D Llc Quad memory cell and method of making same
US7923812B2 (en) * 2008-12-19 2011-04-12 Sandisk 3D Llc Quad memory cell and method of making same
US8027215B2 (en) 2008-12-19 2011-09-27 Unity Semiconductor Corporation Array operation using a schottky diode as a non-ohmic isolation device
US8120068B2 (en) 2008-12-24 2012-02-21 Sandisk 3D Llc Three-dimensional memory structures having shared pillar memory cells
US7869270B2 (en) 2008-12-29 2011-01-11 Macronix International Co., Ltd. Set algorithm for phase change memory cell
US8089137B2 (en) * 2009-01-07 2012-01-03 Macronix International Co., Ltd. Integrated circuit memory with single crystal silicon on silicide driver and manufacturing method
JP2012514684A (en) 2009-01-08 2012-06-28 ダウ グローバル テクノロジーズ エルエルシー Polyurethane or polyurethane-urea tire fillings plasticized with fatty acid esters
US8107283B2 (en) * 2009-01-12 2012-01-31 Macronix International Co., Ltd. Method for setting PCRAM devices
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
US8064247B2 (en) * 2009-01-14 2011-11-22 Macronix International Co., Ltd. Rewritable memory device based on segregation/re-absorption
US8933536B2 (en) 2009-01-22 2015-01-13 Macronix International Co., Ltd. Polysilicon pillar bipolar transistor with self-aligned memory element
TWI418020B (en) * 2009-03-03 2013-12-01 Macronix Int Co Ltd 3d memory array arranged for fn tunneling program and erase
TWI433302B (en) * 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
KR20100101394A (en) * 2009-03-09 2010-09-17 삼성전자주식회사 Oxide diode, method of manufacturing the same and electronic device comprising oxide diode
JP2010225800A (en) * 2009-03-23 2010-10-07 Toshiba Corp Alignment mark, method of manufacturing semiconductor device, and mask set
JP5422237B2 (en) * 2009-03-23 2014-02-19 株式会社東芝 Method for manufacturing nonvolatile memory device
JP4875118B2 (en) * 2009-03-24 2012-02-15 株式会社東芝 Method for manufacturing nonvolatile memory device
US7978498B2 (en) 2009-04-03 2011-07-12 Sandisk 3D, Llc Programming non-volatile storage element using current from other element
US8139391B2 (en) 2009-04-03 2012-03-20 Sandisk 3D Llc Multi-bit resistance-switching memory cell
US8270199B2 (en) * 2009-04-03 2012-09-18 Sandisk 3D Llc Cross point non-volatile memory cell
US8797382B2 (en) * 2009-04-13 2014-08-05 Hewlett-Packard Development Company, L.P. Dynamically reconfigurable holograms for generating color holographic images
US8279650B2 (en) 2009-04-20 2012-10-02 Sandisk 3D Llc Memory system with data line switching scheme
US8084760B2 (en) 2009-04-20 2011-12-27 Macronix International Co., Ltd. Ring-shaped electrode and manufacturing method for same
US7940554B2 (en) * 2009-04-24 2011-05-10 Sandisk 3D Llc Reduced complexity array line drivers for 3D matrix arrays
US8829646B2 (en) * 2009-04-27 2014-09-09 Macronix International Co., Ltd. Integrated circuit 3D memory array and manufacturing method
US8173987B2 (en) * 2009-04-27 2012-05-08 Macronix International Co., Ltd. Integrated circuit 3D phase change memory array and manufacturing method
US8097871B2 (en) * 2009-04-30 2012-01-17 Macronix International Co., Ltd. Low operational current phase change memory structures
US20100283053A1 (en) 2009-05-11 2010-11-11 Sandisk 3D Llc Nonvolatile memory array comprising silicon-based diodes fabricated at low temperature
US7933139B2 (en) * 2009-05-15 2011-04-26 Macronix International Co., Ltd. One-transistor, one-resistor, one-capacitor phase change memory
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8809829B2 (en) * 2009-06-15 2014-08-19 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US8154904B2 (en) 2009-06-19 2012-04-10 Sandisk 3D Llc Programming reversible resistance switching elements
US8406033B2 (en) * 2009-06-22 2013-03-26 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US8363463B2 (en) * 2009-06-25 2013-01-29 Macronix International Co., Ltd. Phase change memory having one or more non-constant doping profiles
US8238149B2 (en) * 2009-06-25 2012-08-07 Macronix International Co., Ltd. Methods and apparatus for reducing defect bits in phase change memory
JP2011014817A (en) * 2009-07-06 2011-01-20 Toshiba Corp Nonvolatile semiconductor memory device
US8198619B2 (en) * 2009-07-15 2012-06-12 Macronix International Co., Ltd. Phase change memory cell structure
US8110822B2 (en) * 2009-07-15 2012-02-07 Macronix International Co., Ltd. Thermal protect PCRAM structure and methods for making
US7894254B2 (en) * 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US8050109B2 (en) 2009-08-10 2011-11-01 Sandisk 3D Llc Semiconductor memory with improved memory block switching
US20110040924A1 (en) * 2009-08-11 2011-02-17 Selinger Robert D Controller and Method for Detecting a Transmission Error Over a NAND Interface Using Error Detection Code
US20110041005A1 (en) 2009-08-11 2011-02-17 Selinger Robert D Controller and Method for Providing Read Status and Spare Block Management Information in a Flash Memory System
US20110041039A1 (en) * 2009-08-11 2011-02-17 Eliyahou Harari Controller and Method for Interfacing Between a Host Controller in a Host and a Flash Memory Device
US20110049456A1 (en) * 2009-09-03 2011-03-03 Macronix International Co., Ltd. Phase change structure with composite doping for phase change memory
US8064248B2 (en) * 2009-09-17 2011-11-22 Macronix International Co., Ltd. 2T2R-1T1R mix mode phase change memory array
US8207064B2 (en) 2009-09-17 2012-06-26 Sandisk 3D Llc 3D polysilicon diode with low contact resistance and method for forming same
JP2011066347A (en) 2009-09-18 2011-03-31 Toshiba Corp Semiconductor memory device
US8255655B2 (en) 2009-10-02 2012-08-28 Sandisk Technologies Inc. Authentication and securing of write-once, read-many (WORM) memory devices
CA2777540C (en) 2009-10-14 2018-05-01 Skeletal Dynamics, Llc Internal joint stabilizer for a multi-axis joint, such as a carpo-metacarpal joint or the like, and method of use
US8154128B2 (en) * 2009-10-14 2012-04-10 Macronix International Co., Ltd. 3D integrated circuit layer interconnect
US8383512B2 (en) 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
US8274130B2 (en) 2009-10-20 2012-09-25 Sandisk 3D Llc Punch-through diode steering element
US8178387B2 (en) * 2009-10-23 2012-05-15 Macronix International Co., Ltd. Methods for reducing recrystallization time for a phase change material
WO2011056281A1 (en) 2009-11-06 2011-05-12 Rambus Inc. Three-dimensional memory array stacking structure
KR101361658B1 (en) 2009-12-04 2014-02-21 한국전자통신연구원 Resistive memory device and method of fabricating the same
US8213243B2 (en) 2009-12-15 2012-07-03 Sandisk 3D Llc Program cycle skip
US8223525B2 (en) 2009-12-15 2012-07-17 Sandisk 3D Llc Page register outside array and sense amplifier interface
US8174895B2 (en) * 2009-12-15 2012-05-08 Sandisk Technologies Inc. Programming non-volatile storage with fast bit detection and verify skip
US8624293B2 (en) 2009-12-16 2014-01-07 Sandisk 3D Llc Carbon/tunneling-barrier/carbon diode
US20110151617A1 (en) * 2009-12-18 2011-06-23 Unity Semiconductor Corporation Memory and methods of forming the same to enhance scalability of non-volatile two-terminal memory cells
US8045364B2 (en) * 2009-12-18 2011-10-25 Unity Semiconductor Corporation Non-volatile memory device ion barrier
US8595411B2 (en) 2009-12-30 2013-11-26 Sandisk Technologies Inc. Method and controller for performing a sequence of commands
US8443263B2 (en) 2009-12-30 2013-05-14 Sandisk Technologies Inc. Method and controller for performing a copy-back operation
US8450181B2 (en) * 2010-01-08 2013-05-28 Sandisk 3D Llc In-situ passivation methods to improve performance of polysilicon diode
US8638584B2 (en) * 2010-02-02 2014-01-28 Unity Semiconductor Corporation Memory architectures and techniques to enhance throughput for cross-point arrays
KR20130001725A (en) 2010-02-18 2013-01-04 쌘디스크 3디 엘엘씨 Step soft program for reversible resistivity-switching elements
US8686419B2 (en) 2010-02-23 2014-04-01 Sandisk 3D Llc Structure and fabrication method for resistance-change memory cell in 3-D memory
US7832090B1 (en) 2010-02-25 2010-11-16 Unity Semiconductor Corporation Method of making a planar electrode
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8542515B2 (en) * 2010-04-30 2013-09-24 Hewlett-Packard Development Company, L.P. Connection and addressing of multi-plane crosspoint devices
US8385102B2 (en) 2010-05-11 2013-02-26 Sandisk 3D Llc Alternating bipolar forming voltage for resistivity-switching elements
US8729521B2 (en) 2010-05-12 2014-05-20 Macronix International Co., Ltd. Self aligned fin-type programmable memory cell
US8289763B2 (en) 2010-06-07 2012-10-16 Micron Technology, Inc. Memory arrays
US8310864B2 (en) 2010-06-15 2012-11-13 Macronix International Co., Ltd. Self-aligned bit line under word line memory array
US8737111B2 (en) 2010-06-18 2014-05-27 Sandisk 3D Llc Memory cell with resistance-switching layers
US8724369B2 (en) 2010-06-18 2014-05-13 Sandisk 3D Llc Composition of memory cell with resistance-switching layers
US8520425B2 (en) 2010-06-18 2013-08-27 Sandisk 3D Llc Resistive random access memory with low current operation
US8890233B2 (en) 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
JP2012028468A (en) 2010-07-21 2012-02-09 Toshiba Corp Semiconductor storage device
US9224496B2 (en) 2010-08-11 2015-12-29 Shine C. Chung Circuit and system of aggregated area anti-fuse in CMOS processes
US9025357B2 (en) 2010-08-20 2015-05-05 Shine C. Chung Programmable resistive memory unit with data and reference cells
US9818478B2 (en) 2012-12-07 2017-11-14 Attopsemi Technology Co., Ltd Programmable resistive device and memory using diode as selector
US10249379B2 (en) 2010-08-20 2019-04-02 Attopsemi Technology Co., Ltd One-time programmable devices having program selector for electrical fuses with extended area
US9460807B2 (en) 2010-08-20 2016-10-04 Shine C. Chung One-time programmable memory devices using FinFET technology
US10916317B2 (en) 2010-08-20 2021-02-09 Attopsemi Technology Co., Ltd Programmable resistance memory on thin film transistor technology
US8284589B2 (en) * 2010-08-20 2012-10-09 Sandisk 3D Llc Single device driver circuit to control three-dimensional memory element array
US9431127B2 (en) 2010-08-20 2016-08-30 Shine C. Chung Circuit and system of using junction diode as program selector for metal fuses for one-time programmable devices
US9496033B2 (en) 2010-08-20 2016-11-15 Attopsemi Technology Co., Ltd Method and system of programmable resistive devices with read capability using a low supply voltage
US9824768B2 (en) 2015-03-22 2017-11-21 Attopsemi Technology Co., Ltd Integrated OTP memory for providing MTP memory
US9711237B2 (en) 2010-08-20 2017-07-18 Attopsemi Technology Co., Ltd. Method and structure for reliable electrical fuse programming
US9251893B2 (en) 2010-08-20 2016-02-02 Shine C. Chung Multiple-bit programmable resistive memory using diode as program selector
US8854859B2 (en) 2010-08-20 2014-10-07 Shine C. Chung Programmably reversible resistive device cells using CMOS logic processes
US9236141B2 (en) 2010-08-20 2016-01-12 Shine C. Chung Circuit and system of using junction diode of MOS as program selector for programmable resistive devices
US10923204B2 (en) 2010-08-20 2021-02-16 Attopsemi Technology Co., Ltd Fully testible OTP memory
US9070437B2 (en) 2010-08-20 2015-06-30 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices with heat sink
US10229746B2 (en) 2010-08-20 2019-03-12 Attopsemi Technology Co., Ltd OTP memory with high data security
US9019742B2 (en) 2010-08-20 2015-04-28 Shine C. Chung Multiple-state one-time programmable (OTP) memory to function as multi-time programmable (MTP) memory
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
US8883589B2 (en) 2010-09-28 2014-11-11 Sandisk 3D Llc Counter doping compensation methods to improve diode performance
US8395935B2 (en) 2010-10-06 2013-03-12 Macronix International Co., Ltd. Cross-point self-aligned reduced cell size phase change memory
US10497713B2 (en) * 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US8526213B2 (en) 2010-11-01 2013-09-03 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
US8923085B2 (en) 2010-11-03 2014-12-30 Shine C. Chung Low-pin-count non-volatile memory embedded in a integrated circuit without any additional pins for access
US9019791B2 (en) 2010-11-03 2015-04-28 Shine C. Chung Low-pin-count non-volatile memory interface for 3D IC
US8988965B2 (en) 2010-11-03 2015-03-24 Shine C. Chung Low-pin-count non-volatile memory interface
US8497705B2 (en) 2010-11-09 2013-07-30 Macronix International Co., Ltd. Phase change device for interconnection of programmable logic device
US8467238B2 (en) 2010-11-15 2013-06-18 Macronix International Co., Ltd. Dynamic pulse operation for phase change memory
US9136005B2 (en) 2010-11-16 2015-09-15 Samsung Electronics Co., Ltd. Erasing methods of three-dimensional nonvolatile memory devices with cell strings and dummy word lines
KR101742790B1 (en) * 2010-11-16 2017-06-01 삼성전자주식회사 Nonvolatile memory device, erasing method thereof and memoryb system including the same
US8462580B2 (en) 2010-11-17 2013-06-11 Sandisk 3D Llc Memory system with reversible resistivity-switching using pulses of alternatrie polarity
US8355271B2 (en) 2010-11-17 2013-01-15 Sandisk 3D Llc Memory system with reversible resistivity-switching using pulses of alternate polarity
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US9496265B2 (en) 2010-12-08 2016-11-15 Attopsemi Technology Co., Ltd Circuit and system of a high density anti-fuse
US8819328B2 (en) 2010-12-30 2014-08-26 Sandisk Technologies Inc. Controller and method for performing background operations
US8426306B1 (en) 2010-12-31 2013-04-23 Crossbar, Inc. Three dimension programmable resistive random accessed memory array with shared bitline and method
US8598032B2 (en) 2011-01-19 2013-12-03 Macronix International Co., Ltd Reduced number of masks for IC device with stacked contact levels
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8503213B2 (en) 2011-01-19 2013-08-06 Macronix International Co., Ltd. Memory architecture of 3D array with alternating memory string orientation and string select structures
US10586832B2 (en) 2011-02-14 2020-03-10 Attopsemi Technology Co., Ltd One-time programmable devices using gate-all-around structures
US10192615B2 (en) 2011-02-14 2019-01-29 Attopsemi Technology Co., Ltd One-time programmable devices having a semiconductor fin structure with a divided active region
US8848423B2 (en) 2011-02-14 2014-09-30 Shine C. Chung Circuit and system of using FinFET for building programmable resistive devices
US8488365B2 (en) 2011-02-24 2013-07-16 Micron Technology, Inc. Memory cells
US8699259B2 (en) 2011-03-02 2014-04-15 Sandisk 3D Llc Non-volatile storage system using opposite polarity programming signals for MIM memory cell
US8553476B2 (en) 2011-03-03 2013-10-08 Sandisk 3D Llc Three dimensional memory system with page of data across word lines
US9053766B2 (en) 2011-03-03 2015-06-09 Sandisk 3D, Llc Three dimensional memory system with intelligent select circuit
US8374051B2 (en) 2011-03-03 2013-02-12 Sandisk 3D Llc Three dimensional memory system with column pipeline
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
US8934292B2 (en) 2011-03-18 2015-01-13 Sandisk 3D Llc Balanced method for programming multi-layer cell memories
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8699293B2 (en) 2011-04-27 2014-04-15 Sandisk 3D Llc Non-volatile storage system with dual block programming
JP2012244180A (en) 2011-05-24 2012-12-10 Macronix Internatl Co Ltd Multi-layer structure and manufacturing method for the same
US10566056B2 (en) 2011-06-10 2020-02-18 Unity Semiconductor Corporation Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations
US8891276B2 (en) 2011-06-10 2014-11-18 Unity Semiconductor Corporation Memory array with local bitlines and local-to-global bitline pass gates and gain stages
US9117495B2 (en) 2011-06-10 2015-08-25 Unity Semiconductor Corporation Global bit line pre-charge circuit that compensates for process, operating voltage, and temperature variations
KR20120137862A (en) 2011-06-13 2012-12-24 삼성전자주식회사 Semiconductor memory device having three-dimensional double cross point array
US8694719B2 (en) 2011-06-24 2014-04-08 Sandisk Technologies Inc. Controller, storage device, and method for power throttling memory operations
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8873271B2 (en) * 2011-08-14 2014-10-28 International Business Machines Corporation 3D architecture for bipolar memory using bipolar access device
US9003102B2 (en) 2011-08-26 2015-04-07 Sandisk Technologies Inc. Controller with extended status register and method of use therewith
US9305605B2 (en) 2011-09-01 2016-04-05 Chengdu Haicun Ip Technology Llc Discrete three-dimensional vertical memory
US9396764B2 (en) 2011-09-01 2016-07-19 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional memory
US9117493B2 (en) 2011-09-01 2015-08-25 Chengdu Haicun Ip Technology Llc Discrete three-dimensional memory comprising off-die address/data translator
US9299390B2 (en) 2011-09-01 2016-03-29 HangZhou HaiCun Informationa Technology Co., Ltd. Discrete three-dimensional vertical memory comprising off-die voltage generator
US9190412B2 (en) 2011-09-01 2015-11-17 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional offset-printed memory
US9559082B2 (en) 2011-09-01 2017-01-31 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional vertical memory comprising dice with different interconnect levels
US8699257B2 (en) 2011-09-01 2014-04-15 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional writable printed memory
US8890300B2 (en) 2011-09-01 2014-11-18 Chengdu Haicun Ip Technology Llc Discrete three-dimensional memory comprising off-die read/write-voltage generator
US8921991B2 (en) 2011-09-01 2014-12-30 Chengdu Haicun Ip Technology Llc Discrete three-dimensional memory
US9024425B2 (en) 2011-09-01 2015-05-05 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional memory comprising an integrated intermediate-circuit die
US9305604B2 (en) 2011-09-01 2016-04-05 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional vertical memory comprising off-die address/data-translator
US9093129B2 (en) 2011-09-01 2015-07-28 Chengdu Haicun Ip Technology Llc Discrete three-dimensional memory comprising dice with different BEOL structures
US9508395B2 (en) 2011-09-01 2016-11-29 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional one-time-programmable memory comprising off-die read/write-voltage generator
US9666300B2 (en) 2011-09-01 2017-05-30 XiaMen HaiCun IP Technology LLC Three-dimensional one-time-programmable memory comprising off-die address/data-translator
US9558842B2 (en) 2011-09-01 2017-01-31 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional one-time-programmable memory
US9123393B2 (en) 2011-09-01 2015-09-01 HangZhou KiCun nformation Technology Co. Ltd. Discrete three-dimensional vertical memory
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US8574992B2 (en) 2011-09-22 2013-11-05 Macronix International Co., Ltd. Contact architecture for 3D memory array
JP5537524B2 (en) * 2011-09-22 2014-07-02 株式会社東芝 Resistance change memory
US8541882B2 (en) 2011-09-22 2013-09-24 Macronix International Co. Ltd. Stacked IC device with recessed conductive layers adjacent to interlevel conductors
KR101115756B1 (en) * 2011-09-23 2012-03-06 권의필 Highly integrated programmable non-volatile memory and the manufacturing method thereof
US9082656B2 (en) 2011-11-11 2015-07-14 Macronix International Co., Ltd. NAND flash with non-trapping switch transistors
US9136261B2 (en) 2011-11-15 2015-09-15 Shine C. Chung Structures and techniques for using mesh-structure diodes for electro-static discharge (ESD) protection
US9324849B2 (en) 2011-11-15 2016-04-26 Shine C. Chung Structures and techniques for using semiconductor body to construct SCR, DIAC, or TRIAC
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
US8987700B2 (en) 2011-12-02 2015-03-24 Macronix International Co., Ltd. Thermally confined electrode for programmable resistance memory
US20130148404A1 (en) 2011-12-08 2013-06-13 Abhijit Bandyopadhyay Antifuse-based memory cells having multiple memory states and methods of forming the same
US8570806B2 (en) 2011-12-13 2013-10-29 Macronix International Co., Ltd. Z-direction decoding for three dimensional memory array
US9035275B2 (en) 2011-12-19 2015-05-19 Macronix International Co., Ltd. Three dimensional memory array adjacent to trench sidewalls
US8587998B2 (en) 2012-01-06 2013-11-19 Macronix International Co., Ltd. 3D memory array with read bit line shielding
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
US9007804B2 (en) 2012-02-06 2015-04-14 Shine C. Chung Circuit and system of protective mechanisms for programmable resistive memories
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
KR101144443B1 (en) * 2012-03-13 2012-05-10 권의필 Non-volatile memory including multi-layer memory cells and the manufacturing method thereof
WO2013145736A1 (en) 2012-03-29 2013-10-03 パナソニック株式会社 Nonvolatile storage device
US9001555B2 (en) 2012-03-30 2015-04-07 Chengdu Haicun Ip Technology Llc Small-grain three-dimensional memory
US20130292634A1 (en) 2012-05-07 2013-11-07 Yung-Tin Chen Resistance-switching memory cells having reduced metal migration and low current operation and methods of forming the same
US8987098B2 (en) 2012-06-19 2015-03-24 Macronix International Co., Ltd. Damascene word line
US8633099B1 (en) 2012-07-19 2014-01-21 Macronix International Co., Ltd. Method for forming interlayer connectors in a three-dimensional stacked IC device
US8927957B2 (en) 2012-08-09 2015-01-06 Macronix International Co., Ltd. Sidewall diode driving device and memory using same
US8736069B2 (en) 2012-08-23 2014-05-27 Macronix International Co., Ltd. Multi-level vertical plug formation with stop layers of increasing thicknesses
US9076526B2 (en) 2012-09-10 2015-07-07 Shine C. Chung OTP memories functioning as an MTP memory
US9183897B2 (en) 2012-09-30 2015-11-10 Shine C. Chung Circuits and methods of a self-timed high speed SRAM
US9196315B2 (en) 2012-11-19 2015-11-24 Macronix International Co., Ltd. Three dimensional gate structures with horizontal extensions
US9324447B2 (en) 2012-11-20 2016-04-26 Shine C. Chung Circuit and system for concurrently programming multiple bits of OTP memory devices
US9224474B2 (en) 2013-01-09 2015-12-29 Macronix International Co., Ltd. P-channel 3D memory array and methods to program and erase the same at bit level and block level utilizing band-to-band and fowler-nordheim tunneling principals
US8759899B1 (en) 2013-01-11 2014-06-24 Macronix International Co., Ltd. Integration of 3D stacked IC device with peripheral circuits
US9171636B2 (en) 2013-01-29 2015-10-27 Macronix International Co. Ltd. Hot carrier generation and programming in NAND flash
US8987914B2 (en) 2013-02-07 2015-03-24 Macronix International Co., Ltd. Conductor structure and method
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
US9007810B2 (en) 2013-02-28 2015-04-14 Sandisk 3D Llc ReRAM forming with reset and iload compensation
US9214351B2 (en) 2013-03-12 2015-12-15 Macronix International Co., Ltd. Memory architecture of thin film 3D array
US8993429B2 (en) 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US9379126B2 (en) 2013-03-14 2016-06-28 Macronix International Co., Ltd. Damascene conductor for a 3D device
US8947944B2 (en) 2013-03-15 2015-02-03 Sandisk 3D Llc Program cycle skip evaluation before write operations in non-volatile memory
US8947972B2 (en) 2013-03-15 2015-02-03 Sandisk 3D Llc Dynamic address grouping for parallel programming in non-volatile memory
US9293509B2 (en) 2013-03-20 2016-03-22 HangZhou HaiCun Information Technology Co., Ltd. Small-grain three-dimensional memory
US9117526B2 (en) 2013-07-08 2015-08-25 Macronix International Co., Ltd. Substrate connection of three dimensional NAND for improving erase performance
US9076535B2 (en) 2013-07-08 2015-07-07 Macronix International Co., Ltd. Array arrangement including carrier source
US8995169B1 (en) 2013-09-12 2015-03-31 Sandisk 3D Llc Method of operating FET low current 3D Re-RAM
US9099538B2 (en) 2013-09-17 2015-08-04 Macronix International Co., Ltd. Conductor with a plurality of vertical extensions for a 3D device
US9257431B2 (en) * 2013-09-25 2016-02-09 Micron Technology, Inc. Memory cell with independently-sized electrode
US9070447B2 (en) 2013-09-26 2015-06-30 Macronix International Co., Ltd. Contact structure and forming method
US8970040B1 (en) 2013-09-26 2015-03-03 Macronix International Co., Ltd. Contact structure and forming method
KR102079599B1 (en) * 2013-11-29 2020-02-21 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same
US9343322B2 (en) 2014-01-17 2016-05-17 Macronix International Co., Ltd. Three dimensional stacking memory film structure
US9336879B2 (en) 2014-01-24 2016-05-10 Macronix International Co., Ltd. Multiple phase change materials in an integrated circuit for system on a chip application
CN104851885B (en) * 2014-02-13 2018-12-21 中国科学院微电子研究所 Manufacturing method of OTP memory array
US10079239B2 (en) 2014-04-14 2018-09-18 HangZhou HaiCun Information Technology Co., Ltd. Compact three-dimensional mask-programmed read-only memory
US10304553B2 (en) 2014-04-14 2019-05-28 HangZhou HaiCun Information Technology Co., Ltd. Compact three-dimensional memory with an above-substrate decoding stage
US10199432B2 (en) 2014-04-14 2019-02-05 HangZhou HaiCun Information Technology Co., Ltd. Manufacturing methods of MOSFET-type compact three-dimensional memory
US10446193B2 (en) 2014-04-14 2019-10-15 HangZhou HaiCun Information Technology Co., Ltd. Mixed three-dimensional memory
US10304495B2 (en) 2014-04-14 2019-05-28 Chengdu Haicun Ip Technology Llc Compact three-dimensional memory with semi-conductive address line portion
US10211258B2 (en) 2014-04-14 2019-02-19 HangZhou HaiCun Information Technology Co., Ltd. Manufacturing methods of JFET-type compact three-dimensional memory
CN104979352A (en) 2014-04-14 2015-10-14 成都海存艾匹科技有限公司 Mixed Three-dimensional Printed Memory
CN104978990B (en) 2014-04-14 2017-11-10 成都海存艾匹科技有限公司 Compact three-dimensional storage
US9559113B2 (en) 2014-05-01 2017-01-31 Macronix International Co., Ltd. SSL/GSL gate oxide in 3D vertical channel NAND
US9196628B1 (en) 2014-05-08 2015-11-24 Macronix International Co., Ltd. 3D stacked IC device with stepped substack interlayer connectors
US9721964B2 (en) 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9412473B2 (en) 2014-06-16 2016-08-09 Shine C. Chung System and method of a novel redundancy scheme for OTP
US9373409B2 (en) 2014-07-08 2016-06-21 Macronix International Co., Ltd. Systems and methods for reduced program disturb for 3D NAND flash
US9159412B1 (en) 2014-07-15 2015-10-13 Macronix International Co., Ltd. Staggered write and verify for phase change memory
JP5756971B1 (en) 2014-10-31 2015-07-29 株式会社フローディア Antifuse memory and semiconductor memory device
US9627395B2 (en) 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US9502642B2 (en) 2015-04-10 2016-11-22 Micron Technology, Inc. Magnetic tunnel junctions, methods used while forming magnetic tunnel junctions, and methods of forming magnetic tunnel junctions
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
US9530959B2 (en) 2015-04-15 2016-12-27 Micron Technology, Inc. Magnetic tunnel junctions
US9520553B2 (en) 2015-04-15 2016-12-13 Micron Technology, Inc. Methods of forming a magnetic electrode of a magnetic tunnel junction and methods of forming a magnetic tunnel junction
US9257136B1 (en) 2015-05-05 2016-02-09 Micron Technology, Inc. Magnetic tunnel junctions
US9478259B1 (en) 2015-05-05 2016-10-25 Macronix International Co., Ltd. 3D voltage switching transistors for 3D vertical gate memory array
US9960346B2 (en) 2015-05-07 2018-05-01 Micron Technology, Inc. Magnetic tunnel junctions
KR20160138883A (en) * 2015-05-26 2016-12-06 에스케이하이닉스 주식회사 Semiconductor device and manufacturing method of the same
US9672906B2 (en) 2015-06-19 2017-06-06 Macronix International Co., Ltd. Phase change memory with inter-granular switching
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US11527523B2 (en) 2018-12-10 2022-12-13 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional processor
US10560475B2 (en) 2016-03-07 2020-02-11 Chengdu Haicun Ip Technology Llc Processor for enhancing network security
US10489590B2 (en) 2016-03-07 2019-11-26 Chengdu Haicun Ip Technology Llc Processor for enhancing computer security
WO2017162129A1 (en) 2016-03-21 2017-09-28 成都海存艾匹科技有限公司 Integrated neuroprocessor comprising three-dimensional memory array
US11055606B2 (en) 2016-03-21 2021-07-06 HangZhou HaiCun Information Technology Co., Ltd. Vertically integrated neuro-processor
CN107301878B (en) 2016-04-14 2020-09-25 成都海存艾匹科技有限公司 Multi-bit three-dimensional one-time programming memory
US11170863B2 (en) 2016-04-14 2021-11-09 Southern University Of Science And Technology Multi-bit-per-cell three-dimensional resistive random-access memory (3D-RRAM)
US10490562B2 (en) 2016-04-16 2019-11-26 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional vertical one-time-programmable memory comprising multiple antifuse sub-layers
CN107316869A (en) 2016-04-16 2017-11-03 成都海存艾匹科技有限公司 Three-dimensional longitudinal direction one-time programming memory
US10559574B2 (en) 2016-04-16 2020-02-11 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional vertical one-time-programmable memory comprising Schottky diodes
US9680089B1 (en) 2016-05-13 2017-06-13 Micron Technology, Inc. Magnetic tunnel junctions
US9806256B1 (en) 2016-10-21 2017-10-31 Sandisk Technologies Llc Resistive memory device having sidewall spacer electrode and method of making thereof
US10164002B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and layout method
US9792958B1 (en) 2017-02-16 2017-10-17 Micron Technology, Inc. Active boundary quilt architecture memory
US10347333B2 (en) 2017-02-16 2019-07-09 Micron Technology, Inc. Efficient utilization of memory die area
US10535413B2 (en) 2017-04-14 2020-01-14 Attopsemi Technology Co., Ltd Low power read operation for programmable resistive memories
US11615859B2 (en) 2017-04-14 2023-03-28 Attopsemi Technology Co., Ltd One-time programmable memories with ultra-low power read operation and novel sensing scheme
US11062786B2 (en) 2017-04-14 2021-07-13 Attopsemi Technology Co., Ltd One-time programmable memories with low power read operation and novel sensing scheme
US10726914B2 (en) 2017-04-14 2020-07-28 Attopsemi Technology Co. Ltd Programmable resistive memories with low power read operation and novel sensing scheme
US10714172B2 (en) 2017-09-21 2020-07-14 HangZhou HaiCun Information Technology Co., Ltd. Bi-sided pattern processor
US10770160B2 (en) 2017-11-30 2020-09-08 Attopsemi Technology Co., Ltd Programmable resistive memory formed by bit slices from a standard cell library
US10566388B2 (en) 2018-05-27 2020-02-18 HangZhou HaiCun Information Technology Co., Ltd. Three-dimensional vertical memory
US10883953B2 (en) 2018-10-16 2021-01-05 Texas Instruments Incorporated Semiconductor device for sensing impedance changes in a medium
US11734550B2 (en) 2018-12-10 2023-08-22 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional processor
US11296068B2 (en) 2018-12-10 2022-04-05 HangZhou HaiCun Information Technology Co., Ltd. Discrete three-dimensional processor
US10978343B2 (en) * 2019-08-16 2021-04-13 International Business Machines Corporation Interconnect structure having fully aligned vias
US12087397B1 (en) 2020-04-06 2024-09-10 Crossbar, Inc. Dynamic host allocation of physical unclonable feature operation for resistive switching memory
US11423984B2 (en) * 2020-04-06 2022-08-23 Crossbar, Inc. Distinct chip identifier sequence utilizing unclonable characteristics of resistive memory on a chip
US11823739B2 (en) 2020-04-06 2023-11-21 Crossbar, Inc. Physically unclonable function (PUF) generation involving high side programming of bits
US11682471B2 (en) 2020-05-28 2023-06-20 International Business Machines Corporation Dual damascene crossbar array for disabling a defective resistive switching device in the array
KR102673376B1 (en) * 2020-08-20 2024-06-05 삼성전자주식회사 Non-volatile memory device for controlling misalignment
US12063794B2 (en) 2020-11-24 2024-08-13 Southern University Of Science And Technology High-density three-dimensional vertical memory
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations
KR20240000599A (en) 2021-09-17 2024-01-02 창신 메모리 테크놀로지즈 아이엔씨 Antifuse array structure and memory
CN115835628A (en) * 2021-09-17 2023-03-21 长鑫存储技术有限公司 Anti-fuse array structure and memory
CN118588683A (en) * 2023-02-24 2024-09-03 长鑫存储技术有限公司 Antifuse unit and manufacturing method thereof, antifuse array and operation method

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634929A (en) * 1968-11-02 1972-01-18 Tokyo Shibaura Electric Co Method of manufacturing semiconductor integrated circuits
US3582908A (en) * 1969-03-10 1971-06-01 Bell Telephone Labor Inc Writing a read-only memory while protecting nonselected elements
US3576549A (en) * 1969-04-14 1971-04-27 Cogar Corp Semiconductor device, method, and memory array
US3671948A (en) * 1970-09-25 1972-06-20 North American Rockwell Read-only memory
FR2134172B1 (en) * 1971-04-23 1977-03-18 Radiotechnique Compelec
US3696349A (en) * 1971-06-04 1972-10-03 Sperry Rand Corp Block organized random access memory
US3717852A (en) * 1971-09-17 1973-02-20 Ibm Electronically rewritable read-only memory using via connections
US3728695A (en) * 1971-10-06 1973-04-17 Intel Corp Random-access floating gate mos memory array
US3990098A (en) * 1972-12-22 1976-11-02 E. I. Du Pont De Nemours And Co. Structure capable of forming a diode and associated conductive path
US3863231A (en) * 1973-07-23 1975-01-28 Nat Res Dev Read only memory with annular fuse links
JPS5267532A (en) * 1975-12-03 1977-06-04 Nippon Telegr & Teleph Corp <Ntt> Semiconductor memory unit
US4151021A (en) * 1977-01-26 1979-04-24 Texas Instruments Incorporated Method of making a high density floating gate electrically programmable ROM
FR2404895A1 (en) * 1977-09-30 1979-04-27 Radiotechnique Compelec PROGRAMMABLE MEMORY CELL WITH SEMICONDUCTOR DIODES
CA1135854A (en) * 1977-09-30 1982-11-16 Michel Moussie Programmable read only memory cell
US4203123A (en) * 1977-12-12 1980-05-13 Burroughs Corporation Thin film memory device employing amorphous semiconductor materials
US4203158A (en) * 1978-02-24 1980-05-13 Intel Corporation Electrically programmable and erasable MOS floating gate memory device employing tunneling and method of fabricating same
US4272880A (en) 1979-04-20 1981-06-16 Intel Corporation MOS/SOS Process
US4281397A (en) * 1979-10-29 1981-07-28 Texas Instruments Incorporated Virtual ground MOS EPROM or ROM matrix
US4419741A (en) * 1980-01-28 1983-12-06 Rca Corporation Read only memory (ROM) having high density memory array with on pitch decoder circuitry
US4499557A (en) * 1980-10-28 1985-02-12 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4420766A (en) * 1981-02-09 1983-12-13 Harris Corporation Reversibly programmable polycrystalline silicon memory element
US4442507A (en) * 1981-02-23 1984-04-10 Burroughs Corporation Electrically programmable read-only memory stacked above a semiconductor substrate
EP0073487B1 (en) 1981-08-31 1988-07-20 Kabushiki Kaisha Toshiba Method for manufacturing three-dimensional semiconductor device
US4489478A (en) 1981-09-29 1984-12-25 Fujitsu Limited Process for producing a three-dimensional semiconductor device
US4507757A (en) * 1982-03-23 1985-03-26 Texas Instruments Incorporated Avalanche fuse element in programmable memory
US4543594A (en) * 1982-09-07 1985-09-24 Intel Corporation Fusible link employing capacitor structure
US4569121A (en) * 1983-03-07 1986-02-11 Signetics Corporation Method of fabricating a programmable read-only memory cell incorporating an antifuse utilizing deposition of amorphous semiconductor layer
US4646266A (en) * 1984-09-28 1987-02-24 Energy Conversion Devices, Inc. Programmable semiconductor structures and methods for using the same
JPS61181168A (en) * 1985-02-07 1986-08-13 Nec Corp Nonvolatile semiconductor memory device
JPS6258673A (en) * 1985-09-09 1987-03-14 Fujitsu Ltd Semiconductor storage device
US4943538A (en) * 1986-05-09 1990-07-24 Actel Corporation Programmable low impedance anti-fuse element
US4899205A (en) * 1986-05-09 1990-02-06 Actel Corporation Electrically-programmable low-impedance anti-fuse element
US4823181A (en) * 1986-05-09 1989-04-18 Actel Corporation Programmable low impedance anti-fuse element
US4876220A (en) * 1986-05-16 1989-10-24 Actel Corporation Method of making programmable low impedance interconnect diode element
US4881114A (en) * 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
US4820657A (en) * 1987-02-06 1989-04-11 Georgia Tech Research Corporation Method for altering characteristics of junction semiconductor devices
US4855953A (en) * 1987-02-25 1989-08-08 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device having stacked memory capacitors and method for manufacturing the same
US5306935A (en) 1988-12-21 1994-04-26 Texas Instruments Incorporated Method of forming a nonvolatile stacked memory
US5070383A (en) * 1989-01-10 1991-12-03 Zoran Corporation Programmable memory matrix employing voltage-variable resistors
US5070384A (en) 1990-04-12 1991-12-03 Actel Corporation Electrically programmable antifuse element incorporating a dielectric and amorphous silicon interlayer
US5780323A (en) * 1990-04-12 1998-07-14 Actel Corporation Fabrication method for metal-to-metal antifuses incorporating a tungsten via plug
US5311039A (en) * 1990-04-24 1994-05-10 Seiko Epson Corporation PROM and ROM memory cells
US5106773A (en) * 1990-10-09 1992-04-21 Texas Instruments Incorporated Programmable gate array and methods for its fabrication
US5334880A (en) * 1991-04-30 1994-08-02 International Business Machines Corporation Low voltage programmable storage element
US5313421A (en) * 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
US5712180A (en) * 1992-01-14 1998-01-27 Sundisk Corporation EEPROM with split gate source side injection
US5536968A (en) * 1992-12-18 1996-07-16 At&T Global Information Solutions Company Polysilicon fuse array structure for integrated circuits
US5391518A (en) * 1993-09-24 1995-02-21 Vlsi Technology, Inc. Method of making a field programmable read only memory (ROM) cell using an amorphous silicon fuse with buried contact polysilicon and metal electrodes
US5427979A (en) * 1993-10-18 1995-06-27 Vlsi Technology, Inc. Method for making multi-level antifuse structure
JPH07263647A (en) * 1994-02-04 1995-10-13 Canon Inc Electronic circuit device
JP3501416B2 (en) * 1994-04-28 2004-03-02 忠弘 大見 Semiconductor device
US5535156A (en) 1994-05-05 1996-07-09 California Institute Of Technology Transistorless, multistable current-mode memory cells and memory arrays and methods of reading and writing to the same
US5463244A (en) * 1994-05-26 1995-10-31 Symetrix Corporation Antifuse programmable element using ferroelectric material
US5441907A (en) * 1994-06-27 1995-08-15 Taiwan Semiconductor Manufacturing Company Process for manufacturing a plug-diode mask ROM
US5675547A (en) * 1995-06-01 1997-10-07 Sony Corporation One time programmable read only memory programmed by destruction of insulating layer
US5751012A (en) * 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
US5835396A (en) * 1996-10-17 1998-11-10 Zhang; Guobiao Three-dimensional read-only memory
TW306005B (en) * 1996-11-22 1997-05-21 United Microelectronics Corp Decoding method of diode-type read only memory array
US5955751A (en) * 1998-08-13 1999-09-21 Quicklogic Corporation Programmable device having antifuses without programmable material edges and/or corners underneath metal

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI401684B (en) * 2004-08-17 2013-07-11 Spansion Llc Systems and methods for adjusting programming thresholds of polymer memory cells
TWI671746B (en) * 2015-04-06 2019-09-11 南韓商愛思開海力士有限公司 Antifuse memory cells and arrays thereof
TWI707447B (en) * 2017-07-26 2020-10-11 美商美光科技公司 Self-aligned memory decks in cross-point memory arrays
US11018300B2 (en) 2017-07-26 2021-05-25 Micron Technology, Inc. Self-aligned memory decks in cross-point memory arrays

Also Published As

Publication number Publication date
KR20010101020A (en) 2001-11-14
US6034882A (en) 2000-03-07
JP3639786B2 (en) 2005-04-20
KR100429083B1 (en) 2004-04-29
AU3874599A (en) 2000-06-05
US6185122B1 (en) 2001-02-06
CN1691339A (en) 2005-11-02
CN1213437C (en) 2005-08-03
JP2002530850A (en) 2002-09-17
EP1141963A4 (en) 2007-05-09
CN1339159A (en) 2002-03-06
WO2000030118A1 (en) 2000-05-25
EP1141963A1 (en) 2001-10-10

Similar Documents

Publication Publication Date Title
TW425561B (en) Vertically stacked field programmable nonvolatile memory and method of fabrication
US8036018B2 (en) Non-volatile memory devices including stacked NAND-type resistive memory cell strings
US9214243B2 (en) Three-dimensional nonvolatile memory and method of fabrication
US6351406B1 (en) Vertically stacked field programmable nonvolatile memory and method of fabrication
US10115820B2 (en) Vertical transistors with sidewall gate air gaps and methods therefor
US10541273B2 (en) Vertical thin film transistors with isolation
US10686012B2 (en) Resistance change type memory

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees