TW400540B - Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber - Google Patents

Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber Download PDF

Info

Publication number
TW400540B
TW400540B TW087104841A TW87104841A TW400540B TW 400540 B TW400540 B TW 400540B TW 087104841 A TW087104841 A TW 087104841A TW 87104841 A TW87104841 A TW 87104841A TW 400540 B TW400540 B TW 400540B
Authority
TW
Taiwan
Prior art keywords
processing chamber
substrate
antenna
plasma
patent application
Prior art date
Application number
TW087104841A
Other languages
English (en)
Inventor
William S Kennedy
Albert J Lamm
Thomas E Wicker
Robert A Maraschin
Original Assignee
Lam Res Corppration
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corppration filed Critical Lam Res Corppration
Application granted granted Critical
Publication of TW400540B publication Critical patent/TW400540B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

第87104841號專利申請案 中文說明書修正頁(88年12月) 八7 B7 五、/ 經濟部中央樣準局員工消费合作社印製 刻入各種不同的材料層中。於諸此蝕刻處理中,可利用氣 體分佈板控制基板平面上反應器體積中之氣流空間分佈 。可自LAM研究公司取得之tcPtm 9100TM電漿蝕刻反應 器中’氣體分佈板為圓板’其直接被配合在TCPTM窗之下 ,其亦是在反應器頂部處於一平面上及平行半導體晶圓 之真空密封表面上。氣體分佈板乃以〇_形環利用至位在氣 體分佈板周圍處之氣體分佈環而被密封。氣體分佈環乃將 氣體由源極饋入由氣哮分佈板界定之體積内,在天線下的 窗内表面’以游絲形線圈形式將射頻能提供入反應器、及 氣體分佈環。氣體分佈板乃含有特定直徑之空孔陣列延伸 通過此板。可改變通過氣體分佈板之空孔空間分佈,以使 欲钱刻層之蝕刻均勻性最佳化,例如,光阻層、二氧化矽 層及晶圓上之下層材料。可將氣體分佈板之橫截面形狀加 以改變,以控制分佈進入反應器中之電漿射頻能分佈。氣 體分佈板材質必須是介電質,以使此射頻能之耦合能通過 氣體分佈板進入反應器。另外,氣體分佈板材質於諸如氧 氣或氩氟碳氣體電漿之環境中對化學濺鍍蝕刻為高阻抗 較佳’以避免與其有關的崩潰和最終的微粒產生。 根據本發明其中一個具體實施例,真空處理室乃示於圖 1中°真空處理室1〇係含有以底電極為形式之基板支架12 ’其提供靜電鉗力至基板13並彳吏射頻偏壓提供至被支撐 在其上的基板’及聚焦環14以侷限基板上之區域中被He 背向冷卻之電漿。維持室内在高泰度(例如1〇π_1〇ΐ2離子/ 公分3)電漿的能源,其諸如以游絲形線圈形式之天線丨8係 -8 - 本紙張尺度適用中國®家縣(CNS )八4胁(21GX297公釐) --^---^-----裝--;--:--^1Τ------線 (請先閱讀背面之注意ί項再填寫本頁) A7 B7 五、發明説明(1 ) - - 一 發明範圍 此發明係關於電漿反應器之改良,及關於電漿反應器中 於半導體晶圓上以諸如利用電漿蚀刻氧化物層處理積板的 方法。 發明背景 眞空處理室一般乃被用在化學氣相沈積(CVD)及蝕刻基 板上之材料,其利用將處理氣體提供至眞空室並將射頻場 施加至此氣體。平行板、變壓器耦合電漿(TCPTM,亦被稱 作ICP)、電子迴旋加速器共Jl(ECR)反4器之實例係揭示 於共同持有之美國專利案第4,340,462號;4,948,458號: 及5,200,232號中。於處理期間係利用基板支架使基板被固 定在眞空室之内。傳統之基板支架乃包括機械夾鉗及靜電 夹鉗(ESC)。機械失鉗及ESC基板支架之實例係提供於共 同持有之美國專利案第5,202,029號及共同持有之美國專 利申請案第08/401,524號中,其於1995年3月1〇號被歸 檔。以電極形式之基板支架係可將射頻(射頻)功率提供入 處理室中,如美國專利案第4,579,618號所揭示。 經濟部中央標準局員工消費合作社印51 ---;----------^-----^-I订 (請先閲讀背面之注意事項再填寫本頁) - 其中天線聯結至射頻(射頻)源其於處理室内使氣體激發 至電漿狀態下之電漿反應器,係揭示在美國專利案第 4,948,458 號;5,198,718 號;5,241,245 號;5,304,279 號 :5,4〇1,350 號;5,53 1,834 號;5,464,476 號;5,525,159 號;5,5 2 9,6 5 7號;及5,5 8 0,3 8·5號。於諸此系統中,係利 用諸如介電窗、氣體分佈板、環氧樹脂封裝層、或類似之 介電構件使天線與處理室之内部隔離,而射頻能乃經由介 4- t紙琅尺度適用中國國家標準(CNS ) Α4規格(2ΙΟΧ 297公釐) 五、發明説明(2 ) 電構件被提供入處理室内。諸此處理系統可被用於諸如海 刻、沈積、光阻剝離等之各式半導體處理應用。 在電漿處理室中於半導體晶圓之氧化物蝕刻期間,聚合 物遮堆積在介電構件之曝露表面上。隨聚合物堆積加深, 處理基板時的均勻性乃會受到影響及/或後續聚合物乃會 將介電構件剝落。若介電構件是直接位在基板及卡盤上, 聚合物微粒則能直接落在基板上或以下的卡盤。此係會毀 壞基板,其降低良率或造成卡片問題。此外,處理必須被 停止及需要清潔處理室。因:麾機時間,·而需清潔的延遲亦 代表製造良率的實質損失。因此,沈積在介電構件上聚合 物之控制對達到高良率及在電装反應器中維持基板的通過 料量乃是重要的。 一 發明概诚 f發明之目的係在當諸如半導體晶圓之基板,於電漿反 應至中連續被處理時提高被處理基板之通過料量,其是以 諸如介電窗或氣體分佈板(GDp)之介電構件表面面向基板。 經濟部中央榡準局員工消费合作社印製 此發明(其它目的乃爲控制電漿反應器中内表面上的沈 器2之=二提高被製造基板之良率及減少清潔反應 先:及其它目的乃利用控制天線所呈現之電壓駐波的改 万’及&£達成’其以介電構件與錢反 離。根據本發明之—古$ Η ^ 。〈円Κ 波區或諸i域内,可尹離子^線(高振幅電®駐 潔。另沈積物自介娜牛處清 面&耆其中駐波電壓振幅低的區域,沈積物 A7 B7 五 '發明説明( 將堆積-層厚的、有時爲附著不良的層。因此,根據本發 =其中一個具體實施例,離子轟擊區係藉由使天線之駐波 :壓產生位移而被移動,而介電構件乃被"清潔”或任何確 會發生的沈積组成可被抑制並且更加均勻。 根據此^明其中-個範例性實例,天線之终端阻抗値係 經過改變,以控制天線上駐波之高及低電壓振幅位g。根 據本發明此具體實施例’终端電容器的値係經過改變,故 低及高離子轟擊區可移過介電構件。 根據其中個範例性具體,,例,當終端電容器在高的 俊^下,清潔之中心區乃被形成而沈積堆積乃發生在包圍 邊中心區〈外邵區中。在低的電容値之下,中心區係呈現 =成而外:區係藉由離子為擊進行清潔。利用控制此 寺區域心離子轟擊,於介電構件 ' 附著性可被加以控制。 / 且(均勻性及 根據其中-個範例性具體實施例,终端電容器可改變二 或二次,於處理個別基板期間連绩 ,諸如可於電紫反應器中之半導之或設定成所需的値 物蝕刻期間及/或接著在蝕刻步驟 w乳 ,终端電容器可於處理期間(例潔猶環期 的-個値下操作,而電容器可於料:;回蚀刻處理期間)時 潔循環期間)的-個不同値下操作“'步驟期間(例如,氧清 附圖之簡單説明 __ 此發明为將參考附圖作更詳細之說明 代表類似的參考數字,而其中: -6 - 本纸悵尺度適州中國國家標準(CNS ) Α4^^Γ( 2丨—0·〆 .1,Ί---------裝----1—訂 (請先閲讀背面之注意事項再填寫本頁), . 經濟部中央標準局員工消費合作社印裝
其中類似元件J A7 B7 五 、發明説明( 4 經濟部中央標準局員工消f合作社印製 圖1爲根據此發明其中一個範例性具體實施例之眞空處 理室橫截面圖,其具有襯墊、聚焦環及氣體分佈板; 圖2乃示出根據此發明其中一個範例性具體實施例之89 孔氣體分佈板頂視圖: 圖3示出根據此發明其中一個範例性具體實施例之天線 ’其形狀爲游絲形線圈; 圖4a-4b爲具有改變終端電容之沈積組成圖樣(以橫斜紋 表示)表示圖; 圖5示出用於天線之範例路配置,其以圖3中所示 之游絲形線圈爲形式。 圖6示出圖5中所示電路之C4値改變對Ci、C2及c3値 之影響,其使反射能力達到最小; 圖7示出C4値改變對天線電壓振幅及底電極射頻電壓'、 影響;及 圖8示出C:4値改變對天線電流振幅及底電極射頻電丰 影響。 ” 餐^達具體實施例之詳細部昍 此發明係提供降低諸如半導體(例如,矽、砷化鎵等)# 圓、平面板顯示基板、及類似基板之微粒污染改良。此= ,此發明乃提供順序處理基板之均勻及可再現過程,然其 容許極長之連續處理(例如,超過1〇(),較佳超過1〇的" 更佳乃超過2000片之晶圓運轉)於反應器清潔之停 2 行運作。’ 進 於基板之電漿蝕刻,可在諸如矽晶圓之基板、上^部 件蝕 (請先閲讀背面之注意事項再填寫本頁} -裝 丁 卜紙張尺度it/fl t 家標準(CNS ) 公釐- 第87104841號專利申請案 中文說明書修正頁(88年12月) 八7 B7 五、/ 經濟部中央樣準局員工消费合作社印製 刻入各種不同的材料層中。於諸此蝕刻處理中,可利用氣 體分佈板控制基板平面上反應器體積中之氣流空間分佈 。可自LAM研究公司取得之tcPtm 9100TM電漿蝕刻反應 器中’氣體分佈板為圓板’其直接被配合在TCPTM窗之下 ,其亦是在反應器頂部處於一平面上及平行半導體晶圓 之真空密封表面上。氣體分佈板乃以〇_形環利用至位在氣 體分佈板周圍處之氣體分佈環而被密封。氣體分佈環乃將 氣體由源極饋入由氣哮分佈板界定之體積内,在天線下的 窗内表面’以游絲形線圈形式將射頻能提供入反應器、及 氣體分佈環。氣體分佈板乃含有特定直徑之空孔陣列延伸 通過此板。可改變通過氣體分佈板之空孔空間分佈,以使 欲钱刻層之蝕刻均勻性最佳化,例如,光阻層、二氧化矽 層及晶圓上之下層材料。可將氣體分佈板之橫截面形狀加 以改變,以控制分佈進入反應器中之電漿射頻能分佈。氣 體分佈板材質必須是介電質,以使此射頻能之耦合能通過 氣體分佈板進入反應器。另外,氣體分佈板材質於諸如氧 氣或氩氟碳氣體電漿之環境中對化學濺鍍蝕刻為高阻抗 較佳’以避免與其有關的崩潰和最終的微粒產生。 根據本發明其中一個具體實施例,真空處理室乃示於圖 1中°真空處理室1〇係含有以底電極為形式之基板支架12 ’其提供靜電鉗力至基板13並彳吏射頻偏壓提供至被支撐 在其上的基板’及聚焦環14以侷限基板上之區域中被He 背向冷卻之電漿。維持室内在高泰度(例如1〇π_1〇ΐ2離子/ 公分3)電漿的能源,其諸如以游絲形線圈形式之天線丨8係 -8 - 本紙張尺度適用中國®家縣(CNS )八4胁(21GX297公釐) --^---^-----裝--;--:--^1Τ------線 (請先閱讀背面之注意ί項再填寫本頁) A7 -----___ _B7 真、發明説明(6 ) 以適合射頻源及適合射頻阻抗匹配電路驅動,以感應性地 使射頻能聯結進入處理室10,以提供高密度電漿。處理室 乃包含用於使處理室之内部維持所需壓力(例如,50毫托 以下,典型爲1-20毫托)的適合眞空泵裝置。實質爲均勻 厚度之平面介電窗20係被提供在天線18及處理室1〇内部 之間,並在處理室10之頂部處形成眞空壁。氣體分佈板, —般被稱爲簇射頭22,係提供在窗2〇之下並含有多個諸 如圓孔(未示出)的開孔,以使由氣體補給23所提供之處理 益體傳遞至處理室10。錐雙咚墊3〇係延伸自氣體分佈板 及包圍基板支架12。可提供天線18通道24,溫度控制液 乃經由入口及出口導管25、26穿過此通道。然而,天線 18及/或窗20可利用其它技術進行冷卻.,諸如利用將空氣 吹在天線及窗上使冷卻介質通過或與窗及/或氣體分佈板 等進行熱傳接觸。 經濟部中央標率扃負工消费合作社印製 於作業時’晶圓乃被置於基板支架12上,而當利用He 背向冷卻時,典型係利用靜電夾鉗、機械夾鉗、或其它鉗 制機構使之就定其位。藉由使處理氣體通過窗2〇及氣體分 佈板2 2之間缝隙’處理器體後續可被提供至眞空處理室} 〇 。合適的氣體分佈板配置(例如,簇射頭)乃揭示於共同持 有之美國專利申請案序號〇8/5〇9,〇80號;〇8/658,258號; 及08/658,259號中,而該等之揭示乃被併於此文以列爲參 考。 - 氣體分佈板可具有不同的設計,其中一個具體實施例係 示於圖2中。示於圖2中之氣體分佈板4〇乃含有89孔之 — -9- 本纸狀巾_家鮮(⑽)) A7 B7 五、發明説明(7 ) 41及4個凸起42靠近其中心,以提供處理氣體補給通過氣 體分佈板及介電窗之間。可將氣體分佈板、襯墊及/或聚焦 環嵌裝在揭示於共同持有之美國專利申請案序號 08/658,261號及08/658,262號類型之處理室中,該等揭示 係併於此文以列爲參考。製造能爲本發明所用之半導體基 板,其過程之完整説明乃揭示於共同持有之美國專利申請 案序號08/722,371號中,其揭示係併於本文以列爲參考。 朝向圖3,TCPTM線圈50示出係位於利用線圈製造之電 漿42上。線圈50之外端乃j固㉝被連接马具有輸出電壓及 電流V0及1〇之可變端接電容器60。線圈之内端乃被連接 至射頻電源,經由匹配電路65提供射頻電源,以使線圈之 内端分別具有Vi及Ii之輸出電壓及電流。諸如65之匹配電 路乃爲該等熟習此技藝者所知,因此,不再另外將其描述 於本文。因爲鬆弛的傳輸線,TCMtm線圈50乃呈現電壓 駐波,藉由改變線圈之終端阻抗係可將其沿其長度進行位 移。如示於圖3之實例,終端阻抗係藉由電容器60進行提 供。然而,對該等熟習此技藝者,電壓駐波之位移可利用 其它技術達成將是明顯的。 經濟部中央標準局員工消費合作社印繁 (請先閱讀背面之注意事項再填寫本頁) 於呈現高電壓駐波振幅(例如,峰値電壓)之線圈50的區 域中,係有高離子轟擊之氣體分佈板40之對應區。於此高 離子轟擊區中,氣體分佈板係維持於相對上無聚合物組成 。在其中離子轟擊低的其它區域中,聚合物組成有可能會 發生。換而言之,其中峰値電壓高的線圈50之區域中,聚 合物組成於氣體分佈板之内表面上之相鄰區域中爲最小。 -10- 本紙?長尺度適用中國國家標準(CNS ) A4規格(2I0X 297公釐) A7 B7 經濟部中央標準局員工消費合作社印製 -11 五、發明説明(8 ) 駐波之最高電壓振幅位置,可利用控制終端阻抗使其沿 ’桌圈5 0產生位移。於其中一個範例性具體實施例中,電容 ,60乃被使用於改變終端阻抗'藉由改變電容器6〇之電 容値,高及低離子轟擊區可被移過氣體分佈板4〇之表面而 使板40的清潔有效。 」艮據此發明其中—個範例性具體實施例,它被發現當终 邮電容器被設疋在—個低的値時,介電構件7〇係含有聚合 物组成之内郅區域72及外部之相對清潔區域Μ,其如圖 4a所示。利用提高電容器6〇之二電容,聚合物组成之區域係 可被偏移至外邵區域76,而於内部區上之先前組成係可藉 由對该區I離子轟擊而㉟少,以形成相對之清潔内部區Μ ,其如圖4b所示。根據此發明__,電容可被控制及/或被改 變,以將清潔區域移過諸如氣體分佈板之介電構件内表面 以抑制沈積物堆積。 可變電容器60之電容可利用例如控制電路68之電機控 制根據本發明之此具體實施例,可實施至少兩個控制模 式第個控制模式於諸如蝕刻步驟或氧氣清潔步驟期間 係有系統地改變電容器6〇的電容。根據其中—個較佳且體 實施例,此t容在每個週期是以相同的方式被改變,讀 心、~勻及可再現之順序處理基板程序。第二個控制方法係 在處理週期期間.於—個値τ及在氧氣清潔循環期間於第二 個値:操作電容器6G。在其中基板尺寸小於天線的情況下 、可人a成將電容設足在一個値,該値乃相稱地與此臬 於介電構件的-個區域上達到均勻之沈積组成二此;況 本紙银尺度適用中國國家 ---^---XI----笑-------X 、-° ./H. - -(請先閲讀背面之:ίχ意事項再填寫本頁) A7 B7 五 發明説明(9 和消部中央標率局員工消費合作社印製 中’可接著利用選擇性清潔步驟移除沈積組成以完成均勻 、板之處理圖5-8乃示出電路詳圖,其可被用於驅動圖1 所不之TCP μ線圈。如圖5所示,天線是以線圏爲 形式,經由包含電容器Ci、C2及C3之電路配置其被供以 射頻旎,以终端電容器C4連接至此線圈的輸出。圖6乃示 出圖5所示電路G値(正常化後)的改變對Ci、c2、及c3 =常化値的影響,該等値係使反射能力爲最小。對自動調 白而。,C2及C3可被伺服傳動而C3可由手動調整。圖7 乃示出C4値(正常化後)的n對天線峰値電壓(正常化後) 及,電極射頻電壓(正常化後)之影響。圖8示出(:4値(正常 化後)的改變對天線峰値電流(正常化後)及底電極射頻電 流(正常化後)的影響。Cl、c:2-、q及C4之最佳値將視諸 如被處理基板的類型、天線類型、實施方法類型等許多〜因 素而定D 底%極係用於提供射頻偏壓至被處理基板。例如,傳統 乃在4倍萬赫茲的射頻電流下將其施加至底電極。底電極 上 <射頻電壓及電流乃利用反應器中具有經建立之電漿以 反應备阻抗決定。圖7示出底電極上之射頻電壓爲C4値的 函數。在此底電極上之射頻電壓改變,於處理基板時並無 太大影響。如圖8所示,於底電極上之直流電壓(例如,射 頻偏壓)’於較高之C:4値下,隨電漿產生偏向於處理室辟 其乃變爲更負。 I 而汪意的是,以上所述具體實施例僅提供作今專明之目 的而其它具體實施例將浮現於該等熟習此技藝者。例如 ---Ί--τ*----择-- ί/lv (請先閱讀背面之注意事項再填寫本頁) 訂
A • HI* 12 本紙狀度d用中gjg;家縣(CNS ) Μ規格(2淑297公廣 A7 B7 經濟部中央標準局員工消費合作社印裝 五、發明説明(1〇 ) ,可利用以上所述游絲形線目5〇 離子森擊:,如,可利_-個: 4平面&或具有非螺旋之形狀。若使 圈’能以欲處理基板之尺寸爲基準來選擇其…此;卜,-泉 雖此發明是以有關控制電容器6〇的電容進行説明, 其它技術控制氣體分佈板上的離子轟擊。例如,根據本發 明可利用感應線圈魂接線圈5 Q及提供可變阻抗。除改變或 取代終端阻抗以外,也能利用連接至線圈其它部份的電元 件(例如,如圖3中以虛線表一气之選擇性f路元件9〇)修正 駐波。 以上已説明本發明之原理、較佳具體實施例及作業模式 。然而,此發明其建構係不應被侷限於所討論之特定具體 實施例。因此’以上所述具體實施例應考慮爲説明性而非 限制性,而在未偏離如下申請專利範圍定義之本發明範圍 前提下’該等具體實施例可由熟習此技藝之工作者加以變 化乃應可被理解。 -13- 本紙浪尺度適用中國國家標準(CNS ) A4規格(210X 297公釐)

Claims (1)

  1. 六、 申请專利範圍 .¾濟部中夫#隼局員Η消費合作社印製 6 種減> 廷裝處理室之内表面上沈積組成之方法,其中 ^板乃經過處理,電漿處理室乃包含天線及使天線與電 j處理至之内邵隔離的介電構件,電槳係藉由提供射頻 =肌土天線被產生在此内部,射頻電流之部份係利用電 壓駐波電容性地被聯結至此電漿,其方法包括以下步驟 (a) 使電壓駐波之最大電壓振幅位置沿天線偏移:及 (b) 利用改變離子轟擊在介電構件之内表面上的量控 積組成,離子轟擊控制内表面上之沈積组成,係在 2電漿處理室中順序處理基板期間容許均勻之基板處理。 2據申叫專利乾圍第!項之方法,其中偏移及控制步驟 ^當於電漿處理室中處理基板時實施。 -根,申請專利範圍第2項之方法,其中電壓駐波係利用 $改變天線之終端阻抗在天線上被偏移。 4’根據f請專利範圍第3項之方法,其中終端阻抗係利用 $改變被連接至天線之終端電容器之電容進行控制。 '才—艮據申請專利範圍第4項之方法’其中電容乃被維持在 個値,其在内表面之中心區上造成較包圍内部 邵區上少的轟擊。 根據申請專利範圍第4項之方法,复中+ ^ 雷e . ,、中电谷乃由第一個 电合破提高至第二個電容,第二個電 庶u 在内表面之内部 5上較内表面之外部區上造成較大的離子轟擊,而第一 個電容在外部區上較内部區上造成較 刼@丄. 仅大的離子轟擊。 根據申請專利範圍第4項之方法,其中咖^ 、μ 丁兒谷係支個別層 <钱刻期間、氧氣清潔循環或其組合坤 ' - σ β間被改變。 請 先 閲 之 注 If 裝 頁 訂 Λ -14- 本紙張尺度逋用中國國家標率(CNS )八4鄕· ( 210X297公釐} A8 B8 C8 D8 申請專利範圍 8.根據申請專利範園第4,之方法,其中 … 二期間被維持在第一個値,及在清潔步驟期間:理基 第二個値,然基板仍留在電漿處理室中。’、’維持在 9·根據申請專利範圍第4頊之方法,其中電 :期間由第一個値於氧氣清潔循環期間被提 说-種於電聚處理室中處理基板之方法,其具人+ 構件與電漿處理室之内部隔離的天線,其包括以 ^ (a)將處理氣體提供入電袅處理室之内部; 水· 狀藉由提供射頻能至天線以激發處理氣體進入電漿 幅(:)Γ在頻二Γ、天線之第—部、處係具有第-個電壓·振 心及在天線之弟二個部份處具有第二個電壓振 個電壓振幅高於第二個電壓振幅: ⑷稭由使基板之曝露表面與電漿接觸,以處理電 理室内部之基板,處理時製造之沈積物其在介電構件之 内表面第一部份上的乃較内表面第二部份上的更重度地 被沈積; (e) 偏移天線上第一及第二峰値振幅的位置,以使沈積 $更重度地被沈積在内表面之第二部份,而減少内表面 第一部份上之沈積物;及 (f) 使拳板由電漿處理室移除。 根據申請專利範圍第10項之方法,其中介電、構进乃包含 具有面向基板之曝露表面之氣體分佈構件,氣體分佈 A8 B8 C8 D8 申請專利範圍 請 先 閲 讀 背 之 注 意 事 ϊ( 填 . I裝 頁 件乃含有氣體分佈孔,處理氣體係通過流入相鄰於基板 的反應區,此處理氣體乃流動通過氣體分佈板進入於電 漿處理室,並藉由感應性地聯結來自天線之射頻能通過 氣體分佈板,以被維持在電漿狀態下相鄰氣體分佈構件 之曝露表面。 12. 根據申請專利範圍第10項之方法,其另外包括利用重覆 步驟(a-f)在電漿處理室中處理其它的基板。 13. 根據申請專利範圍第1 0項之方法,其中於處理步驟期間 天線乃含有實質之游絲形線圏,其將處.理氣體激發至電 漿狀態下,而處理氣體乃包含一或多個含鹵素之氣體, 其於處理步驟期間蝕刻基板。 fr 14. 根據申請專利範圍第10項之芳法,其中於處理步驟期潤 電漿乃含有高密度電漿,及以高密度電漿利用在基板上 钱刻一層處理基板,及將射頻偏壓提供至基板。 15. 根據申請專利範圍第1 0項之方法,其中第一及第二峰値 振幅之位置,係藉由改變天線上之終端阻抗偏移。 旅 16. —種電漿處理室,其包含: 用於支撑電漿處理室内部内之基板支架; 經濟部中央標準局員工消費合作社印製 介電構件,其具有曝露表面面向相鄰被支撑在基板支 架上基板之反應區; 氣體補給,其使處理氣體提供入反應區; 天線,其使射頻能提供進人電漿處理室之内部,及將 處理氣體激發至電漿狀態下以處理基板,利jfl電-壓駐波 使射頻能之部份電容性地被聯結至此電漿;及 -16- 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) A8 _ ' B8 C8 D8 六、申請專利範圍 利用改變介電構件之内表面上離子轟擊量控制沈積组 成之裝置,此控制表面上沈積組成之離子轟擊,於電漿 處理室中順序處理基板之期間係容許均勾之基板處理。 17. 根據申請專利範圍第16項之電漿處理室,其中介電構件 乃包含氣體分佈構件,而用於控制沈積組成之裝置乃包 含被電連接至天線輸出之終端電容器。 18. 根據申請專利範圍第1 6項之電漿處理室,其中介電構件 乃包含介電窗,而天線乃包含相鄰此窗之實質游絲形線 圈,此線圈係經由該窗提供射頻能_,以、-激發處理氣體。 19. 根據申請專利範圍第1 6項之電漿處理室,其中介電構件 乃包含氣體分佈構件,此電漿處理室係含有相鄰氣體分 佈構件外表面之介電窗,氣禮分佈構/f牛乃具有多個延神 通過曝露表面之氣體出口,及多個在外表面中之氣體分 佈通道,此外表面乃和介電窗接觸,而氣體分佈通道乃 將處理氣體提供至氣體出口。 20. 根據申請專利範圍第19項之電漿處理室,其中介電窗及 /或氣體分佈構件係具有實質之均勻厚度及實質之平面 组態。 經濟部中央標準局員工消費合作社印裝 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW087104841A 1997-03-31 1998-03-31 Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber TW400540B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/828,507 US6035868A (en) 1997-03-31 1997-03-31 Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber

Publications (1)

Publication Number Publication Date
TW400540B true TW400540B (en) 2000-08-01

Family

ID=25252012

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087104841A TW400540B (en) 1997-03-31 1998-03-31 Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber

Country Status (8)

Country Link
US (2) US6035868A (zh)
EP (1) EP0972299B1 (zh)
JP (1) JP4472789B2 (zh)
KR (1) KR100535827B1 (zh)
AT (1) ATE255275T1 (zh)
DE (1) DE69820041T2 (zh)
TW (1) TW400540B (zh)
WO (1) WO1998044535A1 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6390019B1 (en) 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
US6355183B1 (en) * 1998-09-04 2002-03-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method for plasma etching
US6491042B1 (en) * 1998-12-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Post etching treatment process for high density oxide etcher
US6496366B1 (en) * 1999-10-26 2002-12-17 Rackable Systems, Llc High density computer equipment storage system
JP2001267305A (ja) * 2000-03-17 2001-09-28 Hitachi Ltd プラズマ処理装置
US6401652B1 (en) * 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6831742B1 (en) 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
JP4819244B2 (ja) * 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US7192874B2 (en) * 2003-07-15 2007-03-20 International Business Machines Corporation Method for reducing foreign material concentrations in etch chambers
US20070170867A1 (en) * 2006-01-24 2007-07-26 Varian Semiconductor Equipment Associates, Inc. Plasma Immersion Ion Source With Low Effective Antenna Voltage
US7845310B2 (en) * 2006-12-06 2010-12-07 Axcelis Technologies, Inc. Wide area radio frequency plasma apparatus for processing multiple substrates
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US7973296B2 (en) * 2008-03-05 2011-07-05 Tetraheed Llc Electromagnetic systems with double-resonant spiral coil components
US8729806B2 (en) * 2010-02-02 2014-05-20 The Regents Of The University Of California RF-driven ion source with a back-streaming electron dump
JP5546921B2 (ja) * 2010-03-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8936728B2 (en) * 2010-08-31 2015-01-20 Debra A. Riggs Chemicals for oil spill cleanup
JP5642034B2 (ja) * 2011-09-13 2014-12-17 パナソニック株式会社 ドライエッチング装置
JP2013062358A (ja) * 2011-09-13 2013-04-04 Panasonic Corp ドライエッチング装置
US9142392B2 (en) * 2013-04-29 2015-09-22 Varian Semiconductor Equipment Associates, Inc. Self-cleaning radio frequency plasma source
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US12074390B2 (en) 2022-11-11 2024-08-27 Tokyo Electron Limited Parallel resonance antenna for radial plasma control

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
JPH05136091A (ja) * 1991-11-08 1993-06-01 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5226967A (en) * 1992-05-14 1993-07-13 Lam Research Corporation Plasma apparatus including dielectric window for inducing a uniform electric field in a plasma chamber
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH0773997A (ja) * 1993-06-30 1995-03-17 Kobe Steel Ltd プラズマcvd装置と該装置を用いたcvd処理方法及び該装置内の洗浄方法
US5531834A (en) * 1993-07-13 1996-07-02 Tokyo Electron Kabushiki Kaisha Plasma film forming method and apparatus and plasma processing apparatus
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
DE69531880T2 (de) * 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5473291A (en) * 1994-11-16 1995-12-05 Brounley Associates, Inc. Solid state plasma chamber tuner
JP3426382B2 (ja) * 1995-01-24 2003-07-14 アネルバ株式会社 プラズマ処理装置
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
JP3122601B2 (ja) * 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
US5759280A (en) * 1996-06-10 1998-06-02 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux
CA2207154A1 (en) * 1996-06-10 1997-12-10 Lam Research Corporation Inductively coupled source for deriving substantially uniform plasma flux

Also Published As

Publication number Publication date
WO1998044535A1 (en) 1998-10-08
KR100535827B1 (ko) 2005-12-12
US6035868A (en) 2000-03-14
ATE255275T1 (de) 2003-12-15
DE69820041D1 (de) 2004-01-08
EP0972299B1 (en) 2003-11-26
JP4472789B2 (ja) 2010-06-02
DE69820041T2 (de) 2004-09-02
EP0972299A1 (en) 2000-01-19
US6155203A (en) 2000-12-05
KR20010005887A (ko) 2001-01-15
JP2001517373A (ja) 2001-10-02

Similar Documents

Publication Publication Date Title
TW400540B (en) Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
TW523828B (en) Plasma processing apparatus
JP5219479B2 (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
TW302508B (zh)
JP4831853B2 (ja) 容量結合型平行平板プラズマエッチング装置およびそれを用いたプラズマエッチング方法
US5246532A (en) Plasma processing apparatus
US6264788B1 (en) Plasma treatment method and apparatus
TW421814B (en) High frequency discharging method, its apparatus, and high frequency processing apparatus
JP4713352B2 (ja) プラズマを閉じ込めかつ流動コンダクタンスを高める方法および装置
TWI283425B (en) Hollow anode plasma reactor and method
US7767056B2 (en) High-frequency plasma processing apparatus
EP1079671A2 (en) Antenna device for generating inductively coupled plasma
US20060048893A1 (en) Atmospheric pressure plasma processing reactor
WO2007117741A2 (en) A reduced contaminant gas injection system and method of using
KR20050089976A (ko) 접지 회로에의 조절가능 커플링에 의해 플라즈마를제어하는 시스템 및 방법
JPH10308296A (ja) 誘導結合式プラズマリアクタのプラズマ閉込め
JP3172759B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2007150012A (ja) プラズマ処理装置および方法
TW516115B (en) Magnetron plasma processing apparatus
JP2018195846A (ja) エッチング装置
CN112242285A (zh) 用于处理基板的装置和方法
US7323081B2 (en) High-frequency plasma processing apparatus
JP4566373B2 (ja) 酸化膜エッチング方法
US6674241B2 (en) Plasma processing apparatus and method of controlling chemistry
KR100290158B1 (ko) 대면적 평면 안테나를 이용한 플라즈마 가공장치

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent