TW202418352A - 記憶體應用中的鉬鹵化物 - Google Patents

記憶體應用中的鉬鹵化物 Download PDF

Info

Publication number
TW202418352A
TW202418352A TW112116256A TW112116256A TW202418352A TW 202418352 A TW202418352 A TW 202418352A TW 112116256 A TW112116256 A TW 112116256A TW 112116256 A TW112116256 A TW 112116256A TW 202418352 A TW202418352 A TW 202418352A
Authority
TW
Taiwan
Prior art keywords
molybdenum
feature
layer
reactant
precursor
Prior art date
Application number
TW112116256A
Other languages
English (en)
Inventor
納溫 庫馬爾 馬亨德卡
謝曜聰
照健 黎
勞倫斯 施洛斯
珊傑 戈皮納思
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202418352A publication Critical patent/TW202418352A/zh

Links

Images

Abstract

提供用方法填充特徵部的處理。該等處理包括使用氯化鉬(MoCl x)化合物進行沉積、蝕刻、和清潔操作。與介電質相比,可控制MoCl x化合物以選擇性地沉積在金屬氮化物特徵部上、在介電材料上形成插塞和晶體、並執行在特徵部內的材料淨蝕刻。也提供原位清潔處理,其中使用MoCl x化合物在沉積之前去除下面表面的氧化物。使用MoCl x前驅物的後續沉積可以沉積初始層和/或填充特徵部。

Description

記憶體應用中的鉬鹵化物
本揭露係關於記憶體應用中的鉬鹵化物。
材料(包括含鎢材料)的沉積是許多半導體製造程序的整合部分。這些材料可用於水平互連、相鄰金屬層之間的通孔、金屬層和裝置之間的接觸件、以及用作記憶裝置中的線。在沉積的範例中,可以使用六氟化鎢(WF 6)透過CVD處理在氮化鈦(TiN)阻擋層上沉積鎢(W)層以形成TiN/W雙層。然而,隨著裝置尺寸的縮小以及產業中使用更複雜的圖案化方案,鎢薄膜的沉積成為一個挑戰。特徵部尺寸和膜厚度的持續減小給TiN/W薄膜疊層帶來了各種挑戰。其中包括較薄的膜的高電阻率和 TiN 阻隔性能的惡化。複雜的高深寬比結構(例如 3D NAND 結構)中的沉積尤其具有挑戰性。
此處所提供之先前技術說明係為了大致上介紹本揭露內容之背景。在此先前技術章節所敘述之範圍內之本案列名之發明人的成果、以及在申請時不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本揭露之先前技術。
本揭露的一方面涉及一種方法,包括:(a)提供一基板,該基板包含具有一開口和側壁的一特徵部,其中一金屬氮化物層鋪襯該特徵部的該等側壁;(b)使用一含鉬鹵化物化合物沿著該特徵部的該等側壁至少部分地蝕刻該金屬氮化物層,以在該特徵部中留下該金屬氮化物層的第一部分;及(c)在至少部分地蝕刻該金屬氮化物層之後,透過將該含鉬鹵化物化合物與第一反應物反應來選擇性地將鉬沉積在該特徵部中的該金屬氮化物層的該第一部分上。
在若干實施例中,其中(b)包含從該等側壁的一部分去除金屬氮化物以暴露該特徵部的該等側壁的該部分。
在若干實施例中,其中該特徵部具有一特徵部底部,且更包含在(c)之後的(d),使用該含鉬鹵化物化合物至少部分地蝕刻該金屬氮化物層的該第一部分和鉬,以在該特徵部底部上留下該金屬氮化物層的第二部分和剩餘的鉬。
在若干實施例中,更包含(e)用鉬填充該特徵部。
在若干實施例中,其中該含鉬鹵化物化合物是氯化鉬化合物。
在若干實施例中,其中該含鉬鹵化物化合物是五氯化鉬。
在若干如此的實施例中,其中(e)包含將第二含鉬鹵化物化合物與第二反應物反應。
在若干如此的實施例中,其中(e)包含將一含鉬鹵氧化物前驅物與第二反應物反應。
在若干實施例中,其中該金屬氮化物層共形地鋪襯該特徵部。
在若干實施例中,其中(b)更包含將該含鉬鹵化物化合物與該第一反應物反應以在該蝕刻期間在該特徵部中沉積鉬。
在若干實施例中,其中該反應物是一含氫反應物。
在若干實施例中,其中該第一反應物是氫氣(H 2)。
在若干實施例中,其中(b)在第一基板溫度進行;(c)在第二基板溫度進行;且該第二基板溫度高於該第一基板溫度。
本揭露的一方面涉及一種方法,包括:(a)提供一基板,該基板包含一特徵部,該特徵部包括一開口、一封閉端、和介電側壁;(b)透過將一含鉬鹵化物前驅物與一反應物反應而在該特徵部的該封閉端上形成一鉬插塞;及(c)透過將該含鉬鹵化物前驅物與該反應物反應而在該鉬插塞上選擇性地沉積鉬。
在若干實施例中,其中該等側壁是傾斜的並且在該特徵部的該封閉端相交。
在若干實施例中,更包含在(c)之後的(d),用鉬填充該特徵部。
在若干實施例中,其中(d)包含將第二含鉬鹵化物化合物與第二反應物反應。
在若干實施例中,其中(d)包含將一含鉬鹵氧化物化合物與第二反應物反應。
在若干實施例中,其中該含鉬鹵化物化合物是氯化鉬化合物。
在若干實施例中,其中該含鉬鹵化物化合物是五氯化鉬。
在若干實施例中,其中該第一反應物是一含氫反應物。
在若干實施例中,其中該第一反應物是氫氣(H 2)。
在若干實施例中,其中(b)在低於450°C的基板溫度下進行。
本揭露的一方面涉及一種方法,包括:(a)提供包括具有一金屬氮化物插塞的一特徵部之一基板;(b)透過將一含鉬鹵化物化合物與第一反應物反應而在該金屬氮化物插塞上選擇性地沉積鉬。
在若干實施例中,更包含在(a)和(b)之間,使用該含鉬鹵化物化合物清潔該特徵部。
在若干實施例中,更包含在(b)之後的(c),用鉬填充該特徵部。
在若干實施例中,其中該含鉬鹵化物化合物是氯化鉬化合物。
在若干實施例中,其中該含鉬鹵化物化合物是五氯化鉬。
在若干實施例中,其中該第一反應物是一含氫反應物。
在若干實施例中,其中該第一反應物是氫氣(H 2)。
在若干實施例中,其中用鉬填充該特徵部包含將第二含鉬鹵化物前驅物與第二反應物反應。
在若干實施例中,其中用鉬填充該特徵部包含將含鉬鹵氧化物前驅物與第二反應物反應。
下面的描述中闡述了許多具體細節以提供對所呈現的實施例的透徹理解。可在缺乏若干或全部此些特定細節的情況下實施本揭露的實施例。在其他情況中,不再詳細說明已知的製程操作以免不必要地模糊本揭露實施例。雖然將結合具體實施例來描述本揭露的實施例,但應理解,這不旨在限制本揭露的實施例。
本文提供用鉬(本文稱為Mo)填充特徵部的方法,其可用於邏輯和記憶體應用。與諸如鈷 (Co)、釕 (Ru) 和鎢 (W) 等其他金屬相比,鉬具有許多優勢:(i) 與 Co、Ru 和 W相比,在氧化物和氮化物上進行無阻擋層和無襯墊之鉬膜沉積更可行;(ii) 鉬的電阻率縮放比 W 更好;(iii) 在低於 450°C 的情況下,與 Ru和Co 混合相比,預期不會發生鉬與下層的 Co 混合,並且 (iv) 與Co和Ru相比,鉬相對容易整合到目前的W方案。
圖1A和1B是根據諸多實施例的包括鉬的材料堆疊體的示意性範例。圖1A和1B顯示特定堆疊體的範例中的材料之順序,並且可與任何適當的架構和應用一起使用,如下面參照圖2A-2J進一步描述的。圖1A顯示第一材料堆疊體111,其特徵在於基板102和沉積在其上的鉬層108。基板102可為矽或其他半導體晶圓,例如200nm晶圓、300nm晶圓、或450nm晶圓,包括在其上沉積有一或更多層材料(例如,介電、導電或半導電材料)的晶圓。在若干實施例中,基板102可為或可包含矽鍺(SiGe)。該方法還可應用在諸如玻璃、塑膠等的其他基板上形成金屬化堆疊體結構。
堆疊體111具有在基板102上的介電層104。介電層104可直接沉積在基板102的半導體表面(例如,Si或SiGe表面)上,或可具有任何數量的居間層。例如,基板102可包括以諸多安排沉積在半導體表面上的任何數量的層。
介電質的範例包括摻雜和未摻雜的氧化矽、氮化矽和氧化鋁層,具體範例包括摻雜或未摻雜的SiO 2和Al 2O 3層。堆疊體111具有設置在鉬層108和介電層104之間的層106。層106可以是例如擴散阻擋層和/或黏附層。擴散阻擋層是防止層間物質擴散的層。黏附層是促進層與下層的黏附的層。擴散阻擋層和黏附層的範例包括氮化鈦(TiN)、鈦/氮化鈦(Ti/TiN)、鎢(W)、氮化鎢(WN)和碳氮化鎢(WCN)。鉬層108是該結構的主要導體。在若干實施例中,鉬層108可包括在不同條件下沉積的複數主體層。鉬層108可包括或可不包括鉬成核層。在圖1A所示的範例中,鉬層108直接沉積在層106上。在其他實施例(未示出)中,鉬層108可以沉積在分離的層上,例如包括另一種材料的生長起始層,例如鎢(W)或含W的生長起始層。生長起始層可用於促進鉬層108的成核和生長。
圖1B顯示堆疊體121的另一範例。在該範例中,堆疊體121包括基板102、介電層104,其中鉬層108直接沉積在介電層104上,而沒有居間的擴散阻擋層或黏附層。鉬層108如參照圖1A所描述的。透過使用鉬作為主要導體,可獲得低電阻率薄膜。低電阻率薄膜的範例包括在60埃厚度下電阻率小於40µOhm-cm以及在200埃厚度下電阻率小於15µOhm-cm的膜。
在若干實施例中,堆疊體(圖未示出)可包括基板、導電層、和沉積到導電層上的鉬層。如本文所使用的,導電層是在室溫下具有至少10 4Ω -1-cm -1之導電率的層。範例包括金屬層(例如W)上的鉬。在這些實施例中,在鉬層和導電層之間不存在介電層。類似地,堆疊體可包括直接沉積在金屬化合物層上的鉬。範例包括金屬氮化物層(例如,TiN、WN、或MoN)上的鉬。在堆疊體(未示出)的又若干其他實施例中,堆疊體可包括基板和直接沉積在基板上的鉬層,包括直接沉積在半導體表面上、介電表面上、或導電表面上。圖1A和1B顯示特定堆疊體中的材料順序之範例,並且可與任何適當的架構和應用一起使用,如下面參照圖2A-2J進一步描述的。
本文描述的方法在可容納在腔室中的基板上執行。基板可為矽或其他半導體晶圓,包括在其上沉積有一或更多層材料(例如,介電、導電或半導電材料)的晶圓。該方法不限於半導體基板並且可被執行以用鉬填充任何特徵部。
基板可具有諸如通孔或接觸孔的特徵部,其特性可為一或更多狹窄和/或凹入開口、特徵部內的收縮部、及高深寬比。特徵部可以形成在上述堆疊體或堆疊體內的層中的一或更多者中。例如,特徵部可至少部分地形成在介電層中。在若干實施例中,特徵部可具有至少約2:1、至少約4:1、至少約6:1、至少約10:1、至少約25:1或更高的深寬比。特徵部的一範例是半導體基板或基板上的層中的孔或通孔。
圖2A描繪DRAM架構的示意性範例,包括矽基板202中的鉬(Mo)掩埋字元線(bWL) 208。鉬bWL形成在矽基板202中蝕刻的溝槽中。鋪襯溝槽的是共形阻擋層206和絕緣層204。共形阻擋層206設置在絕緣層204和矽基板202之間。在此範例中,絕緣層204可以是由高k介電材料(例如氧化矽或氮化矽材料)形成的閘極氧化物層。在本文揭露的若干實施例中,共形阻擋層206是TiN或含鎢層。在將TiN用作共形阻擋層的實施例中,共形含鎢生長初始層(圖未示出)可存在於共形阻擋層206和鉬bWL 208之間。或者,可以直接沉積鉬bWL 208在 TiN 或其他擴散阻擋層上。在若干實施例中,層204和206中的一者或兩者不存在。
圖2A中顯示的bWL結構是包括鉬填充層的架構的一個範例。在bWL的製造期間,鉬被沉積到可由矽基板202中的蝕刻凹部限定的特徵部中,其係以層206和204(如果存在的話)共形地鋪襯。
圖2B-2H是根據所揭露的實施例可將鉬沉積到其中的諸多結構的附加示意性範例。圖2B示出了要填充Mo的垂直特徵部201的橫截面圖的範例。該特徵部可包括矽基板202中的特徵孔205。特徵孔205可以具有鋪襯特徵孔205的側壁或內部的底層203,並且可形成內表面。特徵孔205或其他特徵部可具有接近開口的尺寸,例如約10nm至500nm之間的開口直徑或線寬,例如約25nm至約300nm之間。特徵孔205可被稱為未填充特徵部或簡稱為特徵部。垂直特徵部201以及任何特徵部的部分特性可為延伸穿過特徵部之長度的軸線218,其中垂直定向的特徵部具有垂直軸線並且水平定向的特徵部具有水平軸線。底層213可以是例如擴散阻擋層、黏附層、成核層、其組合或任何其他適用的材料。底層的非限制性範例可包括介電層和導電層。介電材料的範例包括氧化物,例如氧化矽(SiO 2)和氧化鋁(Al 2O 3);氮化物,例如氮化矽(SiN);碳化物,例如氮摻雜碳化矽(NDC)和氧摻雜碳化矽(ODC);以及低 k介電質,例如碳摻雜SiO 2。在具體實施方式中,底層可以是鈦、氮化鈦、氮化鎢、鋁化鈦、鎢、和鉬中的一或更多者。在若干實施例中,底層不含鎢。在若干實施例中,底層不含鉬。
在若干實施例中,特徵部是3D NAND結構中的字元線特徵部。例如,基板可包括具有任意數量的字元線(例如,50至150)的字元線結構,其中垂直溝道深度至少為200Å。下面進一步描述字元線特徵部的範例。特徵部的另一範例是基板或層中的溝槽。特徵部可為任意深度的。在諸多實施例中,特徵部可具有底層,例如阻擋層或黏附層。底層的非限制性範例包括介電層和導電層,例如氧化矽、氮化矽、碳化矽、金屬氧化物、金屬氮化物、金屬碳化物、和金屬層。
圖2C示出具有凹入輪廓的垂直特徵部201的範例。凹入輪廓是從特徵部的底部、封閉端、或內部到特徵部開口變窄的輪廓。根據諸多實施例,輪廓可在特徵部開口處逐漸變窄和/或包括一懸垂部。圖2C顯示後者的範例,具有鋪襯特徵孔205的側壁或內表面的底層213。與圖2B類似,底層213可為擴散阻擋層、黏附層、成核層、其組合、或任何其他適用的材料。底層的非限制性範例可包括介電層和導電層。底層213形成懸垂部215,使得底層213在垂直特徵部201的開口附近比在垂直特徵部201內部更厚。
在若干實施例中,在特徵部內可填充具有一或更多收縮部的特徵部。圖2D示出了具有收縮部的諸多填充特徵的視圖的範例。圖2D中的範例(a)、(b)和(c)中的各者包括在特徵部內的中點處的收縮部209。收縮部209的寬度例如可在約15nm至20nm之間。在使用傳統技術在特徵部中沉積鉬之期間,收縮部可能導致夾斷,在特徵部的該部分被填充之前,沉積的金屬阻擋了進一步的沉積經過收縮部,從而導致特徵部中的空隙。範例(b)還包括在特徵部開口處的懸垂部215(例如,襯墊/阻擋懸垂部)。如此的懸垂部也可能是一個潛在的夾斷點。範例(c)包括比範例(b)中的懸垂部215更遠離場區域的收縮部212。
也可填充諸如3D記憶體結構中的水平特徵部。圖2E顯示包括收縮部251的水平特徵部250的範例。例如,水平特徵部250可為3D NAND(也稱為垂直NAND或VNAND)結構中的字元線。在若干實施例中,收縮部可能是由於3D NAND中的柱或其他結構的存在。圖2F呈現了3D NAND結構210(形成在矽基板202上)的橫截面側視圖,其具有3D NAND堆疊體(左225和右226)、中心垂直結構230、和複數堆疊水平字元線特徵部220,其中在中心垂直結構230的反向側壁240上有開口222。注意,圖2F顯示所展示的3D NAND結構210的兩個「堆疊體」,它們一起形成「溝槽狀」中心垂直結構230。然而,在特定實施例中,可有兩個以上按順序安排並且在空間上彼此平行延伸的「堆疊體」,每對相鄰「堆疊體」之間的間隙形成中心垂直結構230,如圖2F中明確示出一般。在該實施例中,水平字元線特徵部220是可通過開口222從中心垂直結構230流體地進出的3D記憶體字元線特徵部。儘管在圖中未明確指示,但存在於圖2F中所示的3D NAND堆疊體225和226兩者中(即,左側3D NAND堆疊體225和右側3D NAND堆疊體226)的水平字元線特徵部220也可通過由額外3D NAND堆疊體形成的類似垂直結構,從該等堆疊體的另一側(分別是最左側和最右側)進出堆疊體(到最左側和最右側,但圖未顯示)。每個3D NAND堆疊體225、226包含可通過中心垂直結構230從3D NAND堆疊體的兩側流體地進出的字元線特徵部的堆疊體。在圖2F中示意性地示出的特定範例中,每個3D NAND堆疊體包含6對堆疊的字元線。然而,3D NAND記憶體佈局可包含任意數量的垂直堆疊的字元線對。
3D NAND堆疊體中的字元線特徵部可透過沉積氧化矽和氮化矽層的交替堆疊體,然後選擇性地去除氮化物層,留下在它們之間具有間隙的氧化物層堆疊體來形成。這些間隙是字元線特徵部。任何數量的字元線都可垂直堆疊在如此的3D NAND結構中,只要存在可用的形成它們的技術,以及可用於成功地實現垂直特徵部的(基本上)無空隙填充的技術。因此,例如,VNAND堆疊體可包括2個到512個之間的水平字元線特徵部、8個到128個之間的水平字元線特徵部、或16個到64個之間的水平字元線特徵部等等(所列出的範圍應理解為包括所列舉的端點)。
圖2G呈現了圖2F中的側視圖中所示的相同3D NAND結構210的橫截面俯視圖,其中穿過水平部分260截取的橫截面,如由圖2中的水平虛線所指示的。圖2G的橫截面示出了幾列柱255,其在圖2F中顯示為從基板202的底部垂直延伸到3D NAND結構210的頂部。在若干實施例中,柱255由多晶矽材料形成並且對於3D NAND結構210在結構上和功能上具有重要意義。在若干實施例中,如此的多晶矽柱可用作形成在柱內的堆疊的記憶體單元的閘電極。圖2G的頂視圖示出柱255在開口222中形成通向字元線特徵部220的收縮部。字元線特徵部220從中心垂直結構230經由開口222(如圖2G中的箭頭所示)的流體可及性被柱255抑制。在若干實施例中,相鄰多晶矽柱之間的水平間隙尺寸在約1和20nm之間。流體可及性的這種減少增加了用材料均勻地填充字元線特徵部220的難度。圖2H、2I和2J進一步示出字元線特徵部220的結構以及由於柱255的存在而用鉬材料均勻地填充它們的挑戰。
圖2H展示類似於圖2F中所示的3D NAND結構的垂直切面,但此處集中於單對字元線特徵部220,並且另外示意性地示出導致形成位於填充的字元線特徵部220中之空隙275的填充處理。圖2I還示意性地示出了空隙275,但是在該圖中藉由穿過柱255的水平切面示出,類似於圖2G中展示的水平切面。圖2J示出鉬材料在形成收縮部的柱255周圍的積累,該積累導致開口222的夾斷,使得沒有額外的鉬材料可以沉積在空隙275的區域中。從圖2H和2I明顯看出,無空隙鉬填充依賴於足夠量的沉積前驅物向下遷移通過中心垂直結構230、通過開口222、越過收縮柱255並且進入字元線特徵部220的最遠範圍,在柱255周圍的鉬的累積沉積之前,造成開口222的夾斷並防止前驅物進一步遷移到字元線特徵部220中。類似地,圖2J展示從上方橫截面觀察的單個字元線特徵部220,並且示出鉬材料的大致共形沉積如何開始夾斷字元線特徵部220的內部,這是由於柱255的顯著寬度作用於部分地阻擋、和/或變窄、和/或壓縮之事實,否則字元線特徵部220的內部會是穿過字元線特徵部220的開放路徑。(應注意,圖2J中的範例可以被理解為圖2I所示的柱收縮部結構的3D特徵部之2D表現,從而示出在平面視圖而不是橫截面圖中看到的收縮部。)
三維結構可能需要更長時間和/或更集中地暴露於前驅物以允許最內部和最底部區域被填充。當採用鹵化鉬和/或鹵氧化鉬前驅物時,三維結構可能特別具有挑戰性,因為它們易於蝕刻,並且暴露時間更長且更集中,允許作為結構的一部分進行更多蝕刻。
圖2K和2L顯示不對稱溝槽結構DRAM bWL的範例。用於DRAM bWL溝槽的若干填充處理可能會使溝槽變形,從而導致最終溝槽寬度和電阻Rs明顯不均勻。圖2K顯示未填充特徵部261和填充後展現出線彎曲的填充特徵部265。在此範例中,特徵部是狹窄非對稱溝槽結構DRAM bWL。如圖所示,在基板上描繪複數特徵部283。這些特徵部283是間隔開的,並且在若干實施例中,相鄰特徵部具有約20nm至約60nm之間或約20nm至約40nm之間的節距。節距定義為在一特徵部的中軸與相鄰特徵部的中軸之間的距離。未填充特徵部261可為大致V形的,如特徵部283中所示,具有傾斜側壁,其中特徵部的寬度從特徵部的頂部到特徵部的底部變窄。該等特徵部從特徵部底部273b向特徵部頂部273a變寬。在若干填充操作後,可在填充特徵部265內觀察到線彎曲。不受特定理論的拘束,據信溝槽的相對表面之間的內聚力將溝槽側部拉在一起,如箭頭267所示。這種現象在圖2L示出,並且可被描述為「拉上(zipping up)」該特徵部。當特徵部283被填充時,從特徵部283的中心軸線299施加更多的力,導致線彎曲。例如,鉬可以沉積在特徵部283的側壁上。特徵部283的側壁上沉積的鉬284a和284b從而緊密地相互作用,其中鉬-鉬鍵半徑r很小,從而在鉬的平滑生長表面之間產生內聚原子間力並將側壁拉在一起,從而引起線彎曲。下面描述的是填充特徵部以減少線路彎曲的方法。
下面提供了用鉬填充特徵部的方法。本文描述的方法包括沉積、蝕刻、和清潔操作,其可用於填充諸如上述那些的基板特徵部。如上所述,與其他金屬(例如鈷 (Co)、釕 (Ru) 和鎢 (W))相比,鉬具有許多優勢: (i) 與 Co、Ru 、和 W 相比,無阻擋層和無襯墊鉬膜沉積在氧化物和氮化物上更可行, (ii) 鉬電阻率縮放比 W 更好,(iii)在低於 450°C 的情況下,與 Ru和Co 混合相比,預期不會發生鉬與下層的 Co 混合,並且 (iv) 與Co和Ru相比,鉬相對容易整合到目前的W方案。
下方描述水平定向和垂直定向特徵部的特徵部填充的範例。應注意,至少在大多數情況下,該等範例適用於水平定向和垂直定向的特徵部兩者。水平定向的特徵部通常指的是定向為使得特徵軸平行於基板表面的平面之特徵部。垂直定向的特徵部通常指的是定向為使得特徵軸與基板表面的平面正交的特徵部。
本文所描述的鉬沉積涉及使含Mo前驅物(也稱為鉬前驅物)反應。在若干實施例中,鉬前驅物是氯化鉬(MoCl x)化合物,也稱為氯化鉬前驅物或MoCl x前驅物。氯化鉬前驅物由式MoCl x給出,其中x為2、3、4、5或6,並且包括二氯化鉬(MoCl 2)、三氯化鉬(MoCl 3)、四氯化鉬(MoCl 4)、五氯化鉬(MoCl 5)、和六氯化鉬(MoCl 6)。在若干實施例中,使用MoCl 5或MoCl 6。雖然描述主要涉及MoCl x前驅物,但在其他實施例中,可以使用其他鹵化鉬前驅物。鹵化鉬前驅物由式 MoX z給出,其中 X 是鹵素(氟 (F)、氯 (Cl)、溴 (Br) 或碘 (I)),且z 是 2、3、4、5 或 6。MoX z前驅物的例子包括氟化鉬(MoF 6)。在若干實施例中,使用不含氟的MoX z前驅物來防止氟蝕刻或摻入。在若干實施例中,使用不含溴和/或不含碘的MoX z前驅物來防止蝕刻或溴或碘的摻入。
在若干實施例中,可使用鹵氧化鉬前驅物來填充特徵部。鹵氧化鉬前驅物由式 MoO yX z給出,其中 X 是鹵素(氟 (F)、氯 (Cl)、溴 (Br) 或碘 (I)),且y 和 z 是大於 0 的數字,使得 MoO yX z形成穩定的化合物。鹵氧化鉬的範例包括二氯二氧化鉬(MoO 2Cl 2)、四氯氧化鉬(MoOCl 4)、四氟氧化鉬(MoOF 4)、二溴二氧化鉬(MoO 2Br 2)和碘化鉬MoO 2I和Mo 4O 11I。應理解,如本文所用,術語鹵氧化鉬前驅物可以指如上所述的鹵氧化鉬前驅物或包括鉬、氧、鹵化物和一或更多其他元素的含鉬鹵氧化物前驅物。在若干實施例中,鹵氧化鉬或含鉬鹵氧化物可以包括多種不同的鹵素(例如,F和Cl和/或I和/或Br等)。可使用MoCl x前驅物、MoO yX z前驅物或其組合來用鉬填充特徵部。
為了將鉬沉積到特徵部中,鉬前驅物可以與共反應物反應。共反應物的實例包括氫(H 2)、矽烷(SiH 4)、乙硼烷(B 2H 6)、鍺烷(GeH 4)、氨(NH 3)和聯胺(N 2H 4)。
在若干實施例中,鉬的沉積可使用基於電漿的處理。氣體可被送入遠端或原位電漿產生器以產生電漿物質。可用於產生電漿的氣體的範例可以是含氫氣體,例如H 2,含氮氣體,例如N 2,以及其他氣體,例如Ar和NH 3。電漿物質可以是惰性的或者與鉬前驅物反應以形成膜。
可藉由原子層沉積(ALD)或化學氣相沉積(CVD)來用鉬填充特徵部。可使用熱ALD或電漿增強ALD(PEALD)。類似地,可使用熱CVD或電漿增強CVD(PECVD)。
ALD是表面介導的沉積技術,其中一定劑量的前驅物和反應物被依序地引入到沉積腔室中。鉬前驅物和反應物的依序給劑的一或更多循環可以用於沉積Mo。例如,在初始鉬層的沉積中,MoCl 5可以用作前驅物並且H 2可以用作還原劑。將一定劑量的MoCl 5和H 2依序引入沉積腔室中,並且沖淨氣體(例如氬氣)在沉積腔室之間流動。對於ALD,可以控制基板的溫度和腔室的壓力。例如,可以將基板加熱到300°C 到800°C之間,例如650°C到750°C之間。在若干實施例中,腔室可被加壓在10Torr與90Torr之間,例如30Torr與50Torr之間。在若干實施例中,溫度和/或壓力可用於控制反應速率。在若干實施例中,溫度和/或壓力可用於控制選擇性。這將在下面進一步討論。
在若干實施例中,鉬填充可涉及CVD。在CVD處理中,鉬前驅物和反應物在沉積腔室中一起處於氣相。一般而言,CVD處理比ALD處理更快地填充特徵部。在一範例中,前驅物可以是氯化鉬,例如MoCl 5,並且與反應物(例如H 2)一起流入腔室中。在此範例中,晶圓同時暴露於前驅物和反應物中,它們發生反應並用Mo填充特徵部。
在又若干其他實施例中,可使用脈衝CVD處理來填充特徵部。脈衝CVD處理連續地將反應物流入腔室中,而前驅物脈衝流入腔室中。例如,H 2氣體可流入腔室中並且連續地流入腔室中,而MoCl 5間歇地流入腔室中。在CVD操作期間可控制基板的溫度和腔室中的壓力。
可使用本文描述的方法將鉬選擇性地沉積至特徵部中。在選擇性沉積中,相對於第二材料,鉬填充物可更容易地沉積在第一材料上,例如,相對於鉬在介電材料上的沉積和生長,鉬可更容易沉積和生長在金屬材料上。例如,特徵部可具有SiO 2的側壁表面和特徵部底部中的TiN插塞。在選擇性沉積中,鉬沉積到特徵部中並且可在TiN插塞上生長,但不在SiO 2的側壁表面上生長(或生長程度較小)。在此範例中,透過使鉬從TiN插塞上的特徵部底部而不是側壁表面生長,該特徵部避免了上述圖2L中的線彎曲效應。
處理條件例如前驅物氣體、還原劑、處理溫度、處理壓力、和暴露時間可影響所沉積的鉬膜之選擇性。不同的前驅物氣體可具有不同處理窗口,其中可選擇性地沉積鉬膜。例如,MoCl 5比MoO 2Cl 2具有更高的選擇性,即在相同的溫度和壓力條件下,的MoCl 5前驅物氣體只能在導電表面上沉積鉬,而不在介電表面上沉積鉬,而MoO 2Cl 2的前驅物氣體可在導電表面和介電表面兩者上沉積鉬。一般而言,MoCl 5具有大的處理窗口,即大的溫度和壓力範圍,其中前驅物氣體保持其選擇性。例如,MoCl 5可相對於介電材料選擇性地沉積在金屬材料上,其中處理溫度為250°C至800°C,例如300°C至500°C。一般而言,較高的處理溫度和較高的處理壓力會降低沉積氣體的選擇性。例如,在較高溫度下,諸如MoCl 5之類的前驅物氣體可能會失去其選擇性並在特徵部內的金屬表面和介電表面兩者上沉積鉬膜。
MoCl 5可與不同反應物反應以沉積鉬膜。下面描述的是使用MoCl 5前驅物和不同的處理控制在特徵部內沉積鉬膜的範例。在第一範例中,使用上述沉積方法使MoCl 5前驅物與氫(H 2)反應物反應。在本文的描述中,金屬前驅物與作為共反應物的氫氣(H 2)(也稱為氫反應物或H 2反應物)反應。然而,視情況而定,可使用其他反應物代替氫,包括其他含氫反應物,例如SiH 4、B 2H 6、NH 3。雖然 B 2H 6和/或 SiH 4等反應物是更強的還原劑,但它們也會導致更高的電阻率。因此,在若干實施例中,使用本文所述的H 2是有利的。用於選擇性沉積鉬膜的處理溫度可在250°C至800°C之間,例如300°C至500°C。在這些溫度下,鉬膜選擇性地沉積在相對於介電表面的一特徵部中的導電金屬或金屬化合物表面上,例如TiN表面。鉬膜從特徵部中導電表面所在的位置生長。如果導電表面是位於特徵部底部的TiN插塞,則可以從特徵部底部沉積並生長鉬膜。在第二個範例中,可以使用MoCl 5前驅物和H 2反應物來沉積鉬膜,但是在更高的溫度下,即高於800°C。該處理窗口可將鉬膜沉積在特徵部內的介電表面和導電表面兩者上。鉬膜在介電表面上的沉積可用於在特徵部中產生無阻擋層鉬層,這將在下面更詳細地討論。
在又另一範例中,MoCl 5前驅物與氨(NH 3)反應物反應以使用上述沉積處理在特徵部中沉積鉬膜。在此實施例中,沉積鉬膜可具有在200°C至700°C之間的處理溫度。在相似的溫度下,使MoCl 5與NH 3反應可能比與H 2反應具有更低的選擇性。在此實施例中,鉬膜可沉積在特徵部中的介電表面和導電表面兩者上。溫度處理可用以控制所沉積的膜的組成和結晶度。在較低的處理溫度下,通常低於400°C,沉積非晶氮化鉬膜。在較高的處理溫度下,通常高於500°C,可沉積結晶氮化鉬膜。在這些範圍之間,可沉積具有非晶區和結晶區的部分結晶膜。
蝕刻操作可用於使用鉬膜填充特徵部的方法中。蝕刻操作從特徵部去除金屬和氮化物的材料。例如,蝕刻處理可從特徵部部分或完全去除襯墊層。在另一範例中,蝕刻處理可用於減小襯墊層的厚度。在若干實施例中,蝕刻操作可涉及將浸泡在鉬前驅物氣體中的特徵部浸泡。在若干實施例中,蝕刻操作涉及用諸如MoCl 5的MoCl x前驅物浸泡特徵部。在若干實施例中,浸泡可用前驅物氣體連續進行。在若干實施例中,浸泡可為脈衝的,用沖淨氣體(例如氬氣(Ar))循環MoCl x前驅物。在又若干其他實施例中,特徵部可暴露於替代劑量的MoCl x前驅物和反應物,例如H 2
可將MoCl x前驅物使用於沉積和蝕刻操作兩者中。例如,在特定處理窗口中,MoCl 5前驅物可同時生長鉬膜並蝕刻掉特徵部中的金屬或金屬化合物膜。如果去除材料的速率大於前驅物沉積的材料,則該處理被認為是淨蝕刻操作。前驅物沉積材料和蝕刻材料的速度可透過多種處理條件來控制,包括所使用的反應物類型和處理溫度。一般而言,溫度越低,蝕刻掉的材料相對於沉積之材料的比例就越高。在較高溫度下,可使用相同的前驅物和反應物作為淨沉積操作,即,沉積之材料的量大於去除之材料的量。例如,當處理溫度低於400°C時,可在蝕刻操作中使用MoCl 5前驅物和H 2反應物。當處理溫度高於550°C時,可在沉積操作中使用與MoCl 5前驅物和H 2反應物的相同前驅物。
在若干實施例中,MoCl x前驅物在高溫下,例如高於550°C,可以比沉積材料更快的速率繼續蝕刻材料。例如,MoCl 5可用於透過無反應物的浸泡來蝕刻特徵部。在此範例中,溫度可高達700°C並且將繼續從特徵部蝕刻掉材料。在將特徵部浸泡在無反應物的MoCl 5中之操作中,升高的溫度可能會增加從特徵部蝕刻掉材料的速率。
特徵部之上可能具有表面氧化物或汙染。例如,下層的TiN、WN、或W層的表面可被氧化。如果留下,氧化表面會導致更高的電阻率。清潔操作用於去除如此的氧化物和污染物。在若干實施例中,清潔操作可具有浸泡在鉬前驅物氣體(通常是鹵化鉬)中的特徵部。與上述蝕刻操作類似,前驅物氣體可以是MoCl x前驅物。在若干實施例中,浸泡可以連續進行。在若干實施例中,浸泡可以是脈衝的,循環MoCl x和沖淨氣體,例如氬氣(Ar)。前驅物可以是不含氧的含Cl鉬化合物,能夠去除特徵部表面的氧化。 MoCl x化合物的實施例在上面提供。在使用熱或電漿H 2的傳統清潔不起作用的情況下,例如在表面材料上氧化表面穩定的情況下,可以使用含Cl前驅物。與含F化合物相比,含Cl前驅物不太可能過度蝕刻特徵的襯墊層或攻擊特徵部的表面。
在若干實施例中,填充特徵部可以涉及沉積成核層。成核層是支持主體沉積的薄層。它可能與特徵部共形。在許多實施例中,通過ALD處理沉積成核層。在若干實施例中,使用含硼還原劑(例如,B 2H 6)或含矽還原劑(例如,SiH 4)中的一或更多者作為共反應物來沉積鉬成核層。例如,可使用一或更多S/鉬循環或Mo/S循環來沉積鉬成核層。在另一範例中,可使用一或更多B/鉬循環或Mo/B循環來沉積鉬成核層,在該鉬成核層上沉積主體鉬層。B是指乙硼烷或其他含硼還原劑的脈衝並且S是指矽烷或其他含矽還原劑的脈衝,使得S/鉬是指矽烷脈衝之後是含Mo前驅物的脈衝。B/鉬和S/鉬循環(或Mo/B和/或Mo/S)兩者均可用於沉積鉬成核層,例如x(B/Mo)+y(S/Mo),其中x和y 是整數。含硼反應物的實例包括乙硼烷(B 2H 6)、烷基硼烷、烷基硼、氨基硼烷(CH 3) 2NB(CH 2) 2、碳硼烷例如C 2B nH n+ 2和其他硼烷。硼烷的範例包括B nH n+4、B nH n+6、B nH n+8、B nH m,其中n是1至10的整數,並且m是與n不同的整數。含矽還原劑的範例包括矽烷(SiH 4)和其他矽烷類,例如乙矽烷(Si 2H 6)。
在若干實施例中,鉬成核層的沉積可涉及使用不含氧的前驅物,例如六氟化鉬(MoF 6)或五氯化鉬(MoCl 5)。含氧前驅物中的氧可與含矽或含硼還原劑反應以形成MoSi xO y或MoB xO y,它們是不純的高電阻率膜。在若干實施例中,含氧前驅物可用於成核層沉積,同時使氧摻入最小化。可透過高還原劑流量(例如,還原劑與含氧鉬前驅物的體積流量大於100:1)來最小化氧的摻入。
在若干實施例中,H 2可代替含硼或含矽還原氣體用作用於鉬成核層沉積的還原氣體。用於沉積鉬成核層的例示厚度為5Å至30Å。在此範圍下端的薄膜可能不連續;然而,只要它們能夠幫助引發連續的主體鉬生長,該厚度就足夠了。
在若干實施例中,成核層或主體鉬層的沉積期間的還原劑脈衝可在比鉬前驅物脈衝更低的基板溫度下進行。例如,B 2H 6或SiH 4(或其他含硼或含矽的還原劑)脈衝可以在低於300°C的溫度下進行,其中鉬脈衝在高於300°C的溫度下進行。
在若干實施例中,還原劑是NH 3或其他含氮還原劑,例如聯胺 (N 2H 4)。NH 3在介電質上的化學吸附比H 2更有利。在若干實施例中,選擇還原劑和前驅物使它們反應而沒有還原劑解離。NH 3與金屬氯氧化物和金屬氯化物反應而不解離。這與例如使用H 2作為還原劑的金屬氯氧化物ALD形成對比;H 2在表面上解離以形成吸附的原子氫,這導致金屬在介電表面上的初始成核期間之活性物質濃度非常低,並且表面覆蓋率低。透過使用NH 3和金屬氯氧化物或金屬氯化物前驅物,在比相同金屬前驅物的H 2還原所使用的沉積溫度低高達數百度的沉積溫度下,成核延遲被減少或消除。
在若干實施例中,還原劑可為含硼或含矽還原劑,例如B 2H 6或SiH 4。這些還原劑可與金屬氯化物前驅物、金屬氯氧化物一起使用;然而,B 2H 6和SiH 4可能與ALD處理期間作為副產物形成的水反應並形成固體B 2O 3和SiO 2。它們是絕緣的,並且可保留在膜中,從而增加電阻率。與B 2H 6和SiH 4的ALD處理相比,NH 3的使用還提高了特定表面(包括Al 2O 3)上的吸附。所得成核層通常不是純元素膜而是金屬氮化物或金屬氮氧化物膜。在若干實施例中,可能存在來自沉積的殘餘氯或氟,特別是如果在低溫下進行沉積的話。在若干實施例中,可能存在不超過痕量的殘餘氯或氟。在若干實施例中,成核層是非晶層。薄膜中的雜質(例如氧、NH 3、氯、或其他鹵素)有利非晶微結構的生長。在若干實施例中,所沉積的成核層是非晶氮氧化鉬層或非晶氮化鉬層。非晶特性為隨後沉積的導體中之大晶粒生長提供模板。氮化物或氮氧化物相對於氧化物表面的表面能比氧化物表面上的金屬的表面能有利得多,有利於在介電質上形成連續且平滑的膜。這允許形成薄的、連續的層。沉積時,成核層的例示厚度範圍為5-30Å。例如,根據溫度的不同,這可能是約5-50個ALD循環。
如下所述,在後續處理期間,隨著厚度減小,成核層可轉變為純的(或不純的)元素金屬膜。成核層沉積於其上的表面取決於具體應用。在若干實施例中,成核層直接沉積在介電質(例如,氧化矽、氧化鋁、氮化矽等)表面上。在若干實施例中,成核層直接沉積在氮化鈦或其他表面上。
在若干實施例中,鉬層的ALD形成可藉由還原劑層引起。這種處理的範例在圖3的流程圖中示出。在操作302中,將基板暴露於還原劑氣體以形成還原劑層。在若干實施例中,還原劑氣體可為矽烷、硼烷、或矽烷與乙硼烷的混合物。下面提供還原劑的進一步範例。在若干實施例中,還原劑層可包括矽或含矽材料、磷或含磷材料、鍺或含鍺材料、硼或含硼材料,其能夠還原鉬前驅物的與上述組合。根據諸多實施例,氧氣可以或可不在背景運作。(雖然氫氣可以還原鎢前驅物,但它在具有足夠量的較強還原劑(例如矽烷和乙硼烷)的氣體混合物中不起還原劑的作用。)在若干實施例中,還原劑氣體是包括少量含硼氣體(例如乙硼烷)與另一還原劑的混合物。少量含硼氣體的加入可極大地影響其他還原劑的分解和黏附係數。應注意,可將基板依次暴露於兩種還原劑,例如矽烷和乙硼烷。然而,流動氣體混合物可促進極少量的少數氣體的添加,例如比例至少為100:1的矽烷和乙硼烷。在若干實施例中,可流動載氣。在若干實施例中,可在操作302期間流動載氣,例如氮氣(N 2)、氬氣(Ar)、氦氣(He)、或其他惰性氣體。
在若干實施例中,還原劑層可包括元素矽(Si)、元素硼(B)、元素鍺(Ge)或其混合物。例如,還原劑層可以包括元素Si和B。這與吸附的矽烷或乙硼烷分子不同並且可以涉及還原劑氣體中的化合物的分解。可以調整B的量以實現還原劑層的高沉積速率但具有低電阻率。在若干實施例中,還原劑層可具有例如5%至80%之間的B、或5%至50%之間的B、5%至30%之間、或5%至20%之間的B,其中平衡基本上由Si組成,並且在若干情況下由H組成。存在氫原子,例如SiH x、BH y、GeH z或其混合物,其中x、y和z可以獨立地在0和小於相應還原劑化合物的化學計量當量的數字之間。在若干實施例中,組成可以在還原劑層的厚度上變化。例如,還原劑層可以在還原劑層的底部具有20%的B並且在該層的頂部具有0%的B。還原劑層的總厚度可以在10Å和50Å之間,並且在若干實施例中,在15Å和40Å之間,或者在20Å和30Å之間。還原劑層共形地鋪襯特徵部。
在操作302期間的基板溫度可以維持在溫度Tl以使膜共形。如果溫度太高,薄膜可能不共形成下層結構的形貌。在若干實施例中,實現大於90%或95%的階梯覆蓋率。對於矽烷、乙硼烷和矽烷/乙硼烷混合物,共形性在 300°C時表現出色,但在 400°C 或更高溫度下可能會降低。因此,在若干實施例中,操作302期間的溫度為至多350°C,或甚至至多325°C,至多315°C,或至多300°C。在若干實施例中,使用低於300°C的溫度。例如,溫度可能低至 200°C。
操作302可執行任何合適的持續時間。在若干範例中,例示持續時間包括約0.25秒至約30秒、約0.25秒至約20秒、約0.25秒至約5秒、或約0.5秒至約3秒。
在操作304中,可選地沖淨腔室以去除未吸附到基板表面的過量還原劑。沖淨可以透過使惰性氣體在固定壓力下流動來進行,從而降低腔室的壓力並在開始另一次氣體暴露之前對腔室重新加壓。例示惰性氣體包括氮氣(N 2)、氬氣(Ar)、氦氣(He)及其混合物。沖淨可執行約0.25秒至約30秒、約0.25秒至約20秒、約0.25秒至約5秒、或約0.5秒至約3秒的持續時間。
在操作306中,在基板溫度T2下,將基板暴露於鉬前驅物。使用含氧前驅物會導致雜質摻入和更高的電阻率。然而,如果摻入氧,則可使用非常薄的、可能不連續的還原劑層以獲得可接受的電阻率。在若干實施例中,可在操作306期間流動載氣,例如氮氣(N 2)、氬氣(Ar)、氦氣(He)、或其他惰性氣體。溫度的範例是500°C至700°C。
操作306可執行任何合適的持續時間。在若干實施例中,其可以涉及鉬前驅物的浸泡,並且在若干實施例中,可以涉及鉬前驅物脈衝的序列。根據諸多實施例,操作306可在存在或不存在H 2的情況下執行。如果使用H 2,則在若干實施例中,它和含Mo前驅物可以ALD型模式應用。例如: H 2脈衝 氬氣沖淨 在背景中有或無H2之下脈衝含Mo前驅物 氬氣沖淨 重複
基板溫度T2足夠高,使得含Mo前驅物與還原劑層反應以形成元素Mo。整個還原劑層轉化為Mo。在若干實施例中,溫度至少為450°C,並且可為至少550°C以獲得100%或接近100%的轉化率。所得到的特徵部現在襯有Mo共形膜。其可在10Å和50Å之間,並且在若干實施例中,在15Å和40Å之間,或者在20Å和30Å之間。一般而言,其厚度與還原劑層大致相同。在若干實施例中,由於轉化期間的體積膨脹,其可比還原劑層厚多達5%。可在操作308中沖淨腔室。特徵部的大部分可透過沉積主體鉬層來填充。主體鉬層可沉積在成核層、還原劑層上,或直接沉積在下層的表面上,如上所述。
可透過ALD或CVD處理來進行主體沉積。在CVD處理中,還原劑和鉬前驅物共同流入沉積腔室中以在特徵部中沉積主體填充層。惰性載氣可用於輸送一或更多反應物流,其可預混合或可不預混合。此操作通常涉及使反應物連續流動直至沉積所需量。在特定實施例中,CVD操作可在複數階段中進行,其中反應物的連續且同時地流動的複數時段被一或更多轉向的反應物流的時段分開。
在若干實施例中,可使用脈衝CVD處理,其中H 2或其他共反應物連續流動,同時脈衝鉬前驅物。
為了共形沉積並沉積到諸如3D NAND結構的複雜結構中,可使用主體層的ALD沉積。主體層的ALD沉積涉及使用上述鉬前驅物而暴露於由惰性沖淨氣體分離的含鉬前驅物和還原劑的交替脈衝。用於成核層或還原劑層沉積的相同或不同的鉬前驅物可用於主體沉積。與可使用強還原劑(例如乙硼烷或矽烷)的成核層沉積相反,氫通常是用於主體沉積的還原劑。
在若干實施例中,成核層可轉化為元素鉬層。此也可被表徵為去除雜質,即任何非金屬成分。成核層可具有比隨後沉積的元素鉬層更多的雜質,但它們被充分去除,使得堆體疊電阻率與不包括成核層的堆疊體相同或相似。如上所述,在若干實施例中,氮氧化鉬或氮化鉬層可用作成核層。而且,在若干實施例中,氧化鉬層可用作成核層。
根據諸多實施例,可採用以下一或更多者來促進成核層向元素鉬膜的轉化:1) 在比成核層沉積更高的溫度(例如,550°C)下沉積主體鉬層,2)進行較低溫度的ALD H 2/鉬前驅物循環,以及3)原位沉積主體鉬層,使得成核層在鉬的主體沉積之前不暴露於空氣或以其他方式氧化。特別是氮氧化鉬相對容易轉化為元素金屬。所得轉化成核層和純金屬層各自的特性可為具有少於1%的原子雜質。
如在圖1B中所述,鉬可沉積至沒有阻擋層的特徵部中,即,鉬沉積在特徵部中的介電表面上。在若干實施例中,可使用如上面參照圖3所述的還原劑層。在這些實施例中,還原劑可以沉積至特徵部中。如操作308中所述,使用鉬前驅物的後續沉積可將還原劑層轉化為Mo。在若干實施例中,可使用氯化鉬或鹵氧化鉬前驅物與如上所述的反應物,透過CVD或ALD處理將鉬直接沉積到介電層上。
在第一範例中,可使用晶種將鉬沉積到具有介電表面(例如SiO 2)的特徵部中。該處理使用例如MoCl 5的氯化鉬前驅物和例如H 2的反應物。在若干實施例中,可使用NH 3作為反應物。如上所述,MoCl 5是高度選擇性的並且與導電表面相比可抵抗直接在介電表面上生長。然而,如果前驅物和反應物對SiO 2表面有足夠長的暴露時間,鉬晶種將在SiO 2上形成。由於前驅物選擇性,鉬前驅物和反應物在介電材料上形成晶種的暴露時間比在成核層或插塞上沉積鉬時的典型暴露時間長。一旦在介電層上形成晶種,就可以將鉬選擇性地沉積在使用相同的前驅物和反應物形成的晶種上。
形成晶種可涉及以下的一或更多者。在若干實施例中,氯化鉬前驅物和反應物(例如H 2)長時間暴露於SiO 2表面允許鉬晶種在SiO 2上形成。例如,在使用MoCl 5作為前驅物和H 2作為反應物的200至600個ALD循環之後,可在介電材料上形成鉬晶種。在另一實施例中,沉積與前驅物相比相對高量的反應物可用於在介電表面上產生鉬晶種。在鉬晶種形成期間,可降低前驅物的濃度,從而增加反應物相對於沉積的前驅物之比例。在使用MoCl 5前驅物和H 2反應物的範例中,具有相對高的H 2和MoCl 5的比例縮短介電材料上的沉積延遲並且允許晶種更快地產生。對於晶種形成,前驅物氣體的濃度可降低至在正常沉積操作期間的正常前述濃度的高達10倍,例如5倍。例如,在典型的ALD處理中,前驅物濃度可為氣體的1-2%,即,氣體是98%載氣和2%前驅物。在晶種形成中,前驅物濃度可降低高達10倍,使得前驅物氣體濃度低至氣體的0.1%。在若干實施例中,可增加處理溫度以改善晶種形成。例如,在晶種形成期間,處理溫度可高於500°C。在若干實施例中,在晶種形成期間溫度可高於500°C並且可降低至低於500°C以在介電材料上形成的晶種上選擇性生長鉬。
在若干實施例中,諸如MoO 2Cl 2的鹵氧化鉬可用作前驅物以在介電表面上形成鉬晶種。在這些實施例中,晶種可比使用氯化鉬前驅物形成的晶種更快地在介電材料上形成。然而,沉積的鉬膜可能被氧化。
在若干實施例中,鉬可選擇性地沉積在形成於特徵部中的導電金屬插塞上。在這些實施例中,特徵部可在特徵部底部中具有由諸如TiN的導電金屬製成的插塞,鉬被選擇性地沉積在該插塞上。該特徵部還可具有由介電材料製成的側壁表面。使用上述選擇性蝕刻技術(即,控制處理參數,例如溫度、壓力、和反應物)可使用鹵化鉬或鹵氧化鉬前驅物來沉積鉬,使得鉬對於導電金屬插塞具有選擇性。鉬生長在導電金屬插塞上而不是介電側壁上。
在特徵部不具有導電金屬插塞的實施例中,可透過使用上述方法沉積氯化鉬前驅物和氫反應物而在特徵部底部形成鉬插塞。當使用(i)氯化鉬前驅物相對於H 2反應物的相對高比例、(ii)與選擇性沉積相比相對高的處理壓力、或(iii)其組合進行沉積時,可形成鉬插塞。在若干實施例中,使用高比例的氯化鉬前驅物沉積到特徵部中在特徵部之頂部產生淨蝕刻效應並在特徵部之底部產生淨沉積效應,從而允許在特徵部底部上形成鉬插塞。特徵部底部是透過側壁連接的特徵部的最內表面。在若干實施例中,鉬插塞可在較低溫度下形成,例如低於450°C。可使用CVD或脈衝CVD處理來形成鉬插塞。在脈衝CVD處理的範例中,H 2反應物可連續地流入特徵部中,並且MoCl 5前驅物可以0.5至2.5秒的持續時間以0.1%至0.2%之間的濃度進行脈衝。一旦在特徵部底部中形成鉬插塞,就可改變處理參數以將鉬選擇性地沉積在特徵部內的鉬插塞上。
圖4是示出用鉬(Mo)膜填充特徵部之方法的處理流程圖。方法400開始於在操作401中提供包括要在其中沉積鉬的特徵部之基板。可以將基板提供給半導體處理工具。該特徵部可為溝槽、通孔、或上面在圖2A-2L中描述的任何特徵。在若干實施例中,特徵部形成在介電材料中。鉬可以沉積在特徵部中以與下層形成電接觸。下層的例子包括金屬、金屬矽化物、和半導體。金屬的範例包括Co、Ru、銅(Cu)、W、Mo、鎳(Ni)、銥(Ir)、銠(Rh)、鉭(Ta)、和Ti。金屬矽化物的範例包括TiSi x、矽化鎳(NiSi x)、矽化鉬(MoSi x)、矽化鈷(CoSi x)、矽化鉑(PtSi x)、矽化釕(RuSi x)、和矽化鎳鉑(NiPt ySi x)。半導體的範例包括矽(Si)、矽鍺(SiGe) 和砷化鎵、(GaAs),具有或不具有半導體摻雜劑,例如碳(C)、砷(As)、硼(B)、磷(P) 、錫(Sn)、和銻(Sb)。
特徵部通常具有側壁表面並且可具有底表面。在若干實施例中,側壁表面可能是與底表面相同的材料。例如,在若干實施例中,側壁表面和底表面是TiN。在若干實施例中,側壁表面可以是與底表面不同的材料。例如,底表面可為金屬矽化物,側壁表面可為矽氧化物,例如SiO 2。在若干實施例中,特徵不可具有傾斜的表面。在若干如此的實施例中,側壁表面在特徵部的底部相交。
在任何鉬沉積之前,襯墊層可鋪襯未填充的特徵部並形成側壁表面和/或底表面。在若干實施例中,襯墊層將整個特徵部鋪襯並形成側壁表面和底表面。在若干實施例中,襯墊層僅將特徵部的一部分鋪襯。例如,TiN層可將側壁鋪襯而不鋪襯底表面。用於襯墊層的材料之範例包括金屬氮化物(例如,TiN或氮化鉭(TaN)阻擋層)和金屬(例如,Ti黏附層)。
在若干實施例中,特徵部表面被氧化。氧化可能是由於將特徵部的表面暴露於空氣或其他氧化條件而引起的。例如,金屬矽化物(MSi x,其中M是金屬)表面在暴露於空氣時可能被氧化成氧化金屬矽化物(MSi xO y)。氧化表面的其他範例包括氧化金屬氮化物(MN xO y)、氧化矽(SiO x)、和氧化矽鍺(SiGeO x)。(在本文的描述中,公式中使用下標x和y表示非零數。)
在若干實施例中,氧化條件發生在基板處理或轉移操作的過程中。在若干實施例中,如下文參照圖5進一步描述地進行有意的氧化。
在提供包括待將鉬沉積至其特徵部的基板之後,可執行可選的清潔操作402。可選的清潔可用去除特徵部表面上的氧化物。在若干實施例中,可使用如上所述的原位清潔處理。原位清潔可使用鹵化鉬,例如MoCl 5。在若干實施例中,可使用氫電漿處理、熱氫處理、或還原處理來還原特徵部底部處的金屬基板上的氧化金屬。在若干實施例中,可以使用基於Cl的電漿的原子層清潔、氟化氫(HF)蒸氣清潔、氟化銨(NH 4F)清潔或使用其它還原劑的處理來減少特徵部表面上的氧化物。
一旦提供基板,就在操作403中的特徵部中沉積初始鉬層。透過ALD方法沉積初始鉬層。透過將鉬前驅物和還原劑依序引入沉積腔室中來沉積初始鉬層。可使用鉬前驅物和還原劑的連續劑量的一或更多循環來沉積初始鉬層。在若干實施例中,初始鉬層可與特徵部共形地沉積。在若干實施例中,共形鉬層可在1和5nm之間。在若干實施例中,其厚度不超過2nm。在若干實施例中,鉬可非共形地沉積,使得其相對於側壁選擇性地沉積在特徵部的底部上,例如,在特徵部的底部中沉積鉬插塞。
對於初始鉬層的沉積,鉬前驅物是鹵化鉬前驅物。在若干實施例中使用MoCl x前驅物。如上所述,在其他實施例中可使用其他MoX z前驅物。上面討論了所使用的還原劑的範例。不含氧的鉬前驅物可防止特徵部表面氧化。它還可防止氧併入初始鉬層中。氧化會增加接觸電阻。缺乏氧化和氧摻入確保接觸電阻保持較低。
在ALD處理期間,可控制基板的溫度和腔室的壓力。在若干實施例中,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。
在若干實施例中,諸如溫度的處理參數可用於控制選擇性。例如,透過使用比共形沉積更低的溫度,可相對於介電材料表面將鉬選擇性地沉積在金屬矽化物表面或金屬氮化物表面上。例如,在若干實施例中,使用低於400°C的溫度。
在沉積初始鉬層之後,在操作405中使用鹵氧化鉬前驅物來用鉬填充特徵部。如上所述,MoO yX z前驅物的範例包括MoO 2Cl 2、MoOCl 4、MoOF 4、MoO 2Br 2、MoO 2I、和Mo 4O 11I。可使用ALD、電漿增強ALD、化學氣相沉積(CVD)或電漿增強CVD來填充該特徵部。對於ALD或CVD,H 2可以作為還原劑。使用鹵氧化鉬前驅物比用於形成初始鉬層的MoCl x前驅物沉積鉬更快。例如,對於非電漿處理,MoO yX z前驅物可以比MoCl x前驅物快至少兩倍的沉積速率來沉積鉬。電漿增強處理可用於在較低溫度下填充特徵部和/或增加沉積速率。
圖5是顯示用於清潔氧化特徵部的原位清潔方法的處理流程圖。方法500開始於在操作501中提供包括具有一或更多氧化表面的特徵部的基板。可將基板提供給半導體處理工具。
類似於圖4的操作401中參照的特徵部,該特徵部具有側壁表面並且可具有底表面。在若干實施例中,特徵部可形成在介電層中作為溝槽或通孔以連接到下層。特徵部的其他範例在上面的圖2A-2L中進行了描述。上面參照圖4的操作401給出了形成底表面和側壁表面(包括襯墊層)的材料的範例。在本文針對圖5的討論中,該特徵部具有底表面和側壁表面。應理解,該方法可用於在具有氧化表面的基板上提供任何的特徵部。
所提供的特徵部具有至少一個氧化表面。在若干實施例中,底表面和側壁表面兩者均被氧化。在若干實施例中,只有若干表面(例如,只有底表面)被氧化。氧化表面可能是由於將表面暴露於氧化條件而引起的。氧化條件的範例包括將表面暴露於空氣並用基於氧的熱或電漿處理來處理表面。在若干實施例中,氧化條件發生在基板處理或轉移操作的過程中。在若干實施例中,氧化條件發生在基板處理或轉移操作的過程中。在若干實施例中,進行有意的氧化。上面參照圖4給出了氧化表面的範例。
在提供該基板後,可執行表面的可選的有意氧化。通過將表面暴露於空氣或基於氧的熱處理或氧電漿處理來處理表面,可發生有意的氧化。表面的有意氧化可用於增加襯墊層(例如TiN阻擋層)的氧化。這增加了在原位清潔期間去除的襯墊層的量。以此種方式減薄襯墊層降低了特徵部中的電阻。
在提供包括在其中沉積鉬的特徵部的基板之後,可執行可選的清潔操作502。可選的清潔可用於去除特徵部表面上的氧化物。在若干實施例中,使用氫電漿處理、熱氫處理或還原處理來還原特徵部底部處的金屬基板上的氧化金屬。在若干實施例中,可以使用基於Cl的電漿的原子層清潔、氟化氫(HF)蒸氣清潔、氟化銨(NH 4F)清潔或使用其它還原劑的處理來減少特徵部表面上的氧化物。
接著,在操作503中,特徵部經歷浸泡。特徵部浸泡在氯化鉬(MoCl x)前驅物中以去除特徵部表面的氧化。在若干實施例中,浸泡可連續地進行。在若干實施例中,浸泡可以是脈衝式的,循環MoCl x和沖淨氣體,例如氬氣(Ar)。前驅物是能夠去除特徵部表面氧化的非氧含Cl鉬化合物。MoCl x的範例在上面給出。含Cl前驅物可在使用熱或電漿H 2清潔的傳統清潔不起作用的情況下使用,例如在氧化表面在表面材料上穩定的情況下。
在一範例中,特徵部可具有TiN阻擋層作為其襯墊層。襯墊層可以被氧化以形成TiN xO y表面層。因為TiN xO y是穩定的,所以H 2處理可能無法有效地從TiN層去除TiN xO y。將特徵部浸泡在MoCl x前驅物(例如 MoCl 5)中,可以有效地去除 TiN襯墊層上的氧化物。對於相對較薄的襯墊,諸如氟化鎢(WF 6)的F基前驅物可能會導致襯墊過度蝕刻。F基前驅物可能會侵蝕下面的表面,例如特徵部的底表面。圖5的原位清潔處理可​​防止TiN襯墊的過度蝕刻和對下面表面的侵蝕。在TiN阻擋層的範例中,F基前驅物可以侵蝕它和/或任何下面的金屬矽化物。
針對原位清潔,可控制基板的溫度、半導體處理工具中的腔室的壓力、以及前驅物暴露至特徵部的時間。在若干實施例中,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。前驅物暴露至特徵部的總時間可為至少10秒,例如至少60秒。如上所述,浸泡可以是連續的或脈衝的。
在特徵部經歷浸泡且從特徵部的表面去除氧化物後,在操作505中使用MoCl x將鉬沉積到特徵部中。鉬沉積使用MoCl x,其與在操作503中用於浸泡特徵部的前驅物相同。沉積的鉬是初始鉬層。在若干實施例中,操作505可涉及使用MoCl 5填充特徵部。在若干其他實施例中,可使用鹵氧化鉬前驅物MoCl yX z來填充特徵部。上面給出了鹵氧化鉬前驅物的例子。該特徵部可以使用ALD或CVD來填充,包括上述的熱和電漿增強ALD和CVD處理。
根據諸多實施例,特徵部填充可以是非選擇性的或選擇性的。在若干實施例中,特徵部填充可以是選擇性的以部分填充特徵部,隨後是更共形的填充以完成特徵部填充。非選擇性沉積在本文可被描述為共形沉積,其中沉積的層符合下面特徵部的輪廓。如此的沉積層可能具有一定的厚度不均勻性。
針對操作505的填充處理,可控制基板的溫度、腔室的壓力、以及反應物暴露的時間。這些處理參數可用於控制Mo的主體填充期間的選擇性。如在503中的操作中,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。反應物暴露時間可為至少5秒,例如至少15秒。
圖6是顯示用鉬膜填充具有保護性氮化物層的特徵部的方法的處理流程圖。保護性氮化物層可用於保護特徵部底部和特徵部底表面下方的下面的材料。方法600開始於在操作601中提供具有金屬氮化物層的基板。可將基板提供給半導體處理工具。
相似於圖4的操作401參照的特徵部,該特徵部通常具有帶有底表面的底部和帶有側壁表面的側面。該特徵可以形成在介電層中並且連接到下層。上面參考圖4中的操作401給出了形成底部和側壁的材料的範例。
在提供的特徵部中,底表面是金屬氮化物層。金屬氮化物的範例是TiN和TiSiN。在若干實施例中,金屬氮化物層可共形地鋪襯特徵部,使得側壁表面和底表面是金屬氮化物層。在若干實施例中,側壁表面可以是與底表面的材料不同的材料。例如,底表面可以是金屬氮化物層,側壁表面可以是介電材料。
在若干實施例中,底表面和側壁表面被氧化。氧化可能是由於將特徵部的表面暴露於空氣或其他氧化條件而引起的。在若干實施例中,氧化條件發生在基板處理或轉移操作的過程中。在若干實施例中,如上面圖5中所述進行有意氧化。
在提供具有金屬氮化物層的基板後,可在操作602中執行可選的清潔和/或可選的蝕刻。清潔可用於從特徵部的場、側壁表面、和底表面去除氧化物,而可選蝕刻可用於去除基板的側壁或場上的金屬氮化物層的部分。上面在圖5的操作502中給出了清潔處理的範例。
如果執行,操作602可涉及將特徵部浸泡在鉬前驅物中以去除氧化和/或從特徵部去除或還原金屬氮化物層。在若干實施例中,浸泡可連續地進行。在若干實施例中,可使用脈衝浸泡,在沖淨氣體流動的同時使前驅物氣體循環。在若干實施例中,前驅物氣體可與沖淨氣體交替地循環。前驅物氣體可以是含鉬鹵化物化合物。在若干實施例中,前驅物氣體是MoCl x,例如MoCl 5。MoCl x的範例在上面給出。
針對602中的沖淨/蝕刻操作,可控制基板的溫度、半導體處理工具中的腔室的壓力、以及前驅物暴露至特徵部的時間。在若干實施例中,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。前驅物暴露至特徵部的總時間可為至少10秒,例如至少60秒。如上所述,浸泡可以是連續的或脈衝的。
在操作603中,將初始鉬層沉積到特徵部中。初始鉬層可透過ALD沉積。透過將一或更多連續劑量的鉬前驅物和還原劑沉積到沉積腔室中來形成初始鉬層。鉬前驅物是不含氧的鉬前驅物。不含氧的前驅物可防止特徵部表面的氧化,並有助於確保接觸電阻保持較低。不含氧的鉬前驅物可為含鉬鹵化物化合物。不含氧的鉬前驅物的範例可為MoCl x前驅物,其如上所述。在若干實施例中,操作602和603中的前驅物可以是相同的。上面在圖4的操作403中給出了還原劑的範例。初始鉬層可選擇性地沉積到金屬氮化物層上的特徵部中。沉積鉬使得鉬層成為特徵部的底表面。在若干實施例中,共形鉬層可在1nm和5nm之間。在若干實施例中,其厚度不超過2nm。
針對603中的沉積操作,可控制基板的溫度、半導體處理工具中的腔室的壓力、以及前驅物暴露至特徵部的時間。在若干實施例中,可將基板加熱到350°C到700°C之間,例如375°C到475°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。前驅物暴露至特徵部的總時間可為至少10秒,例如至少60秒。如上所述,浸泡可以是連續的或脈衝的。在若干實施例中,操作603期間的基板溫度高於操作602中的基板溫度,例如至少50°C、至少100°C、至少200°C。在若干實施例中,基板的溫度在操作602和操作603之間保持相同。在沉積鉬層之後,從特徵部的側壁的至少一部份去除鉬層和下面的金屬氮化物層。操作605可涉及執行與上面關於操作602描述的類似的蝕刻操作。執行蝕刻使得底表面上的金屬氮化物層和鉬層保留在特徵部中。特徵部底表面上的金屬氮化物層和鉬層可用於保護特徵部底部上的主動連接。蝕刻可使用與上面在操作602中描述的蝕刻操作中描述的相同或不同的前驅物。操作605中的蝕刻可比操作602中執行的清潔和/或蝕刻「更積極(more aggressive)」。操作605中的更積極的蝕刻可在更高的溫度、更高的壓力、更長的前驅物暴露時間或其組合下執行,而不是操作602中的。
在操作605中從特徵部的側壁去除金屬氮化物層和鉬層之後,在操作607中用鉬填充特徵部。可以透過使用ALD或CVD(包括熱和電漿增強ALD和CVD處理)來填充特徵部。鹵化鉬或鹵氧化鉬可用作填充操作的前驅物。在若干實施例中,可使用複數前驅物來填充特徵部。在一個這樣的實施例中,鹵化鉬前驅物可用於將鉬沉積到特徵部中,隨後將鹵氧化鉬前驅物用於主體鉬填充。例如,可首先使用MoCl 5作為前驅物來填充特徵部,隨後使用MoO 2Cl 2進行填充。鹵化鉬前驅物和氧鹵化鉬前驅物的範例如上所述。根據諸多實施例,特徵部填充可以是非選擇性的或選擇性的。在若干實施例中,特徵部填充可以是選擇性的以部分填充特徵部,隨後是更共形的填充以完成特徵部填充。
填充處理可使用與前述在圖5中相同的參數。與503中的操作相似,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。反應物暴露時間可為至少5秒,例如至少15秒。在若干實施例中,處理參數,例如溫度,可用於控制選擇性。
圖7是顯示用鉬(Mo)膜填充具有氮化物特徵部的特徵部的方法的處理流程圖。氮化物特徵部可以是特徵部底部中的氮化物插塞或氮化物層。方法700開始於在操作701中提供具有金屬氮化物特徵部的基板。可將基板提供給半導體處理工具。
特徵部通常具有在該特徵部底部相交的兩個側壁表面。兩個側壁表面通常是傾斜的,使得該特徵部是「V形的」。它可形成在介電層中作為溝槽或通孔並連接到下面的層。上面參考圖4中的操作401給出了形成底部和側壁的材料的範例。
在若干實施例中,氮化物特徵部可為氮化物插塞。在若干實施例中,氮化物插塞是金屬氮化物插塞。氮化物插塞在特徵部的底部。在若干實施例中,金屬氮化物特徵部可為金屬氮化物層。在若干實施例中,金屬氮化物層可共形地鋪襯特徵部,使得側壁表面是金屬氮化物層。在若干實施例中,金屬氮化物層可鋪襯側壁的一部分,使得側壁表面的底部是金屬氮化物層並且側壁表面的頂部是介電材料。金屬氮化物的範例是TiN和TiSiN。
在若干實施例中,側壁表面被氧化。氧化可能是由於將特徵部的表面暴露於空氣或其他氧化條件而引起的。在若干實施例中,氧化條件發生在基板處理或轉移操作的過程中。在若干實施例中,如上文參照圖5所述進行有意氧化。
在提供具有金屬氮化物特徵部的基板之後,可在操作702中執行可選的清潔和/或可選的蝕刻。清潔可用於從場和特徵部表面去除氧化物,而可選蝕刻可用於去除基板的側壁或場上的金屬氮化物層的部分。上面在圖5的操作502中給出了清潔處理的範例。在可選的蝕刻之後,金屬氮化物表面位於特徵部的底部。
如果執行,操作702可涉及將特徵部浸泡在鉬前驅物中以去除氧化和/或從特徵部去除或還原金屬氮化物層。在若干實施例中,蝕刻可在特徵部的頂部相對於底部蝕刻更多的材料。在第一範例中,金屬氮化物層可共形地鋪襯該特徵部。蝕刻可去除特徵部頂部處的金屬氮化物層並留下特徵部底部的金屬氮化物層。在此範例中,側壁表面的頂部可為介電材料,而側壁表面的頂部可為金屬氮化物層。如上面在操作602中討論的,前驅物氣體可以是連續地浸泡、可以是脈衝式的、或與諸如沖淨氣體的另一氣體一起循環。在若干實施例中,前驅物氣體可以是含鉬鹵化物前驅物。例如,前驅物氣體可以是MoCl x。MoCl x的範例在上面給出。在若干實施例中,蝕刻可以是如上所述的淨蝕刻。在該範例中,淨蝕刻可使氯化鉬與諸如H 2的反應物反應。鉬可沉積在特徵部的底部,同時氯化鉬蝕刻掉特徵部頂部處的金屬氮化物襯墊的部分。針對602中的清潔/蝕刻操作,可控制基板的溫度、半導體處理工具中的腔室的壓力、以及前驅物暴露至特徵部的時間。這些處理控制類似於操作602中討論的處理控制。
如前述在操作602中所討論的,針於ALD,可控制基板的溫度和腔室的壓力。這些處理參數可用於控制選擇性,使得鉬初始層最初沉積在特徵部底部上的金屬氮化物表面上。在若干實施例中,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。
在操作703中,初始鉬層選擇性地沉積到在特徵部的底部的金屬氮化物特徵部上。在特徵部具有金屬氮化物層的實施例中,鉬沉積在特徵物底部中的側壁表面的底部的金屬氮化物層上。在特徵部具有金屬氮化物插塞的實施例中,鉬沉積在特徵部底部中的金屬氮化物插塞上。初始鉬層可從在特徵部底部的金屬氮化物表面向上生長。可使用鹵化鉬前驅物與反應物透過ALD、電漿增強ALD、CVD、或電漿增強CVD來沉積鉬。鹵化鉬前驅物可為氯化鉬化合物,例如MoCl 5,而反應物可為H 2。上面列出了額外的前驅物和反應物的範例。
如上面在操作603中所討論的,針對ALD,可控制基板的溫度和腔室的壓力。這些處理參數可用於控制選擇性,使得鉬初始層最初沉積在特徵部底部上的金屬氮化物表面上。在若干實施例中,可將基板加熱到350°C到700°C之間,例如375°C到475°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。在若干實施例中,在操作703期間的基板溫度大於在操作702中的基板溫度,例如至少50°C、至少100°C、至少200°C。在若干實施例中,基板的溫度在操作702和操作703之間保持相同。
在沉積初始鉬層之後,在操作705中用鉬填充特徵部。鉬填充物沉積在操作703中沉積的初始鉬層上。可使用與先前操作中使用的相同的鹵化鉬前驅物來完成填充,或者可用鹵氧化鉬前驅物來填充。可使用諸如H 2的反應物來沉積前驅物。可使用ALD、電漿增強ALD、CVD、或電漿增強CVD來填充特徵部。
圖8A-8D顯示圖7的處理的示意性範例。圖8A顯示在介電材料803中形成的特徵部801。特徵部801具有共形地沉積到特徵部801中的金屬氮化物層805。在若干實施例中,金屬氮化物層805是TiN層。金屬氮化物層形成兩個側壁表面807。特徵部801是V形溝槽並且具有在特徵部的底部813收斂的側壁表面807。
圖8B顯示在經歷如上面圖7的操作702中所述的清潔和蝕刻操作之後的特徵部801。該特徵部經歷使用MoCl x前驅物的浸泡,其有效地去除了氧化物。浸泡還去除金屬氮化物層805的頂部,使得金屬氮化物層805保留在特徵部801的底部813中。在清潔操作之後,每個側壁表面807在底部側壁表面上都具有金屬氮化物層805並且在每個側壁表面的頂部上具有介電材料803。
圖8C描繪在如圖7的操作703中所描述的將初始鉬膜809沉積到特徵部801中之後的特徵部801。選擇性地沉積初始鉬膜809,使得初始鉬膜沉積在特徵部801的底部813中的金屬氮化物層805表面上。初始鉬膜809極少甚至沒有沉積在介電材料803上,其形成特徵部801的上部中的側壁表面807。因此,鉬膜809被沉積並從特徵部801的底部813填充。
圖8D顯示如圖7的操作705中所描述的用鉬815填充特徵部之後的特徵部801。將鉬填充物沉積在圖8C所示的初始鉬層上,並從特徵部的底部813向上生長,直到特徵部被Mo填充為止。可使用ALD、電漿增強ALD、CVD、或電漿增強CVD來填充特徵部。在若干實施例中,填充物使用鹵氧化鉬前驅物,例如MoO 2Cl 2。在若干實施例中,填充物使用鹵化鉬前驅物,例如MoCl 5
圖9A-9D顯示圖7的處理的示意性範例。圖9A顯示形成在介電材料903中的特徵部901。特徵部901具有側壁表面907和底表面908。特徵部901具有共形地沉積到特徵部901中的金屬氮化物層905。在若干實施例中,金屬氮化物層905是TiN層。金屬氮化物層形成兩個側壁表面907和底表面908。
圖9B顯示在經歷如上面圖7的操作702中所述的清潔和蝕刻操作之後的特徵部901。該特徵部經歷使用MoCl x前驅物的浸泡,其有效地去除了氧化物。浸泡還去除金屬氮化物層905的頂部,使得金屬氮化物層905保留在特徵部901的底部913中。在清潔操作之後,每個側壁表面907在底部側壁表面上都具有金屬氮化物層905並且在每個側壁表面的頂部上具有介電材料903。金屬氮化物層905仍是特徵部901的底表面908。
圖9C描繪在如圖7的操作703中所描述的將初始鉬膜909沉積到特徵部901中之後的特徵部901。選擇性地沉積初始鉬膜909,使得初始鉬層沉積在金屬氮化物層905表面上,即特徵部901的底部913中的側壁表面907和底表面908。初始鉬膜909極少甚至沒有沉積在介電材料903上,其形成在特徵部901的上部中的側壁表面907。因此,鉬膜909被沉積並從特徵部901的底部913填充。
圖9D顯示如圖7的操作705中所描述的用鉬915填充特徵部之後的特徵部901。將鉬填充物沉積在圖9C所示的初始鉬層上,並從特徵部的底部913向上生長,直到特徵部被Mo填充為止。可使用ALD、電漿增強ALD、CVD、或電漿增強CVD來填充特徵部。在若干實施例中,填充物使用鹵氧化鉬前驅物,例如MoO 2Cl 2。在若干實施例中,填充物使用鹵化鉬前驅物,例如MoCl 5
圖10A-10C顯示圖7的處理的第二示意性範例。圖10A顯示在介電材料1003中形成的特徵部1001。特徵部1001是V形溝槽並且具有在該特徵部的底部1013收斂的側壁表面1007。側壁表面1007是介電材料1003。特徵部1001具有位於特徵部1001的底部1013中的金屬氮化物插塞1011。在若干實施例中,金屬氮化物插塞1011是TiN插塞。
圖10B描繪在如圖7的操作703中所描述的將初始鉬層1009沉積到特徵部1001中之後的特徵部1001。初始鉬膜1009被選擇性地沉積到特徵部1001的底部1013中的金屬氮化物插塞1011上。與圖8C中的示意圖類似,初始鉬層1009極少甚至沒有沉積在介電材料1003側壁表面1007上。
圖10C顯示如圖7的操作705中所描述的用鉬1015填充特徵部之後的特徵部1001。將鉬填充物沉積在初始鉬層上。特徵部1001從特徵部的底部1013填充並向上生長。可使用ALD、電漿增強ALD、CVD、或電漿增強CVD來填充特徵部。在若干實施例中,填充物使用鹵氧化鉬前驅物,例如MoO 2Cl 2。在若干實施例中,填充物使用鹵化鉬前驅物,例如MoCl 5
圖11是示出用鉬膜填充不具有金屬表面的特徵部的方法的處理流程圖。方法1100開始於在操作1101中提供不具有金屬表面的基板。可將基板提供到半導體處理工具。
該特徵部通常具有開口,該開口具有在該特徵部的底部相交的兩個側壁表面。特徵部底部可被稱為封閉端。兩個側壁表面通常是傾斜的,使得該特徵部是V形的。其可以形成在介電質層中作為溝槽或通孔並連接到下層。上面給出了形成底部和側壁的介電材料的範例。
在操作1103中,在特徵部的底部中形成鉬插塞。如上所述,鉬插塞可透過沉積含鉬鹵化物前驅物和反應物來形成。含鉬鹵化物前驅物可以是氯化鉬前驅物,例如MoCl 5。使用上述方法可將H 2用作反應物。當使用(i)氯化鉬前驅物相對於H 2反應物的相對高比例、(ii)沉積期間相對高的處理壓力、或(iii)其組合進行沉積時,可在特徵部底部中形成鉬插塞。在若干實施立中,用於形成插塞的基板溫度可低於450°C。
在操作1105中,初始鉬層選擇性地沉積到特徵部底部處的鉬插塞上。控制處理參數,使得初始鉬層沉積在特徵部底部處的鉬插塞上。可使用含鉬鹵化物前驅物和反應物透過ALD、電漿增強ALD、CVD、或電漿增強CVD來沉積初始鉬層。含鉬鹵化前驅物可為氯化鉬前驅物,例如MoCl 5。反應物可為H 2。上面列出了額外的前驅物和反應物的範例。
如上面在操作603所討論的,針對ALD,可控制基板的溫度和腔室的壓力。這些處理參數可用於控制選擇性,使得鉬初始層最初沉積在特徵部底部上的金屬氮化物表面上。在若干實施例中,可將基板加熱到300°C到500°C之間,例如350°C到450°C之間。在若干實施例中,腔室可被加壓到至少10Torr,例如至少30Torr或至少50Torr。
在初始鉬層沉積在鉬插塞上之後,在操作1107中用鉬填充特徵部。鉬填充物沉積在先前操作中沉積的初始鉬層上。可使用與先前操作中使用的相同鹵化鉬前驅物來完成填充,或者可在操作中使用鹵氧化鉬前驅物來填充。可使用諸如H 2的反應物來沉積前驅物。可使用ALD、電漿增強ALD、化學氣相沉積(CVD)、或電漿增強CVD來填充該特徵部。
在若干實施例中,在不具有金屬氮化物層之情況下使用鉬插塞填充特徵部。圖12A-12C顯示了這種過程的示意性範例。圖12A顯示在介電材料1203中形成的特徵部1201。特徵部1201具有共形沉積到特徵部1201中的金屬層1205。特徵部1201還具有金屬插塞1211。金屬可例如是Mo。金屬層形成兩個側壁表面1207。特徵部1201是V形溝槽,並且具有在特徵部的底部1213處收斂的側壁表面1207。
圖12B顯示在經歷蝕刻操作之後的特徵部1201。使用含鉬前驅物對該特徵部進行蝕刻。範例包括MoCl 5和MoOCl 4。蝕刻去除金屬層1205的頂部,使得金屬層1205保留在特徵部1201的底部1213中。在蝕刻之後,每個側壁表面1207在每個側壁表面的頂部上具有介電材料1203。金屬插塞1211保留在特徵部中。在若干實施例中,金屬層1205可位於側壁表面1207的下部上。
圖12C顯示用Mo 1215填充特徵部之後的特徵部1201。初始Mo膜選擇性地沉積在金屬插塞1211上。Mo填充物沉積在圖12B中所示的金屬插塞1211上,並且從特徵部的底部1213向上生長,直到特徵部被Mo填充。可使用ALD、電漿增強ALD、CVD、或電漿增強CVD來填充特徵部。在若干實施例中,填充物使用鹵氧化鉬前驅物,例如MoO 2Cl 2。在若干實施例中,填充物使用鹵化鉬前驅物,例如MoCl 5
在若干實施例中,可使用以下技術中的一或更多者來降低電阻率。第一種技術是使用高流速使氣體流入腔室。高流速可以是約5slm到約60 slm,例如在約10 slm和50 slm之間。在一範例中,高流速可用於使H 2氣體流入腔室中。在另一範例中,高流速可用於使沖淨氣體(例如Ar)流入腔室中。
第二種技術是使用複數H 2脈衝作為反應物。在膜的沉積中,在前驅物流入腔室之後,可使用H 2作為反應物。在若干實施例中,H 2氣體的複數脈衝可用於與前驅物反應。例如,前驅物氣體流入腔室,接著是二或更多H 2反應物脈衝。在若干實施例中,可以依序地脈衝輸送H 2。在若干實施例中,該序列可使H 2氣體流入腔室中,在沖淨操作之後,接著使第二股H 2氣體流入腔室中。在若干實施例中,H 2氣流、沖淨操作序列可持續二或更多次。
第三種技術是使用充氣容積(charge volume)。充氣容積可用於增加進入腔室的氣體的質量流量。在若干實施例中,可使用複數充氣容積。透過使用複數充氣容積,氣體的質量流量可隨著其進入腔室而增加。在若干實施例中,複數充氣容積可用於維持進入腔室的較高質量流速。在若干實施例中,充氣容積可用於使反應物(例如H­ 2)流入腔室中。在若干實施例中,充氣容積可用於使沖淨氣體流動。透過使用充氣容積使沖淨氣體流入腔室中,可更快地沖淨腔室。
在圖13中顯示的是可用於降低電阻率的例示序列。在所示序列中,含鉬前驅物首先流入腔室中。上面給出了含鉬前驅物的範例。含鉬前驅物的流動之後是沖淨操作。沖淨操作之後,腔室內的壓力被抽空至較低的壓力。在腔室壓力抽空後,氫氣流入腔室。氫氣隨後是沖淨操作,然後腔室壓力抽空。如上所述,抽空腔室壓力降低了腔室壓力。流動氫氣、接著沖淨操作和腔室壓力抽空的微型循環可重複多次。在若干實施例中,該微型循環可循環二或更多次。在圖13所示的序列中,流動氫氣、接著沖淨操作和腔室壓力抽空的微型循環循環了三次。
在若干實施例中,複數充氣容積可用於每個沖淨操作。這在WO2020/214732中進行了描述,該專利透過引用併入本文。
在若干實施例中,可使用以下技術中的一或更多來減少晶界(grain boundaries)。在第一種技術中,可在特徵部的側壁上使用抑制劑。在將鉬沉積到特徵部中的期間,可在側壁上使用抑制劑以減緩或停止鉬在側壁上的生長。第二種技術是蝕刻或去除特徵部側壁上的任何Mo核。例如,在如上所述的蝕刻期間,MoCl 5可用於蝕刻特徵部的側壁上的Mo核。在另一範例中,可使用四氯氧化鉬(MoOCl 4)來蝕刻側壁上的Mo核。例示處理可包括將鉬沉積到特徵部中。在鉬的初始沉積中,鉬核可沉積到特徵部的側壁上。沉積之後可進行蝕刻操作。例示蝕刻操作可使用MoCl 5進行蝕刻。蝕刻可蝕刻沉積到特徵部中的部分Mo,包括沉積到側壁上的任何Mo核。蝕刻之後可進行沉積。沉積可包括沉積Mo。沉積可以是由下而上的填充,將鉬沉積到在先前操作中未被蝕刻掉的特徵部中的鉬上。
在3D NAND結構中,橫向特徵部(例如字元線)的處理可用於改善結構內的填充。處理可包括成核抑制、蝕刻、或其組合。成核抑制抑制隨後的鉬在處理過的表面處成核。其可以涉及以下一或更多者:抑制膜的沉積、處理物質與Mo膜的反應以形成化合物膜(例如Mo 2N)、以及抑制物質的吸附。在隨後的沉積操作期間,相對於非抑制部分或抑制程度較低的部分,在下層膜的抑制部分上存在成核延遲。如圖14A所示,抑制劑非共形地處理該特徵部。朝向特徵部外部的抑制劑1403的量較高,並且當朝向特徵部的內部移動時,抑制劑1403的量減少。較高量的未處理的Mo膜1405位於特徵部的內部上。在所示範例中,Mo膜1405可沉積在特徵部的內部中。在隨後的沉積中,沉積的Mo可沉積在特徵部內的Mo上,同時抑制劑減少或延遲Mo的生長。抑制劑的範例包括氨(NH 3)、氧(O 2)、氮(N 2) 、H 2、甲烷 (CH 4)、聯胺 (N 2H 4)、三氟化氮 (NF 3)、SiH 4、B 2H 6及其衍生物,有或沒有電漿。
蝕刻去除經處理的表面處的沉積膜。這可能涉及蝕刻劑物質與鉬膜反應以形成氣態副產物,其後續被去除。可執行其他蝕刻方法,包括原子層蝕刻。蝕刻操作可以是電漿或非電漿操作。如果是非電漿操作,則可能是純熱或由其他一些能量(例如UV)活化。
氮氣作用為抑制物質,並且鹵素(例如氟和氯)物質作用為蝕刻劑。為了執行純粹的抑制處理,一個範例包括用不含鹵素的含氮化學物質處理該特徵部。為了執行純粹的蝕刻處理,處理包括將Mo膜暴露於不含氮的含鹵素化學物質。在若干實施例中可使用其他抑制化學物質(例如,含氧化學物質)。將膜暴露於含氮和含鹵素化學物質(例如,三氟化氮(NF 3)或氨/氟 (NH 3/F 2)中既可以抑制又可以蝕刻。
圖14B中顯示在沉積、蝕刻、沉積序列之後的特徵。可使用共形ALD處理將Mo沉積到特徵部中。如圖所示,Mo從外部(狹縫側)到內部(非狹縫側)均勻地圍繞每個特徵部共形沉積。在沉積之後,可執行蝕刻操作。蝕刻可非共形蝕刻,使得蝕刻去除字元線的外部上的更多Mo膜。在字元線的外部中,特徵部的氧化物可被暴露。字元線的內部可被蝕刻的較少,使得Mo可保留在內部特徵部上。可在所示的蝕刻操作之後執行第二沉積操作。沉積可對於保留在膜上的Mo膜為選擇性的。因此,在後續沉積中沉積的膜可選擇性地沉積到字元線的內部。當Mo開始生長時,沉積物可變得共形。如圖所示,在隨後的沉積之後,與特徵部的外部相比,特徵部的內部上的Mo膜可以更厚。
102:基板 104:介電層 106:層 108:鉬層 111:堆疊體 121:堆疊體 201:垂直特徵部 202:矽基板 203:底層 204:絕緣層 205:特徵孔 206:共形阻擋層 208:鉬(Mo)掩埋字元線(bWL) 209:收縮部 210:3D NAND結構 212:收縮部 213:底層 215:懸垂部 218:軸線 220:水平字元線特徵部 222:開口 225:3D NAND堆疊體 226:3D NAND堆疊體 230:中心垂直結構 240:側壁 250:水平特徵部 251:收縮部 255:柱 260:水平部分 261:未填充特徵部 265:填充特稱部 267:箭頭 273a:特徵部頂部 273b:特徵部底部 275:空隙 283:特徵部 284a:鉬 284b:鉬 299:中心軸線 302-308:操作 400:方法 401-405:操作 500:方法 501-505:操作 600:方法 601-607:操作 700:方法 701-705:操作 801:特徵部 803:介電材料 805:金屬氮化物層 807:側壁表面 809:初始鉬膜 813:底部 815:鉬 901:特徵部 903:介電材料 905:金屬氮化物層 907:側壁表面 908:底表面 909:初始鉬膜 913:底部 915:鉬 1001:特徵部 1003:介電材料 1007:側壁表面 1009:初始鉬層 1011:金屬氮化物插塞 1013:底部 1015:鉬 1100:方法 1101-1107:操作 1201:特徵部 1203:介電材料 1205:金屬層 1207:側壁表面 1211:金屬插塞 1213:底部 1215:Mo 1403:抑制劑 1405:Mo膜
圖1A和1B是根據諸多實施例的包括鉬(Mo)的材料堆疊體的示意性範例。
圖2A-2L是根據揭露的實施例可將鉬沉積到其中的諸多結構的示意性範例。
圖3-7是示出根據諸多實施例的方法中的特定操作的流程圖。
圖8A-10C是示出根據諸多實施例的填充處理期間的特徵部的橫截面描繪之示意圖。
圖11是示出根據諸多實施例透過形成鉬插塞來填充特徵部的方法之流程圖。
圖12A-12C是示出根據諸多實施例在不具有金屬氮化物層的情況下使用鉬插塞填充的特徵部的橫截面描繪之示意圖。
圖13示出根據諸多實施例的降低電阻率的序列。
圖14A是根據諸多實施例的非共形地處理特徵部的抑制劑的圖示。
圖14B是根據諸多實施例的沉積、蝕刻、沉積序列之後的特徵部的圖示。
600:方法
601-607:操作

Claims (31)

  1. 一種方法,包含: (a) 提供一基板,該基板包含具有一開口和側壁的一特徵部,其中一金屬氮化物層鋪襯該特徵部的該等側壁; (b) 使用一含鉬鹵化物化合物沿著該特徵部的該等側壁至少部分地蝕刻該金屬氮化物層,以在該特徵部中留下該金屬氮化物層的第一部分;及 (c) 在至少部分地蝕刻該金屬氮化物層之後,透過將該含鉬鹵化物化合物與第一反應物反應來選擇性地將鉬沉積在該特徵部中的該金屬氮化物層的該第一部分上。
  2. 如請求項1之方法,其中(b)包含從該等側壁的一部分去除金屬氮化物以暴露該特徵部的該等側壁的該部分。
  3. 如請求項1之方法,其中該特徵部具有一特徵部底部,且更包含在(c)之後的(d),使用該含鉬鹵化物化合物至少部分地蝕刻該金屬氮化物層的該第一部分和鉬,以在該特徵部底部上留下該金屬氮化物層的第二部分和剩餘的鉬。
  4. 如請求項1或3之方法,更包含(e)用鉬填充該特徵部。
  5. 如請求項1之方法,其中該含鉬鹵化物化合物是氯化鉬化合物。
  6. 如請求項1之方法,其中該含鉬鹵化物化合物是五氯化鉬。
  7. 如請求項4之方法,其中(e)包含將第二含鉬鹵化物化合物與第二反應物反應。
  8. 如請求項4之方法,其中(e)包含將一含鉬鹵氧化物前驅物與第二反應物反應。
  9. 如請求項1之方法,其中該金屬氮化物層共形地鋪襯該特徵部。
  10. 如請求項1之方法,其中(b)更包含將該含鉬鹵化物化合物與該第一反應物反應以在該蝕刻期間在該特徵部中沉積鉬。
  11. 如請求項1之方法,其中該第一反應物是一含氫反應物。
  12. 如請求項1之方法,其中該第一反應物是氫氣(H 2)。
  13. 如請求項1之方法,其中: (b)在第一基板溫度進行; (c)在第二基板溫度進行;且 該第二基板溫度高於該第一基板溫度。
  14. 一種方法,包含: (a)提供一基板,該基板包含一特徵部,該特徵部包括一開口、一封閉端、和側壁; (b)透過將一含鉬鹵化物化合物與第一反應物反應而在該特徵部的該封閉端上形成一鉬插塞;及 (c)透過將該含鉬鹵化物化合物與該第一反應物反應而在該鉬插塞上選擇性地沉積鉬。
  15. 如請求項14之方法,其中該等側壁是傾斜的並且在該特徵部的該封閉端相交。
  16. 如請求項14之方法,更包含在(c)之後的(d),用鉬填充該特徵部。
  17. 如請求項16之方法,其中(d)包含將第二含鉬鹵化物化合物與第二反應物反應。
  18. 如請求項16方法,其中(d)包含將一含鉬鹵氧化物化合物與第二反應物反應。
  19. 如請求項14之方法,其中該含鉬鹵化物化合物是氯化鉬化合物。
  20. 如請求項14之方法,其中該含鉬鹵化物化合物是五氯化鉬。
  21. 如請求項14之方法,其中該第一反應物是一含氫反應物。
  22. 如請求項14之方法,其中該第一反應物是氫氣(H 2)。
  23. 如請求項14之方法,其中(b)在低於450°C的基板溫度下進行。
  24. 一種方法,包含: (a) 提供包括具有一金屬氮化物插塞的一特徵部之一基板;及 (b)透過將一含鉬鹵化物化合物與第一反應物反應而在該特徵部中之該金屬氮化物插塞上選擇性地沉積鉬。
  25. 如請求項24之方法,更包含在(a)和(b)之間,使用該含鉬鹵化物化合物清潔該特徵部。
  26. 如請求項24之方法,更包含在(b)之後的(c),用鉬填充該特徵部。
  27. 如請求項24或25之方法,其中該含鉬鹵化物化合物是氯化鉬化合物。
  28. 如請求項24或25之方法,其中該含鉬鹵化物化合物是五氯化鉬。
  29. 如請求項24之方法,其中該第一反應物是一含氫反應物。
  30. 如請求項26之方法,其中用鉬填充該特徵部包含將第二含鉬鹵化物前驅物與第二反應物反應。
  31. 如請求項26之方法,其中用鉬填充該特徵部包含將含鉬鹵氧化物前驅物與第二反應物反應。
TW112116256A 2022-05-05 2023-05-02 記憶體應用中的鉬鹵化物 TW202418352A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63/364,251 2022-05-05
US63/364,795 2022-05-16

Publications (1)

Publication Number Publication Date
TW202418352A true TW202418352A (zh) 2024-05-01

Family

ID=

Similar Documents

Publication Publication Date Title
US20220262640A1 (en) Method for preventing line bending during metal fill process
TWI794276B (zh) 用於填充基材表面上的間隙特徵的方法和相關的半導體元件結構
US11908736B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20210327754A1 (en) Tungsten feature fill
CN111801439B (zh) 沉积方法
KR101615292B1 (ko) 기판상에 막 스택을 형성하는 방법
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
US10008412B2 (en) Doping control of metal nitride films
KR20220082023A (ko) 몰리브덴 충진
KR20080101745A (ko) 텅스텐 재료들의 원자층 증착
TWI784036B (zh) 層形成方法
US20230122846A1 (en) Feature fill with nucleation inhibition
TW202418352A (zh) 記憶體應用中的鉬鹵化物
WO2023215135A1 (en) Molybdenum halides in memory applications
JP2022545217A (ja) 金属充填プロセス中のラインベンディングの低減
WO2023205184A1 (en) Molybdenum integration and void-free fill
TW202412178A (zh) 鉬整合及無孔隙填充