TW202404085A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202404085A
TW202404085A TW112110822A TW112110822A TW202404085A TW 202404085 A TW202404085 A TW 202404085A TW 112110822 A TW112110822 A TW 112110822A TW 112110822 A TW112110822 A TW 112110822A TW 202404085 A TW202404085 A TW 202404085A
Authority
TW
Taiwan
Prior art keywords
gate
layer
semiconductor
nanostructures
gate portion
Prior art date
Application number
TW112110822A
Other languages
English (en)
Inventor
江國誠
王志豪
鄭嶸健
陳冠霖
朱熙甯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202404085A publication Critical patent/TW202404085A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

一種半導體裝置,包含形成於基板上方的第一奈米結構的第一垂直堆疊,相鄰於第一垂直堆疊的第二奈米結構的第二垂直堆疊,以及相鄰於第一奈米結構的第一閘極結構。第一閘極結構包含位於第一奈米結構之間的第一閘極部分,以及自第一閘極部分的第一側壁延伸至第一閘極部分的第二側壁的第二閘極部分。第二側壁位於第一側壁與基板之間,且第二閘極部分具有與第一閘極部份不同的材料。第二閘極結構相鄰於第二奈米結構,且第二牆體結構位於第二閘極部分與第二閘極結構之間。

Description

半導體裝置及其製造方法
本發明實施例是關於半導體裝置,特別是關於場效電晶體的閘極隔離結構。
半導體積體電路(integrated circuits;IC)產業經歷了指數性的成長。現代科技在積體電路材料與設計上的進步已產生了好幾世代的積體電路,其中每一世代與上一世代相比都具有更小、更複雜的電路。在積體電路的發展過程中,功能密度(functional density)(亦即,單位晶片面積的互連裝置數目)大抵上會增加而幾何尺寸(geometry size)(亦即,即可使用製程生產的最小元件(或線))卻減少。此微縮化的過程總體上會增加生產效率並降低相關成本而提供助益。此微縮化同樣增加了生產以及製造積體電路的複雜度。
本發明實施例提供一種半導體裝置,包含多個第一奈米結構的第一垂直堆疊,形成於基板上方;多個第二奈米結構的第二垂直堆疊,相鄰於第一垂直堆疊;第一閘極結構,相鄰於第一奈米結構,第一閘極結構包含第一閘極部分,位於第一奈米結構之間;以及第二閘極部分,自第一閘極部分的第一側壁延伸至第一閘極部分的第二側壁,第二側壁位於第一側壁與基板之間,第二閘極部分具有與第一閘極部份不同的材料;第二閘極結構,相鄰於第二奈米結構;以及第二牆體結構,位於第二閘極部分與第二閘極結構之間。
本發明實施例提供一種半導體裝置,包含第一奈米結構裝置,包含第一半導體通道,位於基板上方;第二半導體通道,位於第一半導體通道上方;第一閘極部分,位於第一半導體通道與第二半導體通道之間;第二閘極部分,位於第一半導體通道與第二半導體通道之間,且位於第一半導體通道及第二半導體通道的多個垂直側壁上;以及第一源極∕汲極區,抵靠第一半導體通道及第二半導體通道;第二奈米結構裝置,相鄰於第一奈米結構裝置,第二奈米結構裝置包含第三半導體通道;第四半導體通道,位於第三半導體通道上方;第三閘極部分,位於第三半導體通道與第四半導體通道之間;第四閘極部分,位於第三半導體通道與第四半導體通道之間,且位於第三半導體通道及第四半導體通道的多個垂直側壁上;以及第二源極∕汲極區,抵靠第三半導體通道及第四半導體通道;第一牆體結構,位於第一源極∕汲極區與第二源極∕汲極區之間;以及第二牆體結構,位於第二閘極部分與第四閘極部分之間。
本發明實施例提供一種半導體裝置的製造方法,包含形成多個第一半導體奈米結構的第一垂直堆疊於基板上;形成多個第二半導體奈米結構的第二垂直堆疊,第二垂直堆疊相鄰於第一垂直堆疊;形成多個第一閘極部分於第一半導體奈米結構的兩者之間以及於第一半導體奈米結構的一者與硬遮罩層之間;水平地凹蝕第一閘極部分以形成凹槽;以及形成第二閘極部分於凹槽中、於些第一半導體奈米結構的兩者的多個垂直側壁上、以及於硬遮罩層的垂直側壁上。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成於第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成於第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本揭露大抵上是關於半導體裝置,更具體地說,是關於場效電晶體(field-effect transistors;FET),諸如平面FET、三維鰭式場效電晶體(fin field-effect transistors;FinFET)、或奈米結構裝置。奈米結構裝置的示例包含全繞式閘極(gate-all-around;GAA)裝置、奈米片FET(nanosheet FETs;NSFET)、奈米線FET(nanowire FETs;NWFET)、以及其類似物。在先進的技術節點中,尺寸的縮放可能導致難以形成連接至FET的閘極、源極、以及汲極的接觸件與導孔(vias)。
隨著半導體裝置尺寸的微縮化,當形成閘極隔離結構於鄰近的裝置之間時,由於對重疊偏移的允差(tolerance),可能會增加主動區的間距。閘極隔離結構將閘極隔離結構的一側上的閘極結構與閘極隔離結構的相對側上的另一閘極結構實體地(physically)且電性地隔離。為了避免由於重疊偏移而蝕刻至半導體通道之中,閘極隔離結構可以從半導體通道偏移選定的距離。閘極結構延伸超過半導體通道選定距離的部分可稱作端蓋(endcap)。減小端蓋有利於減小奈米結構裝置的面積以及提高奈米結構裝置的性能。端蓋的存在有利於實現選定的臨界電壓(threshold voltage)。
在本發明實施例中,藉由凹蝕閘極結構的第一閘極金屬來形成自對準的閘極隔離結構,選擇性地成長第二閘極金屬於第一閘極金屬上,以及沉積閘極隔離結構於鄰近的兩個閘極結構之間的開口中。在沉積第一閘極金屬之前,可以執行使用氧的退火(anneal)製程以增加界面層的橫向厚度,這允許了減少第二閘極金屬的厚度。
可以藉由任何合適的方法將奈米結構電晶體結構圖案化。舉例來說,可以使用一或多道光學微影製程對結構進行圖案化,包含雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後去除犧牲層,然後可以使用剩餘的間隔物作為遮罩以圖案化奈米結構電晶體結構。
第1圖是根據各種實施例,繪示出奈米結構裝置10的一部分的圖解透視示意圖。奈米結構裝置10包含基板110以及位於基板110上方的奈米結構22(例如,奈米片、奈米線、或其類似物)的垂直堆疊。奈米結構22彼此垂直地分隔,且可以稱作通道。源極∕汲極區82設置於奈米結構22的兩側且抵靠(abutting)奈米結構22。第1圖中繪示出單個源極∕汲極區82,而為了簡單起見,省略了另一個源極∕汲極區82。閘極結構200包繞(wraps around)每個奈米結構22(例如,每個奈米結構22的整個周邊)。電流可以在源極∕汲極區82之間的任一側流過通道(奈米結構22),以響應施加在閘極結構200處的電壓電位。電流的強度可以與閘極結構200及源極∕汲極區82的源極區之間的電壓差相關,對於N型FET來說,亦稱作閘極-源極電壓(gate-source voltage;VGS),對於P型FET來說,亦稱作源極-閘極電壓(source-gate voltage;VSG)。
形成隔離區36於基板110的突出部分(例如,鰭片32)的兩側上,而奈米結構22設置於鰭片32上方。設置層間介電質(interlayer dielectric;ILD)130於源極∕汲極區82上方。
第1圖中所描繪的奈米結構裝置10是以簡化的示意圖來繪示,且因此,應理解的是,最終完成的奈米結構裝置的一或多個部件可能未繪示於第1圖中。舉例來說,與源極∕汲極區82相對的閘極結構200的另一源極∕汲極區82以及設置於此源極∕汲極區82上方的層間介電質130並未繪示於第1圖中。
第2A圖至第2X圖是根據一些實施例,繪示出在奈米結構裝置的製造中的中間階段的圖解示意圖。
第3圖是根據本揭露的一或多個面向,繪示出用於形成積體電路裝置或者自工作件形成積體電路裝置的一部分的方法1000的流程示意圖。方法1000僅為示例,且不意圖對本揭露作出除了方法1000明確記載的內容之外的限制。可以在方法1000之前、期間、以及之後提供額外的動作,且描述的一些動作可以為了方法的額外實施例來替換、消除、或前後移動。為了簡單起見,本揭露並未詳細描述所有動作。方法1000在下文中將結合工作件的局部透視示意圖及∕或剖面示意圖來進行描述,如第2A圖至第2X圖所繪示,其根據方法1000的實施例繪示出製造的不同階段。為了避免疑義,在所有附圖中,方向X垂直於方向Y且方向Z垂直於方向X以及方向Y兩者。值得注意的是,由於工作件可以被製造為半導體裝置,因此在上下文中可以將工作件稱作半導體裝置。
在第2A圖中,提供了基板110。基板110可以是半導體基板,諸如塊體(bulk)半導體或類似的半導體基板,其可以是摻雜(例如,具有p型或n型摻質)或者未摻雜。基板110的半導體材料可以包含矽;鍺;化合物半導體,包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及∕或銻化銦;合金半導體,包含矽-鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及∕或磷砷化鎵銦;或上述之組合。亦可使用其他基板,諸如單層、多膜層、或梯度基板。
進一步在第2A圖中,形成多膜層堆疊25或「晶格(lattice)」於第一半導體層21A、21B、21C(統稱為第一半導體層21)以及第二半導體層23的交替層的基板110上方。在一些實施例中,第一半導體層21是由適用於n型奈米場效電晶體的第一半導體材料所形成,諸如矽、碳化矽、或其類似物,第二半導體層23是由適用於p型奈米場效電晶體的第二半導體材料所形成,諸如矽鍺或其類似物。多膜層堆疊25的每一層可以使用諸如化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積(atomic layer deposition;ALD)、氣相磊晶(vapor phase epitaxy;VPE)、分子束磊晶(molecular beam epitaxy;MBE)、或類似的製程來磊晶地成長。
附圖繪示了三層的第一半導體層21以及第二半導體層23。在一些實施例中,多膜層堆疊25可以包含各一個或兩個、或各四個、五個或更多個第一半導體層21以及第二半導體層23。儘管多膜層堆疊25被繪示為包含第二半導體層23作為最底層,在一些實施例中,多膜層堆疊25的最底層可以是第一半導體層21。
由於第一半導體材料以及第二半導體材料之間的高蝕刻選擇性,可以移除第二半導體材料的第二半導體層23而不顯著地移除第一半導體材料的第一半導體層21,從而允許第一半導體層21被圖案化以形成奈米FET的通道區。在一些實施例中,移除第一半導體層21且圖案化第二半導體層23以形成通道區。高蝕刻選擇性允許移除第一半導體材料的第一半導體層21而不顯著地移除第二半導體材料的第二半導體層23,從而允許第二半導體層23被圖案化以形成奈米FET的通道區。
在一些實施例中,上半導體層27位於第一半導體層21A上。上半導體層27可以具有與第二半導體層23相同的材料,諸如矽鍺。
在一些實施例中,可以形成硬遮罩層28於上半導體層27上方。硬遮罩層28可以是或包含SiN、SiCN、SiO 2、SiON、SiOCN、或其類似物中的一或多者。
在第2B圖中,對應於第3圖的動作1100,形成鰭片32於基板110中且形成奈米結構22、奈米結構24的垂直堆疊於多膜層堆疊25中。在一些實施例中,奈米結構22、奈米結構24、以及鰭片32可以藉由蝕刻溝槽於多膜層堆疊25以及基板110中來形成。蝕刻可以是任何可接受的蝕刻製程,諸如反應式離子蝕刻(reactive ion etch;RIE)、中性束蝕刻(neutral beam etch;NBE)、類似的製程、或上述之組合。蝕刻可以是非等向性(anisotropic)。第一奈米結構(奈米結構22)(以下也稱為「通道22A-22C」)是由第一半導體層21所形成,而第二奈米結構(奈米結構24)是由第二半導體層23所形成。相鄰的鰭片32與奈米結構22、奈米結構24之間的距離可為約18奈米(nm)至約100奈米。裝置10的一部分繪示於第2B圖中,且為了簡單起見,包含了兩個鰭片32。在第2A圖至第2X圖中所繪示方法1000可以擴展到任意數目的鰭片32,而不限於第2A圖至第2X圖中所繪示的兩個鰭片32。
通道22A-22C可以包含半導體材料,例如矽或矽化合物,諸如矽鍺或其類似物。在一些實施例中,鰭片32的結構包含矽。通道22A-22C為奈米結構(例如,具有在幾奈米範圍內的尺寸)且亦可各自具有細長的形狀以及在方向X上延伸。在一些實施例中,通道22A-22C各自具有奈米線(NW)形狀、奈米片(NS)形狀、奈米管(nano-tube;NT)形狀、或其他合適的奈米級形狀。通道22A-22C的剖面輪廓可以是矩形、圓角(round)、正方形、圓形(circular)、橢圓形、六邊形、或上述之組合。
第2B圖繪示出具有筆直側壁的鰭片32。在一些實施例中,鰭片32具有漸縮(tapered)側壁,使得每個鰭片32、奈米結構22、奈米結構24、或奈米結構22與奈米結構24兩者的寬度在朝向基板110的方向上連續增加。在這樣的實施例中,每個奈米結構22、奈米結構24可以具有不同的寬度且具有梯形輪廓(例如,在Y-Z平面中)。在其他實施例中,如圖所示,側壁為實質上垂直(非漸縮),使得鰭片32以及奈米結構22、奈米結構24的寬度實質上近似,且每個奈米結構22、奈米結構24的輪廓都是矩形(例如,在Y-Z平面中)。
在第2C圖中,形成隔離區36(可以是淺溝槽隔離(shallow trench isolation;STI)區)相鄰於鰭片32。隔離區36可以藉由沉積絕緣材料於基板110、鰭片32、以及奈米結構22、奈米結構24上方,以及於相鄰的鰭片32與奈米結構22、奈米結構24之間來形成。絕緣材料可以是氧化物,諸如氧化矽、氮化物、其類似物、或上述之組合,且可以藉由高密度電漿CVD(high-density plasma CVD;HDP-CVD)形成)、可流動CVD(flowable CVD;FCVD)、類似的製程、或上述之組合。在一些實施例中,可以首先形成沿著基板110、鰭片32、以及奈米結構22、奈米結構24的表面的襯件(未單獨繪示)。在這之後,可以形成諸如上方討論的填充材料於襯件上方。
絕緣材料經歷了移除製程,諸如化學機械拋光(chemical mechanical polish;CMP)、回蝕刻(etch-back)製程、上述之組合、或類似的製程,以移除奈米結構22、奈米結構24上方(例如,硬遮罩層28上方)多餘的絕緣材料。在完成移除製程之後,奈米結構22、奈米結構24的頂表面可以被露出且與絕緣材料齊平。在一些實施例中,硬遮罩層28存在於奈米結構22、奈米結構24上方以在移除奈米結構22、奈米結構24上方的多餘的絕緣材料的移除製程期間保護奈米結構22、奈米結構24。硬遮罩層28可以被露出且在完成移除製程之後與絕緣材料齊平。
接著凹蝕絕緣材料以形成隔離區36。在凹蝕之後,奈米結構22、奈米結構24、以及鰭片32的上部可以從鄰近的隔離區36之間突出。隔離區36可以具有如圖所示的平坦的頂表面、凸面、凹面、或上述之組合。在一些實施例中,隔離區36藉由可接受的蝕刻製程來凹蝕,諸如使用例如稀釋氫氟酸(dilute hydrofluoric acid;dHF)的氧化物移除,其對絕緣材料具有選擇性並留下實質上未改變的鰭片32、奈米結構22、奈米結構24、以及硬遮罩層28。
第2A圖至第2C圖繪示出了形成鰭片32以及奈米結構22、奈米結構24的一個實施例(例如,蝕刻後製(etch last))。在一些實施例中,磊晶地成長鰭片32及∕或奈米結構22、奈米結構24於介電層中的溝槽中(例如,蝕刻先製(etch first))。磊晶結構可以包含上方討論的交替的半導體材料,諸如第一半導體材料以及第二半導體材料。
進一步在第2C圖中,可以形成適當的井(未單獨繪示)於鰭片32、奈米結構22、奈米結構24、及∕或隔離區36中。可以使用遮罩在基板110的p型區中執行n型雜質佈植,且可以在基板110的n型區中執行p型雜質佈植。例示性n型雜質可以包含磷、砷、銻、或其類似物。例示性p型雜質可以包含硼、氟化硼、銦、或其類似物。可以在佈植之後執行退火(anneal)以修復佈植損壞並活化p型及∕或n型雜質。在一些實施例中,儘管在鰭片32以及奈米結構22、奈米結構24的磊晶成長期間可以一起使用原位(in situ)摻雜以及佈植摻雜,但使用原位摻雜可避免先前以及隨後的佈植。
鰭片32以及奈米結構22、奈米結構24可以藉由任何合適的方法來圖案化。舉例來說,一或多道光學微影製程,包含雙重圖案化或多重圖案化製程,可以用於形成鰭片32以及奈米結構22、奈米結構24。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距更小的圖案。例如,在一實施例中,在基板上方形成犧牲層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。之後去除犧牲層,然後可以使用剩餘的間隔物作為遮罩以圖案化鰭片32。
在第2D圖中,形成虛置(或「犧牲」)閘極結構40於鰭片32、奈米結構22、奈米結構24或奈米結構22與奈米結構24兩者上方。形成虛置(或犧牲)閘極層45於鰭片32及∕或奈米結構22、奈米結構24上方。虛置閘極層45可以由相較隔離區36具有高蝕刻選擇性的材料來形成。虛置閘極層45可以是導電、半導電、或非導電材料,且可以是或者包含非晶(amorphous)矽、多晶矽(polysilicon)、多晶矽鍺(poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物、以及金屬。虛置閘極層45可以藉由物理氣相沉積(physical vapor deposition;PVD)、CVD、濺鍍(sputter)沉積、或用於沉積選定材料的其他技術來沉積。形成一或多個遮罩層47A、47B於虛置閘極層45上方,且可以包含例如氮化矽、氮氧化矽、或其類似物。在一些實施例中,在形成虛置閘極層45之前,形成閘極介電層44於虛置閘極層45與鰭片32、奈米結構22、奈米結構24或奈米結構22及奈米結構24兩者之間。可以藉由合適的蝕刻製程來移除虛置閘極層45以及閘極介電層44被硬遮罩層47A、47B露出的材料層的多個部分,以形成虛置閘極層45以及閘極介電層44。
在第2E圖中,在形成虛置閘極結構40之後,形成第一牆體結構300A於奈米結構22的垂直堆疊的鄰近對(neighboring pairs)與虛置閘極結構40的鄰近對之間的開口中的隔離區36上,如圖所示。形成第一牆體結構300A可以包含藉由諸如PVD、CVD、ALD、或類似的合適的沉積製程來沉積介電材料。介電材料可以是或者包含SiN、SiCN、SiOCN、SiOC,且不同於隔離區36的介電材料。在沉積之後,可以藉由合適的蝕刻操作來凹蝕第一牆體結構300A的介電材料。在蝕刻操作之後,第一牆體結構300A可以具有比硬遮罩層28的上表面低範圍為約15奈米至約20奈米的距離(例如,在方向Z上)的上表面。在一些實施例中,第一牆體結構300A具有範圍為約20奈米至約100奈米的寬度(例如,在方向Y上)。
在第2F圖中,在形成第一牆體結構300A之後,形成間隔物層41於遮罩層47A、47B、虛置閘極層45、以及閘極介電層44的側壁上方。根據一些實施例,間隔物層41是由絕緣材料所形成,諸如氮化矽、氧化矽、氮碳化矽、氮氧化矽、氮碳氧化矽、或其類似物,且可以具有單層結構或者包含複數層介電層的多膜層結構。間隔物層41可以藉由沉積間隔物材料層(未繪示)於遮罩層47A、47B以及虛置閘極層45上方來形成。根據一些實施例,使用非等向性蝕刻製程來移除間隔物材料層位於虛置閘極結構40之間的多個部分。
在形成第一牆體結構300A之後,執行蝕刻製程以凹蝕突出的鰭片32及∕或奈米結構22、奈米結構24未被虛置閘極結構40覆蓋的部分,從而產生繪示的結構。凹蝕可以是非等向性,使得鰭片32位於虛置閘極結構40以及間隔物層41正下方的多個部分能被保護,且不被蝕刻。根據一些實施例,如圖所示,內凹的鰭片32的頂表面可以低於隔離區36的頂表面。根據一些其他實施例,內凹的鰭片32的頂表面可以與隔離區36的頂表面實質上共平面或者高於隔離區36的頂表面。蝕刻製程可以用於形成任意數目的奈米結構22、奈米結構24的垂直堆疊於鰭片32上方。
在一些實施例中,通道22A-22C的長度(例如,在方向X上測量)可以彼此不同,例如由於在第2F圖所繪示的鰭片蝕刻製程期間漸縮。在一些實施例中,通道22A的長度可以小於通道22B的長度,通道22B的長度可以小於通道22C的長度。通道22A-22C各自可能不具有均勻的厚度,例如由於用於擴大通道22A-22C之間的間距(例如,在方向Z上測量)以增加閘極結構製造製程寬裕度的通道修整(trimming)製程。舉例來說,每個通道22A-22C的中間部分可以比每個通道22A-22C的兩端更薄。這種形狀可以統稱為「狗骨」形狀,如第2I圖所繪示。
在一些實施例中,通道22A-22C之間的間距(例如,通道22B與通道22A或通道22C之間的間距)的範圍為約8奈米(nm)至約12奈米。在一些實施例中,每個通道22A-22C的厚度(例如,在方向Z上測量)的範圍為約5奈米至約8奈米。在一些實施例中,每個通道22A-22C的寬度(例如,在方向Y上測量)為至少約8奈米。
在一些實施例中,第一牆體結構300A的露出部分藉由蝕刻製程來凹蝕。如第2F圖所繪示,可以凹蝕第一牆體結構300A,使得其上表面與通道22B的上表面大致齊平。第一牆體結構300A的剩餘高度可以選擇為有益於裝置10的性能。
第2F圖繪示出用於形成間隔物層41的一種製程。在一些實施例中,在移除虛置閘極層45之後,交替地或額外地形成一或多層間隔物層41。在這樣的實施例中,移除虛置閘極層45並留下開口,而間隔物層41可以藉由沿著開口的側壁順應地(conformally)塗佈間隔物層41的材料來形成。在形成主動閘極(例如閘極結構200)之前,可以接著從對應至最上方的通道(例如,通道22A)的頂表面的開口的底部移除順應地塗佈的材料。
第2G圖繪示出內間隔物74的形成。執行選擇性蝕刻製程以凹蝕由間隔物層41中的開口所露出的奈米結構24的末端部分,而實質上不侵蝕奈米結構22。在選擇性蝕刻製程之後,形成凹槽於奈米結構24中,這些位置過去是被移除的末端部分所在的位置。
接下來,形成內間隔物層以填充由先前的選擇性蝕刻製程所形成的奈米結構24中的凹槽。內間隔物層可以是合適的介電材料,諸如氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)、或其類似物,並藉由合適的沉積方法來形成,諸如PVD、CVD、ALD、或類似的製程。執行諸如非等向性蝕刻製程的蝕刻製程以移除內間隔物層設置於奈米結構24中的凹槽之外的多個部分。內間隔物層的剩餘部分(例如,設置於奈米結構24中的凹槽之內的部分)形成內間隔物74。所得的結構繪示於第2G圖中。
奈米結構裝置10可以包含閘極間隔物41以及內間隔物74。內間隔物74設置於通道22A-22C之間。閘極間隔物41以及內間隔物74可以包含介電材料,例如諸如SiOCN、SiON、SiN、SiCN、或SiOC的低介電常數材料。在一些實施例中,存在一或多層額外的間隔物層抵靠閘極間隔物41。在一些實施例中,內間隔物74的厚度(例如,在方向X上)的範圍為約3奈米至約10奈米。在一些實施例中,閘極間隔物41的厚度(例如,在方向X上)的範圍為約3奈米至約10奈米。在一些實施例中,在形成源極∕汲極區82之前,形成底部間隔物(未繪示)於鰭片32的露出部分上(參見第2H圖)。在一些實施例中,底部間隔物包含諸如SiOCN、SiON、SiN、SiCN、或SiOC的材料,且具有範圍為約3奈米至約10奈米的厚度(例如,在方向Z上)。底部間隔物是可選的(optional),且在一些實施例中不存在,例如,如第2G圖所繪示。
第2H圖繪示出對應至第3圖的動作1200的源極∕汲極區82的形成。源極∕汲極區可以指源極或汲極,可以根據上下文單獨地或共同地指代。在繪示的實施例中,源極∕汲極區82是由磊晶材料來磊晶地成長。在一些實施例中,源極∕汲極區82在各自的通道22A-22C中施加應力(stress),從而改善性能。形成源極∕汲極區82,使得每個虛置閘極結構40設置於源極∕汲極區82的相應的鄰近對之間。在一些實施例中,間隔物層41將源極∕汲極區82與虛置閘極層45藉由適當的橫向距離分隔,以防止電性橋接至隨後形成的最終裝置的閘極。
源極∕汲極區82可以包含任何可接受的材料,諸如適用於n型或p型裝置的材料。對於n型裝置,在一些實施例中,源極∕汲極區82包含在通道區中施加拉伸應變(strain)的材料,諸如矽、SiC、SiCP、SiP、或其類似物。根據某些實施例,當形成p型裝置時,源極∕汲極區82包含在通道區中施加壓縮應變的材料,諸如SiGe、SiGeB、Ge、GeSn、或其類似物。在一些實施例中,源極∕汲極區82具有範圍為約0.5奈米至約100奈米的寬度(例如,在方向Y上)。在一些實施例中,源極∕汲極區82的高度(例如,在方向Z上)的範圍為約0.1奈米至約100奈米。源極∕汲極區82的高度可以從相應的鰭片32與設置於其上的源極∕汲極區82之間的界面測量到源極∕汲極區82的頂部。源極∕汲極區82可以具有從鰭片的相應表面升起的表面且可以具有刻面(facet)。在一些實施例中,鄰近的源極∕汲極區82可以合併以形成相鄰於兩個鄰近的鰭片32的單個源極∕汲極區82。
源極∕汲極區82可以佈植摻質,接著進行退火。源極∕汲極區可以具有範圍為約10 19cm -3至約10 21cm -3的雜質濃度。源極∕汲極區82的N型及∕或P型雜質可以是先前討論的任何雜質。在一些實施例中,源極∕汲極區82在成長期間被原位摻雜。
在第2I圖中,在形成源極∕汲極區82之後,形成接觸蝕刻停止層(contact etch stop layer;CESL)131以及層間介電質(interlayer dielectric;ILD)130以覆蓋源極∕汲極區82。在一些實施例中,在形成層間介電質130之後,可以凹蝕層間介電質130,且可以形成蓋層395於層間介電質130上方。蓋層395,亦稱作「自對準蓋層」(self-aligned capping;SAC),可以在形成閘極結構200期間為其下方的層間介電質130以及源極∕汲極區82提供保護。蓋層395可以是包含介電材料的介電層,諸如SiC、LaO、AlO、AlON、ZrO、HfO、SiN、Si、ZnO、ZrN、ZrAlO、TiO、TaO、YO、TaCN、ZrSi、SiOCN、SiOC、SiCN、HfSi、LaO、或其他合適的介電材料。蓋層395的厚度的範圍可為約7奈米至約50奈米。
在形成層間介電質130以及可選的蓋層395之後,藉由移除遮罩層47A、47B、虛置閘極層45、以及奈米結構24來釋放通道22A-22C,對應至第3圖的動作1300。可以執行諸如CMP的平坦化製程以移除遮罩層47A、47B,且將虛置閘極層45以及閘極的間隔物層41的頂表面齊平。平坦化製程移除了虛置閘極層45上的遮罩層47A,47B,以及移除了閘極的間隔物層41沿著遮罩層47A、47B的側壁的多個部分。因此,露出了虛置閘極層45的頂表面。
接著,在蝕刻製程中移除虛置閘極層45,從而形成凹槽。在一些實施例中,虛置閘極層45是藉由非等向性乾式蝕刻製程來移除。舉例來說,蝕刻製程可以包含使用(多種)反應氣體的乾式蝕刻製程,其選擇性地蝕刻虛置閘極層45而不蝕刻間隔物層41。當存在虛置閘極介電質(例如,閘極介電層44)時,其可以用作蝕刻虛置閘極層45時的蝕刻停止層。在移除虛置閘極層45之後,可以接著移除虛置閘極介電質。
移除奈米結構24以釋放奈米結構22。在移除奈米結構24之後,奈米結構22形成了複數個水平地延伸的奈米片(例如,平行於基板110的主要上表面)。奈米片可統稱為所形成的奈米結構裝置20A-20C的通道(奈米結構22)。
在一些實施例中,藉由使用了對奈米結構24的材料具有選擇性的蝕刻劑的選擇性蝕刻製程來移除奈米結構24,使得奈米結構24被移除而實質上不侵蝕奈米結構22。在一些實施例中,蝕刻製程是使用蝕刻氣體以及可選的承載氣體的等向性(isotropic)蝕刻製程,其中蝕刻氣體包含F 2以及HF,且承載氣體可以是惰性氣體,諸如Ar、He、N 2、上述之組合、或類似的氣體。
在一些實施例中,移除奈米結構24且圖案化奈米結構22以形成PFET(P-typed FET)以及NFET(N-typed FET)兩者的通道區。然而,在一些實施例中,可以移除奈米結構24且可以圖案化奈米結構22以形成NFET的通道區,以及可以移除奈米結構22且可以圖案化奈米結構24以形成PFET的通道區。在一些實施例中,可以移除奈米結構22且可以圖案化奈米結構24以形成NFET的通道區,以及可以移除奈米結構24且可以圖案化奈米結構22以形成PFET的通道區。在一些實施例中,可以移除奈米結構22且可以圖案化奈米結構24以形成PFET以及NFET兩者的通道區。
在一些實施例中,奈米片(奈米結構22)藉由進一步的蝕刻製程來重新塑型(例如,變薄)以改善閘極填充寬裕度。重新塑型可以藉由對奈米片(奈米結構22)具有選擇性的等向性蝕刻製程來執行。在重新塑型之後,奈米片(奈米結構22)可以呈現狗骨頭形狀,其中奈米片(奈米結構22)的中間部分沿著方向X比奈米片(奈米結構22)的周邊部分薄,如第2I圖所繪示。
在第2I圖中,釋放奈米片(奈米結構22)包含移除第一牆體結構300A的露出部分,從而露出隔離區36的上表面。
在第2J圖至第2O圖中,形成替換的閘極結構200,對應至第3圖的動作1300。閘極結構200通常包含了界面層(interfacial layer;IL,或「第一界面層」)210、至少一層閘極介電層600、功函數調整層900、以及閘極填充層290。在一些實施例中,每個替換的閘極結構200進一步包含第二界面層240或功函數阻障層700中的至少一者。參見第2M圖描述閘極結構200的詳細結構。
在第2J圖中,形成界面層210(可以是通道22A-22C的材料的氧化物)於通道22A-22C的露出區以及鰭片32的頂表面(當存在時)上,對應至第3圖的動作1400。界面層210可以藉由氧化物成長操作來形成。界面層210促進閘極介電層600與通道22A-22C的黏合。在一些實施例中,界面層210具有範圍為約5埃(Angstroms)至約50埃的厚度。在一些實施例中,界面層210具有約10埃的厚度。界面層210具有太薄的厚度可能表現出空孔(void)或不足的黏合特性。界面層210具有太厚的厚度會消耗閘極填充寬裕度,這與上方所述的臨界電壓以及電阻調整有關。在一些實施例中,界面層210摻雜了偶極子(dipole),諸如鑭,用於臨界電壓調整。
閘極介電層600藉由合適的沉積製程形成於界面層210上,諸如PVD、CVD、ALD、或類似的製程,對應至第3圖的動作1500。在一些實施例中,閘極介電層600包含至少一種高介電常數閘極介電材料,其可以指具有大於氧化矽的介電常數(k≈3.9)的高介電常數的介電材料。例示性高介電常數介電材料包含HfO 2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、ZrO 2、Ta 2O 5、或上述之組合。在一些實施例中,閘極介電層600具有範圍為約5埃至約100埃的厚度。在一些實施例中,閘極介電層600可以包含非高介電常數介電材料,諸如氧化矽。在一些實施例中,閘極介電層600包含多於一層的高介電常數介電層,其中至少一層包含摻質,諸如鑭、鎂、釔、或類似的摻質,其可以藉由退火製程被驅入以改變奈米結構裝置20A-20C的臨界電壓。
在一些實施例中,閘極介電層600可包含摻質,諸如自La 2O 3、MgO、Y 2O 3、TiO 2、Al 2O 3、Nb 2O 5、或其類似物驅入至高介電常數閘極介電質之中的金屬離子,或者自B 2O 3驅入的硼離子,以使濃度達到臨界電壓調整。作為一個示例,對於N型電晶體裝置來說,較高濃度的鑭離子相對於具有較低濃度或沒有鑭離子的膜層降低了臨界電壓,而對於P型裝置來說則相反。在一些實施例中,某些電晶體裝置(例如,IO(input and output)電晶體)的閘極介電層600沒有存在於某些其他電晶體裝置(例如,N型核心邏輯電晶體或P型IO電晶體)中的摻質。舉例來說,在N型IO電晶體中,需要相對較高的臨界電壓,因此IO電晶體的高介電常數介電層最好不含鑭離子,否則會降低臨界電壓。
如第2J圖所繪示,可以形成閘極介電層600於硬遮罩層28的露出表面上。
在第2K圖中,在形成界面層210以及閘極介電層600之後,在通道22A-22C之間以及在通道22A與硬遮罩層28之間的空間中形成犧牲介電層280。犧牲介電層280可以藉由合適的沉積製程來形成,諸如CVD、ALD、或類似的製程。犧牲介電層280可以是或者包含SiN、SiCN、SiO x、SiON、SiOCN、上述之組合、或其類似物,且相對於硬遮罩層28的材料具有高蝕刻選擇性,這在參見第2N圖所描述的後續操作中移除犧牲介電層280時是有利的。在沉積製程中,可以沉積包含犧牲介電層280的上述介電材料中的一種或多種的材料層作為順應層,其覆蓋閘極介電層600的露出表面,包含通道22A-22C與硬遮罩層28之間的表面。在沉積材料層之後,可以執行非等向性蝕刻以移除硬遮罩層28以及隔離區36上方的材料層的多餘部分,同時留下位於通道22A-22C與硬遮罩層28之間的材料層。可以接著執行可選的等向性蝕刻以水平地凹蝕材料層,從而產生第2K圖所繪示的犧牲介電層280結構。犧牲介電層280保護界面層210以及閘極介電層600的中間部分,例如沿著方向Y的中間部分。
在第2L圖中,在形成犧牲介電層280之後,界面層210的末端部分藉由退火製程加厚,對應至第3圖的動作1600。在一些實施例中,退火製程包含在範圍為約100°C至約900°C的溫度下藉由氧氣(O 2)來處理。在退火製程之後,界面層210在通道22A-22C的水平末端以及鰭片32的頂部的厚度的範圍可為約2奈米至約3奈米。在一些實施例中,界面層210的厚度增加與通道22A-22C的厚度減少的比例為約2:1。舉例來說,如果界面層210的厚度增加1奈米,則界面層210加上通道(例如,通道22A)的總厚度僅增加0.5奈米。可以控制總厚度在不導致閘極介電層600膨脹的範圍,這可能會導致閘極介電層600中的裂縫或其他缺陷。加厚通道22A-22C的末端部分的界面層210允許了惰性片端裝置(inert sheet end device)。在加厚界面層210的末端部分之後,末端部分可能比界面層210的頂部以及底部更厚。舉例來說,由於相鄰於界面層210的頂部以及底部的犧牲介電層280的存在,界面層210的成長可能會被限制在末端部分。
如第2L圖所繪示,在加厚界面層210的末端部分之後,閘極介電層600橫向地相鄰於通道22A-22C的水平末端的第一部分可以延伸超過閘極介電層600橫向地相鄰於硬遮罩層28的水平末端的第二部分。
第2M圖至第2O圖是根據各種實施例,繪示出閘極結構200的剩餘部分的形成。在形成剩餘部分之前,犧牲介電層280可以藉由例如適當的蝕刻製程來移除,諸如對犧牲介電層280的材料具有選擇性的等向性蝕刻,而實質上不侵蝕閘極介電層600以及硬遮罩層28。
第2M圖是根據各種實施例,繪示出閘極結構200的詳細剖面側視示意圖。第2M圖所繪示的閘極結構200包含了界面層210、閘極介電層600、第二界面層(IL)240、功函數阻障層700、功函數調整層900、以及第一金屬層290A、第二閘極部分290C。為了簡單起見,第二界面層240、功函數阻障層700、以及功函數調整層900中的一或多者從第2N圖以及第2O圖的示意圖中省略。
參見第2M圖,可以形成第二界面層240於閘極介電層600上,以及可以形成功函數阻障層700於第二界面層240上。第二界面層240促進了閘極介電層600上的金屬閘極黏合。在許多實施例中,第二界面層240為閘極結構200提供了改善的熱穩定性,且用於限制金屬雜質自功函數調整層900及∕或功函數阻障層700擴散至閘極介電層600之中。在一些實施例中,第二界面層240的形成是藉由首先沉積高介電常數蓋層(為了簡單起見而未繪示)於閘極介電層600上來實現。在各種實施例中,高介電常數蓋層包含HfSiON、HfTaO、HfTiO、HfAlON、HfZrO、TiSiN、或其他合適的材料。在特定實施例中,高介電常數蓋層包含氮化鈦矽(TiSiN)。在一些實施例中,高介電常數蓋層是藉由ALD在範圍為約400℃至約450℃的溫度下使用約40至約100個循環來沉積。接著執行熱退火以形成第二界面層240,其在一些實施例中,可以是或者包含TiSiNO。在藉由熱退火形成第二界面層240之後,可以循環執行以人工智慧(artificial intelligence;AI)控制的原子層蝕刻(atomic layer etch;ALE)以移除高介電常數蓋層,同時實質上不移除第二界面層240。每個循環可以包含WCl 5的第一脈衝,接著是Ar吹淨(purge),接著是O 2的第二脈衝,接著是另一道Ar吹淨。移除高介電常數蓋層以增加閘極填充寬裕度,以便藉由金屬閘極圖案化來進一步調整多個臨界電壓。
根據一些實施例,功函數阻障層700可選地包含於閘極結構200中。功函數阻障層700是或者包含金屬氮化物,諸如TiN、WN、MoN、TaN、或其類似物。在特定實施例中,功函數阻障層700為TiN。功函數阻障層700的厚度的範圍可為約5埃至約20埃。包含功函數阻障層700提供了額外的臨界電壓調整靈活性。一般來說,功函數阻障層700增加了NFET電晶體裝置的臨界電壓,且降低了PFET電晶體裝置的臨界電壓(強度)。
在一些實施例中,功函數調整層900可以包含形成於功函數阻障層700上的N型功函數金屬層、原位蓋層、以及氧阻隔層中的一或多者。N型功函數金屬層為或者包含N型金屬材料,諸如TiAlC、TiAl、TaAlC、TaAl、或其類似物。N型功函數金屬層可以藉由一或多種沉積方法來形成,諸如CVD、PVD、ALD、電鍍、及∕或其他合適的方法,且具有範圍為約10埃至約20埃的厚度。形成氧阻隔層於原位蓋層上以預防氧擴散至N型功函數金屬層之中,這將導致臨界電壓的不理想偏移。氧阻隔層是由介電材料所形成,其可以阻止氧貫穿至N型功函數金屬層,且可以保護N型功函數金屬層不被進一步氧化。氧阻隔層可以包含矽、鍺、SiGe、或其他合適材料的氧化物。在一些實施例中,氧阻隔層是使用ALD來形成,且具有範圍為約10埃至約20埃的厚度。
形成第一金屬層290A於功函數調整層900上,如果存在的話。在一些實施例中,形成膠層(未單獨繪示)於功函數調整層900的氧阻隔層與第一金屬層290A之間。膠層可以促進及∕或增強第一金屬層290A與功函數調整層900之間的黏合。在一些實施例中,膠層可以由金屬氮化物使用ALD來形成,諸如TiN、TaN、MoN、WN、或其他合適的材料。在一些實施例中,膠層的厚度的範圍為約10埃至約25埃。第一金屬層290A可以形成於膠層上,且可以包含諸如鎢、鈷、釕、銥、鉬、銅、鋁、或上述之組合。在一些實施例中,第一金屬層290A可以使用諸如CVD、PVD、電鍍、及∕或其他合適的製程的方法來沉積。在通道22A-22C之間,第一金屬層290A被一個或多個功函數調整層900周向地(circumferentially)包圍(在X-Z剖面示意圖中),其接著被閘極介電層600周向地包圍。
在一些實施例中,接縫510(其可以是氣隙)垂直地存在於通道22A、22B之間的第一金屬層290A中。在一些實施例中,第一金屬層290A順應地沉積於功函數調整層900上。接縫510可能在順應沉積期間因側壁沉積薄膜合併而形成。在一些實施例中,接縫510不存在於鄰近的通道22A、22B之間。
在一些實施例中,直至形成第一金屬層290A的閘極結構200的剩餘部分的形成可以在用於形成NFET的閘極結構200的第一製程以及用於形成PFET的閘極結構200的第二製程中來執行。第一製程可以在第二製程之前進行,或者第二製程可以在第一製程之前進行。NFET的閘極結構200在一層或多層中的材料選擇可以不同於PFET的閘極結構200的材料。舉例來說,NFET的閘極結構200的功函數調整層900可以不同於PFET的閘極結構200的功函數調整層900。在一些實施例中,NFET的閘極結構200的第一金屬層290A與PFET的閘極結構200的第一金屬層290A為不同的材料。
在形成第一金屬層290A之後,第二界面層(IL)240、功函數阻障層700、功函數調整層900、以及第一金屬層290A延伸超出閘極介電層600的末端部分(例如,覆蓋於隔離區36上方的部分)的多個部分藉由合適的蝕刻製程來移除。在一些實施例中,蝕刻製程包含非等向性蝕刻操作,其使用硬遮罩層28以及閘極介電層600與其相鄰的多個部分作為遮罩,使得第二界面層(IL)240、功函數阻障層700、功函數調整層900、以及位於通道22A-22C與硬遮罩層28之間的第一金屬層290A的多個部分在非等向性蝕刻操作之後實質上完好無損。在非等向性蝕刻操作之後,可以執行等向性蝕刻操作以形成水平凹槽於第二界面層(IL)240、功函數阻障層700、功函數調整層900、以及位於通道22A-22C與硬遮罩層28之間的第一金屬層290A的多個剩餘部分中。第二界面層(IL)240、功函數阻障層700、功函數調整層900、以及位於通道22A-22C與硬遮罩層28之間的第一金屬層290A的剩餘部分可以共同地稱作第一閘極部分200R。所描述的第一閘極部分200R的形成對應至第3圖的動作1700。
在第2O圖中,在形成第一閘極部分200R於通道22A-22C與硬遮罩層28之間之後,形成將第一閘極部分200R彼此連接的第二閘極部分290C,對應至第3圖的動作1800。在一些實施例中,第二閘極部分290C是或包含選擇性金屬,其可以是W、Ti、Pt、或其類似物中的一或多者。應理解的是,「選擇性金屬」指的是在沉積時黏合到第一金屬層290A而實質上不黏合到閘極介電層600的金屬。選擇性金屬可以進一步黏合到第二界面層(IL)240、功函數阻障層700、以及功函數調整層900中的一或多者。第二閘極部分290C的形成可以包含使用金屬氯化物前驅物(precursor)的CVD或ALD,諸如WCl 5、TiCl 3、PtCl 6、或其類似物。在第二閘極部分290C的形成期間,選擇性金屬可以首先從第一金屬層290A向外成長而不黏合到閘極介電層600。隨著第二閘極部分290C的額外材料的積累,選擇性金屬的鄰近部分可以合併,形成如第2O圖所繪示的結構。在選擇性金屬的沉積期間,一些選擇性金屬可以沉澱(settle)於閘極介電層600的底部上,諸如閘極介電層600上覆於隔離區36的部分。為了防止鄰近的閘極結構200之間由於閘極介電層600的底部上的選擇性金屬而產生橋接,可以執行諸如濕式蝕刻的清洗操作以移除第二閘極部分290C的多餘材料。如第2O圖所繪示,開口35可以存在於上覆且包繞鄰近的通道(奈米結構22)的堆疊的閘極結構200之間。
在第2P圖中,在形成閘極結構200之後,形成第二牆體結構300B於開口35中,對應至第3圖的動作1900。第二牆體結構300B可以包含介電牆體材料,其可以是或者包含SiN、SiCN、SiOCN、SiOC、或其類似物。第二牆體結構300B的寬度(例如,在方向Y上)的範圍可為約10奈米至約100奈米。第二牆體結構300B的形成可以包含適當的沉積操作,諸如PVD、CVD、ALD、或類似的操作,其沉積介電牆體材料於開口35中。在一些實施例中,第二牆體結構300B與第二閘極部分290C、閘極介電層600位於隔離區36上方的部分、或前述兩者接觸。第二牆體結構300B的形成可以是自對準製程,其中介電牆體材料在沉積的同時從開口35的底部到開口35的頂部累積於開口35中。介電牆體材料在沉積時可以覆蓋硬遮罩層28以及第二閘極部分290C的頂表面。在沉積介電牆體材料之後,介電牆體材料上覆於硬遮罩層28以及第二閘極部分290C的頂表面的多餘部分可以藉由合適的蝕刻操作來移除,從而產生第2P圖所繪示的結構。
在第2Q圖中,移除硬遮罩層28。硬遮罩層28可以藉由合適的蝕刻製程來移除。在一些實施例中,蝕刻製程包含移除閘極介電層600的露出部分的等向性蝕刻,諸如閘極介電層600位於閘極間隔物41上以及硬遮罩層28的上表面以及部分側表面上的多個部分,其露出了硬遮罩層28。在移除閘極介電層600的多個部分之後,藉由合適的蝕刻製程來移除硬遮罩層28,諸如移除硬遮罩層28而實質上不侵蝕第2Q圖的結構的其他元件的非等向性蝕刻,並留下硬遮罩層28保留在閘極間隔物41下方的多個部分。在移除硬遮罩層28之後,閘極介電層600位於硬遮罩層28下方的多個部分(例如,在硬遮罩層與閘極結構200的上部之間)可以藉由其他合適的蝕刻製程來移除。如第2Q圖所繪示,第一閘極部200R位於閘極間隔物41下方的多個部分高於第一閘極部200R被閘極間隔物41露出的多個部分。在一些實施例中,第二閘極部分290C延伸至高於第一閘極部分200R的水平。
在第2Q圖中,第二牆體結構200B可以在硬遮罩層28的蝕刻期間凹蝕。在一些實施例中,凹蝕之後的第二牆體結構200B的頂表面與第一閘極部分200R的頂表面處於同一水平。在一些實施例中,凹蝕之後的第二牆體結構200B的頂表面處於低於或高於第一閘極部分200R的頂表面的水平。
在第2R圖中,形成導電層204於閘極結構200以及第二牆體結構300B的露出表面上。導電層204將兩個或更多個閘極結構200彼此電性地連接。在一些實施例中,導電層204是或者包含W、Ru、Co、Mo、Cu、或其類似物,且可以藉由合適的沉積製程來形成,諸如PVD、CVD、ALD、電鍍、或類似的製程。在形成導電層204之後,可以藉由諸如CMP自層間介電質130、閘極間隔物41、以及接觸蝕刻停止層131的表面上方移除導電層204的多餘材料。
在第2S圖至第2X圖中,形成閘極隔離結構310以將導電層204位於閘極隔離結構310任一側的多個部分彼此隔離。在一些實施例中,閘極隔離結構310的材料為SiN、或其他合適的介電材料。閘極隔離結構310的寬度的範圍可為約15奈米至約50奈米。閘極隔離結構310從導電層204的頂表面延伸至第二牆體結構300B的頂表面。在一些實施例中,藉由在導電層204中蝕刻露出第二牆體結構300B的開口,接著沉積閘極隔離結構310的材料於第二牆體結構300B上的開口中來形成閘極隔離結構310。沉積可以是PVD、CVD、ALD、或其他合適的沉積。
在一些實施例中,閘極隔離結構310的寬度(例如,在方向Y上)比第二牆體結構300B的寬度更寬,如第2S圖、第2U圖、以及第2W圖所繪示。在一些實施例中,閘極隔離結構310的寬度比第二牆體結構300B的寬度更窄,如第2T圖、第2V圖、以及第2X圖所繪示。
在一些實施例中,閘極隔離結構310延伸到與閘極結構200的頂表面齊平的深度(例如,具有底表面),如第2S圖以及第2T圖所繪示。在一些實施例中,閘極隔離結構310延伸到低於閘極結構200的頂表面的深度(例如,具有底表面),如第2U圖以及第2V圖所繪示。在一些實施例中,閘極隔離結構310延伸到閘極結構200的頂表面上方的深度(例如,具有底表面),如第2W圖以及第2X圖所繪示。
在一些實施例中,閘極結構200包含導電層204。
在形成閘極隔離結構310之後,可以形成互連結構(未繪示)於第2S圖或第2T圖的結構上方。舉例來說,可以形成分別連接到源極∕汲極區82、源極∕汲極接觸件、以及閘極結構200(例如,連接到導電層204)的源極∕汲極接觸件、源極∕汲極導孔以及閘極導孔。
實施例可以提供多個優點。藉由形成犧牲介電層280、第二閘極部分200C、以及第二牆體結構300B,可以減小奈米結構裝置10的相鄰對之間的間距。藉由加厚界面層210,通道(奈米結構22)的末端部分會更不活躍(例如,非活躍(non-active)),使得第二閘極部分290C的厚度可以減小,這減小了奈米結構裝置10的相鄰對之間的間距。如此一來,亦可減小包含本揭露所實施的奈米結構裝置10的積體電路晶粒的晶片面積,使得更多數目的奈米結構裝置10可以整合在相同的晶片面積中,或者相同數目的奈米結構裝置10可以佔據更小的晶片面積。
根據至少一實施例,一種半導體裝置,包含多個第一奈米結構的第一垂直堆疊,形成於基板上方;多個第二奈米結構的第二垂直堆疊,相鄰於第一垂直堆疊;第一閘極結構,相鄰於第一奈米結構,第一閘極結構包含第一閘極部分,位於第一奈米結構之間;以及第二閘極部分,自第一閘極部分的第一側壁延伸至第一閘極部分的第二側壁,第二側壁位於第一側壁與基板之間,第二閘極部分具有與第一閘極部份不同的材料;第二閘極結構,相鄰於第二奈米結構;以及第二牆體結構,位於第二閘極部分與第二閘極結構之間。
在一些實施例中,第二閘極部分包含鎢、鈦、或鉑的一或多者。在一些實施例中,半導體裝置更包含導電層,位於第一閘極部分及第二閘極部分上;以及閘極隔離結構,自導電層的上表面延伸至低於第一閘極結構的最上表面的水平。在一些實施例中,第一閘極結構更包含界面層,位於第一奈米結構上;以及閘極介電層,位於界面層上。在一些實施例中,界面層在第一奈米結構的多個水平末端部分上的厚度大於在第一奈米結構的上表面及下表面上的厚度。在一些實施例中,界面層在水平末端部分的厚度的範圍為約2奈米至約3奈米。在一些實施例中,第二閘極部分相鄰於第一奈米結構的多個垂直側壁的厚度的範圍為約3奈米至約10奈米。在一些實施例中,第二牆體結構的寬度的範圍為約10奈米至約100奈米。在一些實施例中,半導體裝置更包含第一源極∕汲極區,抵靠第一垂直堆疊;第二源極∕汲極區,抵靠第二垂直堆疊;以及第一牆體結構,位於第一源極∕汲極區與第二源極∕汲極區之間。在一些實施例中,第一牆體結構的寬度的範圍為約20奈米至約100奈米。
根據至少一實施例,一種半導體裝置,包含第一奈米結構裝置,包含第一半導體通道,位於基板上方;第二半導體通道,位於第一半導體通道上方;第一閘極部分,位於第一半導體通道與第二半導體通道之間;第二閘極部分,位於第一半導體通道與第二半導體通道之間,且位於第一半導體通道及第二半導體通道的多個垂直側壁上;以及第一源極∕汲極區,抵靠第一半導體通道及第二半導體通道;第二奈米結構裝置,相鄰於第一奈米結構裝置,第二奈米結構裝置包含第三半導體通道;第四半導體通道,位於第三半導體通道上方;第三閘極部分,位於第三半導體通道與第四半導體通道之間;第四閘極部分,位於第三半導體通道與第四半導體通道之間,且位於第三半導體通道及第四半導體通道的多個垂直側壁上;以及第二源極∕汲極區,抵靠第三半導體通道及第四半導體通道;第一牆體結構,位於第一源極∕汲極區與第二源極∕汲極區之間;以及第二牆體結構,位於第二閘極部分與第四閘極部分之間。
在一些實施例中,第二牆體結構的上表面位於與第四閘極部分的上表面實質上共平面的水平。在一些實施例中,第二牆體結構的上表面位於低於第四閘極部分的上表面的水平。在一些實施例中,半導體裝置更包含導電層,位於第二閘極部分及第四閘極部分上;以及閘極隔離結構,自導電層的上表面延伸至第二牆體結構的上表面。在一些實施例中,閘極隔離結構的寬度大於第二牆體結構的寬度。
根據至少一實施例,一種半導體裝置的製造方法,包含形成多個第一半導體奈米結構的第一垂直堆疊於基板上;形成多個第二半導體奈米結構的第二垂直堆疊,第二垂直堆疊相鄰於第一垂直堆疊;形成多個第一閘極部分於第一半導體奈米結構的兩者之間以及於第一半導體奈米結構的一者與硬遮罩層之間;水平地凹蝕第一閘極部分以形成凹槽;以及形成第二閘極部分於凹槽中、於第一半導體奈米結構的兩者的多個垂直側壁上、以及於硬遮罩層的垂直側壁上。
在一些實施例中,半導體裝置的製造方法更包含各自形成多個界面層於第一半導體奈米結構上;形成犧牲介電層於界面層與第一半導體奈米結構之間;以犧牲介電層加厚界面層;以及移除犧牲介電層。在一些實施例中,加厚界面層的步驟包含加厚界面層位於第一半導體奈米結構的多個末端部分上的多個部分至範圍為約2奈米至約3奈米的厚度。在一些實施例中,形成第二閘極部分的步驟包含沉積選擇性金屬於第一閘極部分上,選擇性金屬包含鎢、鈦、或鉑的一或多者。在一些實施例中,半導體裝置的製造方法更包含形成第二閘極結構包繞第二半導體奈米結構;以及形成第二介電牆體於第二閘極部分與第二閘極結構之間。
以上概述數個實施例之特徵,以使本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。本發明所屬技術領域中具有通常知識者應理解,可輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及∕或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且可以在不違背本發明之精神和範圍下,做各式各樣的改變、取代、以及替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
10:奈米結構裝置 20A,20B,20C:奈米結構裝置 21,21A,21B,21C:第一半導體層 22:奈米結構 22A,22B,22C:通道 23:第二半導體層 24:奈米結構 25:多膜層堆疊 27:上半導體層 28:硬遮罩層 32:鰭片 35:開口 36:隔離區 40:虛置閘極結構 41:間隔物層 44:閘極介電層 45:虛置閘極層 47A,47B:硬遮罩層 74:內間隔物 82:源極∕汲極區 110:基板 130:層間介電質 131:接觸蝕刻停止層 200:閘極結構 200R:第一閘極部分 204:導電層 210:界面層 240:第二界面層 280:犧牲介電層 290:閘極填充層 290A:第一金屬層 290C:第二閘極部分 300A:第一牆體結構 300B:第二牆體結構 310:閘極隔離結構 395:蓋層 510:接縫 600:閘極介電層 700:功函數阻障層 900:功函數調整層 1000:方法 1100,1200,1300:動作 1400,1500,1600:動作 1700,1800,1900:動作 X:方向 Y:方向 Z:方向
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用於說明。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。 第1圖是根據本發明實施例,繪示出製造積體電路裝置的一部份的圖解透視示意圖。 第2A圖至第2X圖是根據本揭露的各種面向,繪示出積體電路裝置在製造的各種階段的各種實施例的示意圖。 第3圖是根據本揭露的各種面向,繪示出半導體裝置的製造方法的流程示意圖。
22:奈米結構
22A,22B,22C:通道
28:硬遮罩層
32:鰭片
36:隔離區
41:間隔物層
74:內間隔物
82:源極/汲極區
130:層間介電質
131:接觸蝕刻停止層
200:閘極結構
200R:第一閘極部分
204:導電層
210:界面層
290C:第二閘極部分
300B:第二牆體結構
310:閘極隔離結構
600:閘極介電層
X:方向
Y:方向
Z:方向

Claims (20)

  1. 一種半導體裝置,包括: 多個第一奈米結構的一第一垂直堆疊,形成於一基板上方; 多個第二奈米結構的一第二垂直堆疊,相鄰於該第一垂直堆疊; 一第一閘極結構,相鄰於該些第一奈米結構,該第一閘極結構包括: 一第一閘極部分,位於該些第一奈米結構之間;以及 一第二閘極部分,自該第一閘極部分的一第一側壁延伸至該第一閘極部分的一第二側壁,該第二側壁位於該第一側壁與該基板之間,該第二閘極部分具有與該第一閘極部份不同的材料; 一第二閘極結構,相鄰於該些第二奈米結構;以及 一第二牆體結構,位於該第二閘極部分與該第二閘極結構之間。
  2. 如請求項1之半導體裝置,其中該第二閘極部分包括鎢、鈦、或鉑的一或多者。
  3. 如請求項1之半導體裝置,更包括: 一導電層,位於該第一閘極部分及該第二閘極部分上;以及 一閘極隔離結構,自該導電層的一上表面延伸至低於該第一閘極結構的一最上表面的水平。
  4. 如請求項1之半導體裝置,其中該第一閘極結構更包括: 一界面層,位於該些第一奈米結構上;以及 一閘極介電層,位於該界面層上。
  5. 如請求項4之半導體裝置,其中該界面層在該些第一奈米結構的多個水平末端部分上的厚度大於在該些第一奈米結構的上表面及下表面上的厚度。
  6. 如請求項5之半導體裝置,其中該界面層在該些水平末端部分的厚度的範圍為約2奈米(nm)至約3奈米。
  7. 如請求項1之半導體裝置,其中該第二閘極部分相鄰於該些第一奈米結構的多個垂直側壁的厚度的範圍為約3奈米至約10奈米。
  8. 如請求項1之半導體裝置,其中該第二牆體結構的寬度的範圍為約10奈米至約100奈米。
  9. 如請求項1之半導體裝置,更包括: 一第一源極∕汲極區,抵靠(abutting)該第一垂直堆疊; 一第二源極∕汲極區,抵靠該第二垂直堆疊;以及 一第一牆體結構,位於該第一源極∕汲極區與該第二源極∕汲極區之間。
  10. 如請求項1之半導體裝置,其中該第一牆體結構的寬度的範圍為約20奈米至約100奈米。
  11. 一種半導體裝置,包括: 一第一奈米結構裝置,包括: 一第一半導體通道,位於一基板上方; 一第二半導體通道,位於該第一半導體通道上方; 一第一閘極部分,位於該第一半導體通道與該第二半導體通道之間; 一第二閘極部分,位於該第一半導體通道與該第二半導體通道之間,且位於該第一半導體通道及該第二半導體通道的多個垂直側壁上;以及 一第一源極∕汲極區,抵靠該第一半導體通道及該第二半導體通道; 一第二奈米結構裝置,相鄰於該第一奈米結構裝置,該第二奈米結構裝置包括: 一第三半導體通道; 一第四半導體通道,位於該第三半導體通道上方; 一第三閘極部分,位於該第三半導體通道與該第四半導體通道之間; 一第四閘極部分,位於該第三半導體通道與該第四半導體通道之間,且位於該第三半導體通道及該第四半導體通道的多個垂直側壁上;以及 一第二源極∕汲極區,抵靠該第三半導體通道及該第四半導體通道; 一第一牆體結構,位於該第一源極∕汲極區與該第二源極∕汲極區之間;以及 一第二牆體結構,位於該第二閘極部分與該第四閘極部分之間。
  12. 如請求項11之半導體裝置,其中該第二牆體結構的一上表面位於與該第四閘極部分的一上表面實質上共平面的水平。
  13. 如請求項11之半導體裝置,其中該第二牆體結構的一上表面位於低於該第四閘極部分的一上表面的水平。
  14. 如請求項11之半導體裝置,更包括: 一導電層,位於該第二閘極部分及該第四閘極部分上;以及 一閘極隔離結構,自該導電層的一上表面延伸至該第二牆體結構的一上表面。
  15. 如請求項14之半導體裝置,其中該閘極隔離結構的寬度大於該第二牆體結構的寬度。
  16. 一種半導體裝置的製造方法,包括: 形成多個第一半導體奈米結構的一第一垂直堆疊於一基板上; 形成多個第二半導體奈米結構的一第二垂直堆疊,該第二垂直堆疊相鄰於該第一垂直堆疊; 形成多個第一閘極部分於該些第一半導體奈米結構的兩者之間以及於該些第一半導體奈米結構的一者與一硬遮罩層之間; 水平地凹蝕該第一閘極部分以形成一凹槽;以及 形成一第二閘極部分於該凹槽中、於該些第一半導體奈米結構的兩者的多個垂直側壁上、以及於該硬遮罩層的一垂直側壁上。
  17. 如請求項16之半導體裝置的製造方法,更包括: 各自形成多個界面層於該些第一半導體奈米結構上; 形成一犧牲介電層於該些界面層與該些第一半導體奈米結構之間; 以該犧牲介電層加厚該些界面層;以及 移除該犧牲介電層。
  18. 如請求項17之半導體裝置的製造方法,其中加厚該些界面層的步驟包括:加厚該些界面層位於該些第一半導體奈米結構的多個末端部分上的多個部分至範圍為約2奈米至約3奈米的厚度。
  19. 如請求項16之半導體裝置的製造方法,其中形成該第二閘極部分的步驟包括:沉積一選擇性金屬於該第一閘極部分上,該選擇性金屬包括鎢、鈦、或鉑的一或多者。
  20. 如請求項16之半導體裝置的製造方法,更包括: 形成一第二閘極結構包繞(wraps around)該些第二半導體奈米結構;以及 形成一第二介電牆體於該第二閘極部分與該第二閘極結構之間。
TW112110822A 2022-06-08 2023-03-23 半導體裝置及其製造方法 TW202404085A (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202263350147P 2022-06-08 2022-06-08
US63/350,147 2022-06-08
US202263409175P 2022-09-22 2022-09-22
US63/409,175 2022-09-22
US18/163,857 2023-02-02
US18/163,857 US20230402536A1 (en) 2022-06-08 2023-02-02 Field effect transistor with gate isolation structure and method

Publications (1)

Publication Number Publication Date
TW202404085A true TW202404085A (zh) 2024-01-16

Family

ID=89076857

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112110822A TW202404085A (zh) 2022-06-08 2023-03-23 半導體裝置及其製造方法

Country Status (2)

Country Link
US (1) US20230402536A1 (zh)
TW (1) TW202404085A (zh)

Also Published As

Publication number Publication date
US20230402536A1 (en) 2023-12-14

Similar Documents

Publication Publication Date Title
US11791421B2 (en) Nanosheet field-effect transistor device and method of forming
US20220344333A1 (en) Field effect transistor and method
US20230052295A1 (en) Field effect transistor with air spacer and method
US20220328625A1 (en) Convergent fin and nanostructure transistor structure and method
TWI780649B (zh) 半導體裝置及其形成方法
US20230014998A1 (en) Field effect transistor with gate isolation structure and method
US20220238521A1 (en) Semiconductor Device and Method
TW202404085A (zh) 半導體裝置及其製造方法
TWI817704B (zh) 半導體裝置及其形成方法
TWI832372B (zh) 半導體裝置及其形成方法
TWI835165B (zh) 半導體裝置及其形成方法
US20230343699A1 (en) Field effect transistor with source/drain via and method
US20230053595A1 (en) Field effect transistor with multi-metal gate via and method
US20220320280A1 (en) Field effect transistor with inactive fin and method
US20230065208A1 (en) Field effect transistor with inner spacer liner layer and method
TWI795774B (zh) 填充結構及其製造方法
TWI831110B (zh) 半導體裝置及其製造方法
US20240128364A1 (en) Semiconductor device and formation method thereof
TWI808733B (zh) 半導體裝置及其形成方法
US20230223442A1 (en) Field effect transistor with asymmetrical source/drain region and method
US20220336613A1 (en) Field effect transistor with merged epitaxy backside cut and method
US20230163129A1 (en) Transistor Gate Structures and Methods of Forming the Same
US20220359677A1 (en) Field effect transistor with source/drain contact isolation structure and method
CN116825788A (zh) 半导体器件及其形成方法
TW202406140A (zh) 半導體裝置及其形成方法