TW202404082A - 半導體裝置的製作方法 - Google Patents

半導體裝置的製作方法 Download PDF

Info

Publication number
TW202404082A
TW202404082A TW112136605A TW112136605A TW202404082A TW 202404082 A TW202404082 A TW 202404082A TW 112136605 A TW112136605 A TW 112136605A TW 112136605 A TW112136605 A TW 112136605A TW 202404082 A TW202404082 A TW 202404082A
Authority
TW
Taiwan
Prior art keywords
layer
gate
backside
source
gate structure
Prior art date
Application number
TW112136605A
Other languages
English (en)
Inventor
鍾政庭
陳豪育
蔡慶威
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/996,094 external-priority patent/US11532720B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202404082A publication Critical patent/TW202404082A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Noodles (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Bipolar Transistors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

揭示一種半導體裝置的製作方法,包含在基材之上形成鰭狀結構。鰭狀結構包含交替堆疊之第一半導體層及第二半導體層。在鰭狀結構上形成虛設閘極結構。去除未被虛設閘極結構覆蓋之鰭狀結構的一部分。在第一半導體層的剩餘部分的相對側上形成內部間隔件。在第一半導體層的剩餘部分的一側上形成源極/汲極磊晶結構。採用金屬閘極結構替代虛設閘極結構及第一半導體層。去除基材以暴露金屬閘極結構的底部部分。去除金屬閘極結構的底部部分以在內部間隔件間形成閘極溝槽。在該閘極溝槽中形成背側介電蓋。在源極/汲極磊晶結構下方形成背側通孔件。

Description

半導體裝置的製作方法
本揭露的一些實施例是關於一種半導體裝置的製作方法,特別是關於一種包含背側介電蓋的半導體裝置的製作方法。
半導體積體電路系統(IC)產業已經歷快速的增長。在積體電路材料及設計方面之技術進步已產生數代積體電路,其中每一代均比上一代具有更小、更複雜的電路。在IC發展的過程中,已實質上增加功能密度(即,每個芯片面積的內連接裝置的數量),而減小幾何尺寸(即,使用生產製程可創建的最小組件(或線路))。此種按比例縮小的製程通常可藉由增加生產效率及減低相關成本,以提供效益。
根據一些實施例,一種製造半導體裝置的方法包含在基材之上形成鰭狀結構。鰭狀結構包含交替堆疊之第一半導體層及第二半導體層。在鰭狀結構之上形成虛設閘極結構。去除未被虛設閘極結構覆蓋之鰭狀結構的一部分。在第一半導體層的剩餘部分的相對側上形成內部間隔件。在第一半導體層的剩餘部分的一側上形成源極/汲極磊晶結構。採用金屬閘極結構替代虛設閘極結構及第一半導體層。去除基材以暴露金屬閘極結構的底部部分。去除金屬閘極結構的底部部分以在內部間隔件間形成閘極溝槽。在該閘極溝槽中形成背側介電蓋。在源極/汲極磊晶結構下方形成背側通孔件。
以下揭露內容提供用於實行所提供的標的的不同特徵的許多不同的實施例或範例。後文描述組件及佈置之特定範例以簡化本揭露內容。當然,此等僅為範例且未意圖具限制性。例如,在後文的描述中,在第二特徵之上或上之第一特徵的形成可包含以直接接觸方式形成第一特徵及第二特徵的實施例,且亦可包含在第一特徵與第二特徵間形成額外特徵,使得第一特徵及第二特徵可不直接接觸的實施例。此外,在各種範例中,本揭露內容可能重複裝置符號及/或字母。此重複係出於簡單及清楚的目的,且其本身並不規範所論述的各種實施例及/或配置間之關係。
再者,為了便於描述,本文中可使用諸如「在...之下」、「在...下方」、「較低」、「在...上方」、「較高」、及類似者的空間相對術語,以描述圖示中所例示之一個裝置或特徵與另一裝置(等)或特徵(等)的關係。除了圖示中所描繪的方位之外,空間相對術語亦意圖涵蓋裝置在使用或操作中之不同方位。設備能以其他方式定向(旋轉90度或以其他定向),且本文中使用的空間相對描述語可同樣以相應的方式解釋。
如本文所用,「大約」、「約」、「近似」、或「實質」通常係指給定值或範圍的百分之20之內、或百分之10之內、或百分之5之內。在此給出的數值為近似值,意味著若沒有明確說明,則可推斷出術語「大約」、「約」、「近似」、或「實質」。
可藉由任何合適的方法,以圖案化閘極全環(gate all around,GAA)電晶體結構。舉例而言,可使用一個或更多個光微影製程,包含雙圖案化或多圖案化製程以圖案化結構。通常而言,雙圖案化或多圖案化製程結合光微影製程及自對準製程,而允許待創建之圖案具有,例如,比其他使用單一、直接光微影製程所能獲得之間距小的間距。舉例而言,在一個實施例中,使用光微影製程,以在基材之上形成犠牲層並圖案化犠牲層。使用自對準製程,以在經圖案化的犠牲層旁邊形成間隔件。接著去除犠牲層,且接著可將剩餘的間隔件用於圖案化閘極全環電晶體結構。
本揭露內容涉及半導體裝置及其形成方法。更具體地,本揭露內容的一些實施例涉及閘極全環電晶體裝置,包含在閘極結構下方之蓋層,用於防止在源極/汲極特徵下方之閘極結構與通孔件間之電流洩漏問題。本文中提出的閘極全環電晶體裝置包含p型閘極全環電晶體裝置或n型閘極全環電晶體裝置。再者,閘極全環電晶體裝置可具有與單個、連續的閘極結構或多個閘極結構相關之一個或更多個通道區域(例如,奈米線材(nanowires))。本領域熟習技藝者可認識到從本揭露內容的態樣受益之半導體裝置的其他範例。
第1圖至第18C圖例示根據本揭露內容之一些實施例,在用於製造半導體裝置之方法之不同階段處。除了半導體裝置之外,第1圖至第18C圖亦描繪X軸、Y軸及Z軸方向。在一些實施例中,第1圖至第18C圖中所示之半導體裝置可為在積體電路或部分的積體電路之處理期間所產製之中間裝置,可包含靜態隨機存取記憶體(static random access memory,SRAM)、邏輯電路、被動組件(諸如電阻器、電容器及電感器)及/或主動組件(諸如p型場效電晶體(p-type field effect transistors ,PFET)、n型場效電晶體(n-type field effect transistors,NFET)、多閘極場效電晶體、金屬氧化物半導體場效應晶體管(metal-oxide semiconductor field effect transistors,MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor ,CMOS) 電晶體、雙極電晶體、高壓電晶體、高頻電晶體、其他儲存單元)及其組合。
參閱第1圖。提供可為晶圓的一部分之基材110。在一些實施例中,基材110可包含矽(Si)。或者,基材110可包含鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)或其他合適的半導體材料。在一些實施例中,基材110可包含絕緣體上半導體(semiconductor-on-insulator,SOI)結構,諸如埋入介電層。或者,基材110可包含諸如埋入氧化物(buried oxide,BOX)層之埋入介電層,諸如藉由氧植入(separation by implantation of oxygen,SIMOX)、晶圓鍵合、選擇性磊晶成長(selective epitaxial growth,SEG或另一合適的方法所形成之埋入介電層。在各種實施例中,基材110可包含多種基材結構及材料中的任何一種。
通過磊晶在基材110上形成堆疊結構120,使得堆疊結構120形成晶體層。堆疊結構120包含交替堆疊之第一半導體層122a、122b及第二半導體層124。第一半導體層122a、122b及第二半導體層124由具有不同晶格常數的材料製成,且可包含一層或更多層的矽、鍺、矽鍺、砷化鎵、銻化銦(InSb)、磷化鎵(GaP)、銻化鎵(GaSb)、砷化鋁銦(InAlAs)、砷化銦鎵(InGaAs)、磷化鎵銻(GaSbP)、銻砷化鎵(GaAsSb)或磷化銦(InP)。在一些實施例中,第一半導體層122a、122b及第二半導體層124由矽、矽化合物、矽鍺、鍺或鍺化合物製成。在第1圖中,設置三層第一半導體層122a、122b及三層第二半導體層124。然而,層的數量不限於3個,且可小至1個(每個層),並在一些實施例中,各形成2至10層的第一半導體層及第二半導體層。藉由調整堆疊層的數量,可調整閘極全環場效電晶體裝置的驅動電流。
在一些實施例中,第一半導體層122a、122b可為具有鍺原子百分比大於零之矽鍺層。在一些實施例中,第一半導體層122a、122b的鍺原子百分比為在自約百分之10及百分之50間之範圍內。最底部的第一半導體層122a比其他的第一半導體層122b厚。在一些實施例中,最底部第一半導體層122a的厚度T1為在自約8奈米及20奈米間之範圍內。在一些實施例中,第一半導體層122b的厚度T2為在自約3奈米及15奈米間之範圍內。
在一些實施例中,第二半導體層124可為不含鍺之純矽層。第二半導體層124亦可為實質上純的矽層,舉例而言,具有百分比低於約百分之1的鍺原子。此外,第二半導體層124可為無摻雜的,不摻雜p型及n型雜質。在一些實施例中,第二半導體層124的厚度T3為在自約2奈米及10奈米間之範圍內。
隨後,在堆疊結構120上方形成遮罩層410。在一些實施例中,遮罩層410包含第一遮罩層412及第二遮罩層414。第一遮罩層412可為由氧化矽所製成的襯墊氧化物層,可藉由熱氧化形成氧化矽。第二遮罩層414可由氮化矽(SiN)製成,可藉由化學氣相沉積(chemical vapor deposition,CVD),包含低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)及電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、物理氣相沉積(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)或其他合適的製程來形成氮化矽。
參閱第2圖。藉由使用包含光微影及蝕刻之圖案化操作,將遮罩層410(參見第1圖)圖案化成遮罩圖案。在圖案化遮罩層410的之後,藉由使用經圖案化的遮罩層410作為蝕刻遮罩以圖案化堆疊結構120(參見第1圖),如此一來將堆疊結構120圖案化成鰭狀結構130及沿X方向延伸之溝槽102。在第2圖中,沿Y方向排列兩個鰭狀結構130。但鰭狀結構的數量不限於此,並可小至一個或三個或更多。在一些實施例中,在鰭狀結構130的兩側上形成一個或更多個虛設鰭狀結構以在圖案化操作中提高圖案保真度。
溝槽102延伸至基材110中,並具有實質上彼此平行之長度方向。溝槽102形成基材110中之基部112,其中基部112從基材110突出,且分別在基材110的基部112上方形成鰭狀結構130。堆疊結構120的剩餘部分被相應地稱作鰭狀結構130。
參閱第3圖。在形成鰭狀結構130之後,在第2圖中之結構上方形成隔離結構140,因而暴露鰭狀結構130上部分。舉例而言,在基材110之上形成包含一層或更多層的絕緣材料的絕緣材料層,因而將鰭狀結構130完全嵌入在絕緣材料層中。用於絕緣材料層之絕緣材料可包含藉由低壓化學氣相沉積、電漿增強化學氣相沉積或可流動的化學氣相沉積所形成之氧化矽、氮化矽、氮氧化矽(SiON)、碳氮氧化矽(SiOCN)、碳氮化矽(SiCN)、摻氟矽酸鹽玻璃(FSG)或低介電常數介電材料 。可在絕緣材料層的形成(尤其是當使用可流動的化學氣相沉積形成絕緣材料層時)之後進行退火操作。隨後,進行平坦化操作,諸如化學機械研磨(chemical mechanical polishing,CMP)方法或回蝕方法,如此一來,從絕緣材料層暴露最上面的第二半導體層124的上表面。在一些實施例中,在形成絕緣材料層之前在第2圖的結構之上形成襯墊層(liner)。襯墊層由氮化矽或氮化矽基材料(例如,氮氧化矽、碳氮化矽或碳氮氧化矽)製成。
接著凹陷絕緣材料層以形成隔離結構140,因而暴露鰭狀結構130的上部分。通過此操作,藉由隔離結構140使鰭狀結構130彼此電性絕緣,此隔離結構140亦被稱作淺溝槽隔離(shallow trench isolation,STI)結構。在一些實施例中,凹陷絕緣材料層至暴露最底部的第一半導體層122a為止。第一半導體層122a及122b為隨後被部分去除之犧牲層,且第二半導體層124將作為閘極全環場效電晶體的通道區域。
參閱第4圖。在第4圖的結構上方共形地形成犧牲閘極介電層150。在一些實施例中,犧牲閘極介電層150可包含二氧化矽、氮化矽、高介電常數介電質材料或其他合適的材料。在各種範例中,可藉由原子層沉積製程、化學氣相沉積製程、次大氣壓化學氣相沉積(subatmospheric chemical vapor deposition,SACVD)製程、可流動的化學氣相沉積製程、物理氣相沉積製程或其他合適的製程沉積犧牲閘極介電層150。舉例而言,犧牲閘極介電層150可用於防止後續製程(例如,虛設閘極結構的後續形成)對鰭狀結構130的損壞。
接著在犧牲閘極介電層150上方形成至少一個虛設閘極結構160。虛設閘極結構160包含虛設閘極層162、形成在虛設閘極層162之上之襯墊層164及形成在襯墊層164之上之遮罩層166。虛設閘極結構160的形成包含在基材110之上依次沉積虛設閘極層、襯墊層及遮罩層,使用適當的光微影及蝕刻技術將襯墊層及遮罩層圖案化成經圖案化的襯墊層164及遮罩層166,接著使用襯墊層164及遮罩層166作為遮罩,圖案化虛設閘極層以形成經圖案化的虛設閘極層162。藉此,虛設閘極層162、襯墊層164及遮罩層166被稱作虛設閘極結構160。在一些實施例中,虛設閘極層162可由多晶矽(poly-Si)、多晶矽鍺(poly-SiGe)或其他合適的材料製成虛設閘極層162。襯墊層164可由氮化矽或其他合適的材料製成,且遮罩層166可由二氧化矽或其他合適的材料製成。在圖案化虛設閘極層162之後,亦圖案化犧牲閘極介電層150以暴露部分的鰭狀結構130,從而界定源極/汲極(S/D)區域。在此揭露內容中,可互換使用源極及汲極且源極及汲極的結構實質相同。在第4圖中,沿X方向排列四個虛設閘極結構160。但虛設閘極結構的數量不限於此,並可小至一個或三個或更多。
隨後,在虛設閘極結構160的相對側壁上形成閘極間隔件170。舉例而言,藉由使用電漿增強化學氣相沉積、低壓化學氣相沉積、次大氣壓化學氣相沉積或類似者,共形地形成用於側壁間隔件的絕緣材料的覆蓋層以覆蓋虛設閘極結構160。以共形方式沉積覆蓋層,因而形成在垂直表面上(諸如虛設閘極結構160的側壁)的覆蓋層與形成在水平表面上的覆蓋層(諸如虛設閘極結構160的頂部)具有實質相等的厚度。在一些實施例中,覆蓋層的絕緣材料為氮化矽基材料,諸如氮化矽、氮氧化矽、碳氮氧化矽或碳氮化矽及其組合。接著使用非等向性製程,蝕刻覆蓋層以在虛設閘極結構160的相對側壁上形成閘極間隔件170。
閘極間隔件170可包含密封間隔件及主間隔件(未示出)。可在虛設閘極結構160的側壁上形成密封間隔件,且可在密封間隔件上形成主間隔件。在覆蓋層上所進行之非等向性蝕刻可為,舉例而言,反應離子蝕刻(reactive ion etching,RIE)。在非等向性蝕刻製程期間,從水平表面去除大部分絕緣材料,而在諸如虛設閘極結構160的側壁之垂直表面上留下介電質間隔件層。
參閱第5圖。藉由使用應變源極/汲極(strained source/drain,SSD)蝕刻製程去除鰭狀結構130的暴露的部分。可以多種方式進行應變源極/汲極蝕刻製程。在一些實施例中,可藉由採用電漿源及反應氣體之乾式化學蝕刻進行應變源極/汲極蝕刻製程。電漿源可為感應耦合電漿(inductively coupled plasma ,ICR)蝕刻、變壓器耦合電漿(transformer coupled plasma,TCP)蝕刻、電子迴旋共振(electron cyclotron resonance,ECR)蝕刻、反應離子蝕刻或類似者,且反應氣體可為氟基氣體(諸如六氟化硫(SF 6)、二氟甲烷(CH 2F 2)、氟甲烷(CH 3F)、三氟甲烷(CHF 3)、或類似者)、氯氣(Cl 2)、溴化氫(HBr)、氧氣(O 2)、類似者或其組合。在一些其他實施例中,可藉由濕式化學蝕刻,諸如氨水/過氧化氫混合物(ammonium peroxide mixture,APM)、氨水(NH 4OH)、氫氧化四甲基銨(TMAH)或類似者,進行應變源極/汲極蝕刻製程。在尚有一些其他實施例中,可藉由乾式化學蝕刻及濕式化學蝕刻的組合進行應變源極/汲極蝕刻步驟。在一些實施例中,亦去除部分的基部112,如此一來,凹陷在隔離結構140間形成。
隨後,水平地凹陷(蝕刻)第一半導體層122a及122b,因而第二半導體層124橫向地延伸經過第一半導體層122a及122b的相對端表面。在一些實施例中,第一半導體層122a及122b的端表面可與犧牲閘極電極層150的側壁及/或閘極間隔件170的側壁實質上垂直對齊。
參閱第6圖。分別在第一半導體層122a及122b的側壁上形成內部間隔件180(見第5圖)。舉例而言,在第5圖的結構之上形成介電材料層,且進行一個或更多個蝕刻操作以形成內部間隔件180。在一些實施例中,內部間隔件180包含氮化矽基材料,諸如氮化矽、氮氧化矽、碳氮氧化矽或碳氮化矽及其組合,且不同於閘極間隔件170的材料。在一些實施例中,內部間隔件180為氮化矽。內部間隔件180可完全填充凹陷,如第6圖中所示。可使用化學氣相沉積,包含低壓化學氣相沉積及電漿增強化學氣相沉積、物理氣相沉積、原子層沉積或其他合適的製程,以形成介電材料層。蝕刻操作包含一個或更多個濕式及/或乾式蝕刻操作。在一些實施例中,蝕刻為等向性蝕刻。
在第6圖中,內部間隔件180實質填充如第6圖中所示之凹陷,使得內部間隔件180具有與第一半導體層122a及122b實質相同的厚度(沿Z方向)。舉例而言,最底部的內部間隔件180a具有在約8奈米及約20奈米的範圍內之厚度T1'(沿Z方向),且其他內部間隔件180b具有少於厚度T1'並在約3奈米至約15奈米的範圍內之厚度T2'(沿Z方向)。
參閱第7圖。從基部112磊晶成長源極/汲極(S/D)磊晶結構190及195。源極/汲極磊晶結構190及195包含一層或更多層的用於n型通道場效電晶體之矽、磷化矽(SiP)、碳化矽(SiC)及碳磷化矽(SiCP)或一層或更多層的用於p型通道場效電晶體T之矽、矽鍺、鍺。藉由使用化學氣相沉積、原子層沉積、或分子束磊晶(molecular beam epitaxy,MBE)之磊晶成長方法,以形成源極/汲極磊晶結構190及195。在第7圖所示的一些實施例中,從基材110的鄰近的基部112成長之源極/汲極磊晶結構190及195並未合併。在一些其他實施例中,從鄰近的基部112成長之源極/汲極磊晶結構190及195在隔離結構140上方合併並形成空隙。在一些實施例中,源極/汲極磊晶結構190及195具有不同的導電類型。舉例而言,源極/汲極磊晶結構190為N型磊晶結構,而源極/汲極磊晶結構195為P型磊晶結構,反之亦然。
現參考第8A圖至第8C圖,其中第8B圖為沿著第8A圖的線B-B所取得之截面視圖,而第8C圖為沿著第8A圖的線C-C所取得之截面視圖。在第7圖的結構之上共形地形成第一接觸蝕刻停止層(contact etch stop layer,CESL)210。在一些實施例中,第一接觸蝕刻停止層 210可為一個或多個受力層。在一些實施例中,第一接觸蝕刻停止層 210具有拉應力且是由氮化矽(Si 3N 4)所形成。在一些其他實施例中,第一接觸蝕刻停止層 210包含諸如氮氧化物之材料。在尚有一些其他實施例中,第一接觸蝕刻停止層 210可具有包含複數個層的複合結構,諸如覆壓氧化矽層之氮化矽層。可使用電漿增強化學氣相沉積,然而亦可使用其他合適的方法(諸如低壓化學氣相沉積、原子層沉積),以形成第一接觸蝕刻停止層 210。
在一些實施例中,相鄰的磊晶結構可分別為半導體裝置的源極及汲極。舉例而言,在第8B圖中,源極/汲極磊晶結構190的磊晶結構190a為半導體裝置的源極,而源極/汲極磊晶結構190的磊晶結構190b為半導體裝置的汲極。同樣地,源極/汲極磊晶結構195可包含一些彼此相鄰之源極及汲極。
接著在第一接觸蝕刻停止層 210上形成第一層間介電質(interlayer dielectric ,ILD)220。可藉由化學氣相沉積、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition) 、旋轉塗佈、濺鍍或其他合適的方法以形成第一層間介電質 220。在一些實施例中,第一層間介電質 220包含氧化矽。在一些其他實施例中,第一層間介電質 220可包含氮氧化矽、氮化矽、包含矽、氧(O)、碳(C)及/或氫(H)之化合物(例如氧化矽、碳化氫氧化矽(SiCOH)及碳氧化矽)、低介電常數材料或有機材料(例如,聚合物)。在形成第一層間介電質 220之後,進行平坦化操作,諸如化學機械研磨,因而去除襯墊層164及遮罩層166(見第7圖)並暴露虛設閘極層162(見第7圖)。
接著去除虛設閘極層162及犠牲閘極介電層150(見第7圖),從而暴露第一及第二半導體層122a、122b及124(見第5圖)。在虛設閘極層162的去除期間,第一層間介電質 220保護源極/汲極磊晶結構190及195。可使用電漿乾式蝕刻及/或濕式蝕刻,以去除虛設閘極層162。當虛設閘極層162為多晶矽且第一層間介電質 220為氧化矽時,可使用諸如氫氧化四甲基銨溶液之濕式蝕刻劑以選擇性地去除虛設閘極層162。可使用電漿乾式蝕刻及/或濕式蝕刻,以去除虛設閘極層162。隨後,亦去除犧牲閘極介電層150。藉此,暴露第一及第二半導體層122a、122b及124。
接著去除鰭狀結構130中之第一半導體層122a及122b(見第5圖),從而形成第二半導體層124的奈米片材(nanosheets)(或奈米線材(nanowires)或奈米棒(nanorods)或奈米柱(nanocolumns))。可使用蝕刻劑以去除或蝕刻第一半導體層122a及122b,此蝕刻劑能以比蝕刻第二半導體層124更快的蝕刻速率選擇性地蝕刻第一半導體層122a及122b。在第8B圖中,由於內部間隔件180是由對第一半導體層122a及122b具有蝕刻選擇性之材料所製成,內部間隔件180保護源極/汲極磊晶結構190及195不受到被用於蝕刻第一半導體層122a及122b之蝕刻劑之影響。
在一些實施例中,可選擇地形成界面層236以圍繞第二半導體層124的暴露表面及基材110的基部的暴露表面。在各種實施例中,界面層236可包含諸如氧化矽或氮氧化矽之介電材料,並可藉由化學氧化、熱氧化、原子層沉積、化學氣相沉積及/或其他合適的方法所形成。
接著在閘極間隔件170與內部間隔件180間形成及/或填充閘極結構230。即,閘極結構230環繞(或圍繞或包裹)第二半導體層124,其中第二半導體層124被稱作半導體裝置的通道。閘極間隔件170置於在閘極結構230的相對側上。閘極結構230包含閘極介電層232及閘極電極234。閘極電極234包含一個或更多個功函數金屬層(等)及填充金屬。共形地形成閘極介電層232。即,閘極介電層232接觸隔離結構140與界面層236(或當省略界面層236時的第二半導體層124)。此外,閘極介電層232圍繞第二半導體層124,並在沉積閘極介電層232之後仍保留第二半導體層124間之空間。在一些實施例中,閘極介電層232包含高介電常數材料(介電常數大於7),諸如氧化鉿(HfO 2)、氧化鋯(ZrO 2)、氧化鑭(La 2O 3)、氧化鋁鉿(HfAlO 2)、氧化矽鉿(HfSiO 2)、氧化鋁(Al 2O 3)或其他合適的材料。在一些實施例中,可藉由進行原子層沉積製程或其他合適的製程,以形成閘極介電層232。
在閘極介電層232上共形地形成閘極電極234的功函數金屬層,並在一些實施例中,功函數金屬層圍繞第二半導體層124。功函數金屬層可包含諸如氮化鈦(TiN)、氮化鉭(TaN)、矽化鈦鋁(TiAlSi)、氮化鈦鋁(TiSiN)、鈦鋁合金(TiAl)、鉭鋁合金(TaAl)或其他合適的材料之材料。在一些實施例中,可藉由進行原子層沉積製程或或其他合適的製程,以形成功函數層。閘極電極234的填充金屬填充閘極間隔件170及內部間隔件180間之剩餘空間。即,功函數金屬層(等)接觸閘極介電層232與填充金屬並位在閘極介電層232與填充金屬間。填充金屬可包含諸如鎢(W)或鋁(Al)之材料。在沉積閘極介電層232及閘極電極234之後,可接著進行平坦化製程,諸如化學機械研磨製程,去除閘極介電層232及閘極電極234的多餘部分以形成閘極結構230。
現參考第9A圖至第9C圖,其中第9B圖為沿著第9A圖的線B-B所取得之截面視圖,而第9C圖為沿著第9A圖的線C-C所取得之截面視圖。在一些實施例中,凹陷閘極結構230,且使用,舉例而言,沉積製程以在基材110之上沉積介電材料,然後進行化學機械研磨製程以去除閘極溝槽外之多餘介電材料,以在各自的閘極結構230之上形成前側介電蓋240。在一些實施例中,前側介電蓋240包含氮化矽或其他合適的介電材料。前側介電蓋240具有與閘極間隔件170、第一接觸蝕刻停止層210及/或第一層間介電質 220不同的蝕刻選擇性,藉以選擇性地回蝕前側介電蓋240。前側介電蓋240可用於界定自對準的觸點區域,且因此被稱作自我對準接觸(self-aligned contact,SAC)結構或自我對準接觸層。在一些實施例中,前側介電蓋240具有凸出狀的底部表面(即,前側介電蓋240與閘極結構230間之界面)。
接著,圖案化第一層間介電質 220以在閘極結構230的相對側上形成溝槽,且接著圖案化第一接觸蝕刻停止層210以暴露源極/汲極磊晶結構190及195。在一些實施例中,進行多個蝕刻製程以圖案化第一層間介電質 220及第一接觸蝕刻停止層 210。蝕刻製程包含乾式蝕刻製程、濕式蝕刻製程或其組合。
在一些實施例中,分別在源極/汲極磊晶結構190及195上方形成前側金屬合金層250。藉由自對準矽化物製程分別在溝槽中及暴露的源極/汲極磊晶結構190及195之上形成前側金屬合金層250(可為矽化物層)。矽化物製程將源極/汲極磊晶結構190及195的表面部分轉換成矽化物觸點。矽化物製程涉及與矽發生矽化反應之金屬的沉積。為了在源極/汲極磊晶結構190及195上形成矽化物觸點,將金屬材料覆蓋地沉積在源極/汲極磊晶結構190及195。在將晶圓加熱至金屬與源極/汲極磊晶結構190及195的矽發生反應以形成觸點之溫度之後,去除未反應的金屬。矽化物觸點保留在源極/汲極磊晶結構190及195之上,並從其他區域去除未反應的金屬。矽化物層可包含選自矽化鈦(titanium silicide)、矽化鈷(cobalt silicide)、矽化鎳(nickel silicide)、矽化鉑(platinum silicide)、矽化鎳鉑(nickel platinum silicide)、矽化鉺(erbium silicide)、矽化鈀(palladium silicide)、其組合或其他合適之材料。在一些實施例中,前側金屬合金層250可包含鍺。
接著在溝槽中及前側金屬合金層250上方形成觸點260。藉此,將觸點260電性連接至源極/汲極磊晶結構190及195。在一些實施例中,觸點260可由金屬,諸如鎢(W)、鈷(Co)、釕(Ru)、鋁(Al)、銅(Cu)或其他合適的材料製成。在沉積觸點260之後,可進行平坦化製程,諸如化學機械平坦化(chemical mechanical planarization,CMP)製程。在一些實施例中,可在形成觸點260之前,在溝槽中形成阻障層(barrier layer)。阻障層可由氮化鈦、氮化鉭或其組合製成。
參閱第10圖。在基材110之上形成包含金屬層及金屬間介電質(inter-metal dielectric,IMD)之多層互連結構(multilayer interconnection,MLI)270,以電性連接半導體裝置的各種特徵或結構(例如,觸點260及/或閘極結構230)。多層互連結構 270包含垂直互連結構(諸如通孔件或觸點),及水平互連結構(諸如金屬線)。各種互連特徵可實行各種導電材料,包含銅、鎢及矽化物。在一些範例中,將鑲嵌製程用於形成銅多層互連結構。
隨後,在多層互連結構270上方形成載體基材420。舉例而言,將載體基材420鍵合至多層互連結構 270。在一些實施例中,載體基材420為藍寶石。在一些其他實施例中,載體基材420為矽、熱塑性聚合物、氧化物、碳化物或其他合適的材料。
參閱第11圖。第10圖的結構上下顛倒「翻轉」,並去除基材110及隔離結構140。可在複數個製程操作中去除基材110及隔離結構140,舉例而言,首先移除大塊基材110,然後移除隔離結構140。在一些實施例中,去除製程包含(使用,舉例而言,化學機械研磨、氫氟酸/硝酸/醋酸(hydrofluoric acid–nitric acid–acetic acid,HNA)及/或氫氧化四甲基銨蝕刻)基材110及隔離結構140的去除,在第一接觸蝕刻停止層 210處停止此去除。在移除製程之後,如第11圖中所示,暴露源極/汲極磊晶結構190、195、第一接觸蝕刻停止層210、閘極介電層232、閘極間隔件170及內部間隔件180。
現參考第12A圖至第12C圖,其中第12B圖為沿著第12A圖的線B-B所取得之截面視圖,而第12C圖為沿著第12A圖的線C-C所取得之截面視圖。從磊晶結構背側凹陷源極/汲極磊晶結構190及195以在磊晶結構中形成凹槽199。可使用蝕刻劑以凹陷或蝕刻源極/汲極磊晶結構190及195,此蝕刻劑能以比蝕刻介電材料(例如,內部間隔件180、第一接觸蝕刻停止層 210及閘極介電層232)更快的蝕刻速率選擇性地蝕刻源極/汲極磊晶結構190及195。
隨後,在凹槽199中共形地形成第二接觸蝕刻停止層 280。在一些實施例中,第二接觸蝕刻停止層 280可為一個或多個受力層。在一些實施例中,第二接觸蝕刻停止層 280具有拉應力且是由氮化矽(Si 3N 4)所形成。在一些其他實施例中,第二接觸蝕刻停止層 280包含諸如氮氧化物之材料。在尚有一些其他實施例中,第二接觸蝕刻停止層 280可具有包含複數個層的複合結構,諸如氮化矽層與在其上方的氧化矽層。可使用電漿增強化學氣相沉積,然而亦可使用其他合適的方法(諸如低壓化學氣相沉積、原子層沉積),以形成第二接觸蝕刻停止層 280。
接著在第二接觸蝕刻停止層 280上形成第二層間介電質285。可藉由化學氣相沉積、高密度電漿化學氣相沉積、旋轉塗佈、濺鍍或其他合適的方法,以形成第二層間介電質。在一些實施例中,第二層間介電質包含氧化矽。在一些其他實施例中,第二層間介電質可包含氮氧化矽、氮化矽、包含矽、氧、碳及/或氫之化合物(例如氧化矽、碳化氫氧化矽及碳氧化矽)、低介電常數材料或有機材料(例如,聚合物)。在形成第二層間介電質之後,進行平坦化操作,諸如化學機械研磨,因而去除凹槽199外之第二接觸蝕刻停止層 280及第二層間介電質 285的部分,且暴露介電材料(例如,內部間隔件180、第一接觸蝕刻停止層 210及閘極介電層232)。
現參考第13A圖至第13C圖,其中第13B圖為沿著第13A圖的線B-B所取得之截面視圖,而第13C圖為沿著第13A圖的線C-C所取得之截面視圖。進行蝕刻製程以從閘極結構230背側去除部分的閘極結構230,以形成採用內部間隔件180及閘極間隔件170作為側壁之閘極溝槽238。在一些實施例中,從閘極結構230的底部部分蝕刻移除約3奈米至約15奈米以形成約3奈米至約15奈米深之閘極溝槽238。在替代實施例中,可能會蝕刻移除更多或更少的閘極結構230。然而,在一些實施例中,閘極溝槽238並未暴露第二半導體層124。
現參考第14A圖至第14C圖,其中第14B圖為沿著第14A圖的線B-B所取得之截面視圖,而第14C圖為沿著第14A圖的線C-C所取得之截面視圖。舉例而言,使用沉積製程以在第13A圖至第13C圖中之結構上沉積介電材料,然後進行化學機械研磨製程以去除閘極溝槽238外之多餘介電材料,以在相應的閘極溝槽238中形成背側介電蓋310(見第13A圖至第13C圖)。在一些實施例中,背側介電蓋310包含氧化矽、氮化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮氧化鋁(AlON)、氧化鋯、氧化鉿其組合或類似者。在一些實施例中,背側介電蓋310及前側介電蓋240具有相同或不同的材料。在一些實施例中,背側介電蓋310具有凸出狀的頂部表面(即,背側介電蓋310與閘極結構230間之界面)。再者,背側介電蓋310具有在約3奈米至約15奈米之厚度T4,而在背側介電蓋310及最底部第二半導體層124間之閘極結構230的部分235留下具有在約3奈米至約12奈米範圍內之厚度T5。
若背側介電蓋310的厚度T4大於約15奈米及/或閘極結構230的部分235的厚度T5少於約3奈米,則背側介電蓋310與最底部的第二半導體層124間之空間可能很小,使得閘極結構230可能未圍繞最底層的第二半導體層124,從而降低半導體裝置的效能。若背側介電蓋310的厚度T4少於約3奈米或閘極結構230的部分235的厚度T5大於約12奈米,在閘極結構230與隨後形成的背側通孔件340(見第17B圖)間可能發生電流洩漏問題。
現參考第15A圖至第15C圖,其中第15B圖為沿著第15A圖的線B-B所取得之截面視圖,而第15C圖為沿著第15A圖的線C-C所取得之截面視圖。形成隔離層320以覆蓋背側介電蓋310,如第15A圖至第15C圖中所示。在一些實施例中,隔離層320可藉由適當的方法(諸如旋轉、化學氣相沉積及電漿增強化學氣相沉積),由低介電常數介電材料(具有低於二氧化矽之介電常數之材料),諸如氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽玻璃(fluorinated silicate glass,FSG)、碳氧化矽(SiO xC y)、碳化氫氧化矽(SiO xC yH z)、旋轉塗佈玻璃、旋轉塗佈聚合物、矽碳材料、其化合物、其複合物、其組合或類似者所形成。亦可使用其他材料,諸如超低介電常數材料(例如,具有少於約2.9之介電常數),諸如介電常數等於2.5至2.6。提供此等作為範例之材料及製程,且可使用其他材料及製程。在一些實施例中,隔離層320具有在約5奈米及約30奈米的範圍內之厚度T6。
現參考第16A圖至第16C圖,其中第16B圖為沿著第16A圖的線B-B所取得之截面視圖,而第16C圖為沿著第16A圖的線C-C所取得之截面視圖。在隔離層320中形成開口322,且亦去除藉由開口322所暴露之部分的第二層間介電質 285。隨後,去除部分的第二接觸蝕刻停止層280以暴露磊晶結構190a,此磊晶結構作為半導體裝置的源極。同時,隔離層320、第二層間介電質 285及第二接觸蝕刻停止層 280覆蓋作為半導體裝置的汲極之另一磊晶結構190b。在一些實施例中,在隔離層320上方形成光阻劑層,並圖案化光阻劑層以暴露在磊晶結構190a正上方之部分的隔離層320,且使用經圖案化的光阻劑層作為遮罩,以形成開口322。在形成開口322之後,藉由灰化或蝕刻製程去除經圖案化的光阻劑層。
應當注意,在去除基材110及隔離結構140之後,如第11圖所示,晶圓可能歷經翹曲或粗糙度困難而在微影製程中促使覆蓋控制困難。舉例而言,開口322可暴露部分的背側介電蓋310。若沒有背側介電蓋310,用於形成開口322之蝕刻劑可能損壞閘極結構230。在第16A圖至第16C圖中,使用蝕刻劑(例如,四氟甲烷(CF 4)、六氟化硫、二氟甲烷、三氟甲烷、六氟乙烷(C 2F 6)、其組合或類似者)去除或蝕刻隔離層320及第二層間介電質 285,能以比蝕刻背側介電蓋310更快的蝕刻速率選擇性地蝕刻隔離層320及第二層間介電質  285。換言之,背側介電蓋310具有不同於隔離層320及第二層間介電質 285之材料。藉此,背側介電蓋310在刻蝕隔離層320及第二層間介電質 285期間保護閘極結構230,並可擴大用於形成開口322之覆蓋窗口。
現參考第17A圖至第17C圖,其中第17B圖為沿著第17A圖的線B-B所取得之截面視圖,而第17C圖為沿著第17A圖的線C-C所取得之截面視圖。在磊晶結構190a上方形成至少一個背側金屬合金層330。藉由自對準矽化物(silicide)製程在開口322(見第16A圖至第16B圖)中及在暴露的磊晶結構190a之上形成背側金屬合金層330(可為矽化物層)。背側金屬合金層330及前側金屬合金層250可具有相同或相似的材料。舉例而言,背側金屬合金層330可包含選自矽化鈦、矽化鈷、矽化鎳、矽化鉑、矽化鎳鉑、矽化鉺、矽化鈀、其組合或其他合適的材料之材料。在一些實施例中,背側金屬合金層330可包含鍺。
接著在開口322(見地16A圖至第16B圖)中及在背側金屬合金層330上方形成背側通孔件340。藉此,將背側通孔件340電性連接至磊晶結構190a。在一些實施例中,背側通孔件340可由金屬製成,諸如鎢、鈷、釕、鋁、銅或其他合適的材料。在沉積背側通孔件340之後,可進行平坦化製程,諸如化學機械平坦化製程。在一些實施例中,可在形成背側通孔件340之前在開口322中形成阻障層。阻障層可由氮化鈦、氮化鉭或其組合製成。
現參考第18A圖至第18C圖,其中第18B圖為沿著第18A圖的線B-B所取得之截面視圖,而第18C圖為沿著第18A圖的線C-C所取得之截面視圖。從多層互連結構 270去除載體基材420(見第17A圖至第17C圖),且再次將此結構「翻轉」。據此,形成半導體裝置。
在第18A圖至第18C圖中, 半導體裝置包含作為半導體裝置的通道之第二半導體層124。閘極結構230圍繞或包裹第二半導體層124。半導體裝置更包含作為半導體裝置的源極及/或汲極之源極/汲極磊晶結構190及195。將源極/汲極 磊晶結構190及195電性連接至第二半導體層124。可從半導體裝置的前側通過觸點260將源極/汲極磊晶結構190及195連接至外部電路。可將一些源極/汲極磊晶結構190及195,例如,磊晶結構190a,從半導體裝置的背側通過背側通孔件340進一步連接至外部電路。
如第18B圖中所示,部分的背側通孔件340位於閘極結構230之正下方,使得在背側通孔件340與相應的閘極結構230間可能出現電流洩漏問題。半導體裝置因此更包含在閘極結構230下方之背側介電蓋310。背側介電蓋310位於閘極結構230與背側通孔件340間,而在閘極結構230與背側通孔件340間提供良好的隔離。
在一些實施例中,背側介電蓋310直接接觸閘極結構230及背側通孔件340,或閘極結構230及背側通孔件340在背側介電蓋310的相對側上。在一些實施例中,背側介電蓋310具有與閘極結構230的寬度實質相同之寬度。在一些實施例中,背側介電蓋310與第二半導體層124(即,通道)隔開。在一些實施例中,閘極結構230的部分的閘極電極234(被夾)在背側介電蓋310與最底部的第二半導體層124間。在一些實施例中,背側通孔件340的頂部表面342高於背側介電蓋310的頂部表面312(即,閘極結構230的底部表面239)。此外,頂部表面342及312均為突出狀。亦即,閘極結構230的底部表面239為凹陷狀。再者,背側介電蓋310直接接觸閘極間隔件170,如第14A圖中所示。閘極間隔件170亦與內部間隔件180a及180b及閘極結構230直接接觸。
半導體裝置更包含在源極/汲極磊晶結構190(195)與閘極結構230間之內部間隔件180以隔離閘極結構230及源極/汲極磊晶結構190(195)。最底部的內部間隔件180a(沿Z方向)比其他高於最底部的內部間隔件180a之內部間隔件180b厚。最底部的內部間隔件180a直接接觸閘極結構230、背側介電蓋310及背側通孔件340。最底部的內部間隔件180a的最頂部的表面184低於閘極結構230的最頂部的表面237。
半導體裝置更包含隔離層320,隔離層320位於閘極結構230及背側介電蓋310下方。隔離層320可直接接觸背側介電蓋310、最底部的內部間隔件180a及閘極間隔件170直接接觸,使得隔離層320與閘極結構230隔開。最底部的內部間隔件180a的底部表面182及閘極間隔件170的最底部的表面均低於閘極結構230的底部表面239。
在一些實施例中,半導體裝置更包含在閘極結構230上方之前側介電蓋240。亦即,閘極結構230(被夾)在前側介電蓋240與背側介電蓋310間。前側介電蓋240與最底部的內部間隔件180a隔開,但與閘極間隔件170直接接觸。
第19A圖為根據本揭露內容之一些實施例之半導體裝置的立體視圖,第19B圖為沿第19A圖的線B-B所取得之截面視圖,且第19C圖為沿著第19A圖的線C-C所取得之截面視圖。第19A圖至第19C圖及第18A圖至第18C圖中之半導體裝置間之差異在於前側介電蓋240的存在與否。在第19A圖至第19C圖中,省略前側介電蓋240。亦即,省略第9A圖至第9C圖中所示之操作。藉此,閘極結構230的頂部表面與閘極間隔件170的頂部表面實質共平面。再者,閘極結構230接觸多層互連結構 270。第19A圖至第19C圖中之半導體裝置的其他相關結構細節皆與第18A圖至第18C圖中之半導體裝置相同或相似,且因此,將不再重複此方面之描述。
第20圖至第25C圖例示根據本揭露內容之一些實施例,在用於製造半導體裝置之方法之不同階段處。除了半導體裝置之外,第20圖至第25C圖亦描繪X軸、Y軸及Z軸方向。在一些實施例中,第20圖至第25C圖中所示之半導體裝置可為在積體電路(IC)或部分的積體電路(IC)之處理期間所產製之中間裝置,可包含靜態隨機存取記憶體、邏輯電路、被動組件(諸如電阻器、電容器、及電感器)、及/或主動組件(諸如p型場效電晶體、n型場效電晶體、多閘極場效電晶體、金屬氧化物半導體場效電晶體、互補金屬氧化物半導體電晶體、雙極電晶體、高壓電晶體、高頻電晶體、其他儲存單元)、及其等的組合。
參閱第20圖。提供基材110。關於基材110的材料、配置、尺寸、製程及/或操作與第1圖的基材110相似或相同。接著在基材110上方形成鰭狀結構130。每個鰭狀結構130包含第一半導體層122a、122b及第二半導體層124。關於鰭狀結構130的材料、配置、尺寸、製程及/或操作與第2圖的鰭狀結構130相似或相同。
將間隔層材料350'沉積在鰭狀結構130的暴露的側壁及頂表面上。在一些實施例中,間隔層材料350'可由介電材料製成間隔層材料350’。在一些實施例中,間隔層材料350'可由介電材料(諸如,舉例而言,旋轉塗佈玻璃、氮化矽、氧氮化矽、氟矽玻璃、低介電常數介電材料及/或其他合適的絕緣材料)製成。在一些實施例中,藉由原子層沉積製程以沉積間隔層材料350'。在一些實施例中,間隔層材料350'的沉積可藉由適當的製程(諸如,舉例而言,電漿增強原子層沉積(plasma-enhanced  atomic layer deposition,PEALD)、化學氣相沉積、物理氣相沉積、分子束磊晶、高密度電漿化學氣相沉積、金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、遠程電漿化學氣相沉積(remote plasma chemical vapor deposition, RPCVD)、電漿增強化學氣相沉積、其他合適的方法及/或其等的組合)所完成。根據一些實施例,可將間隔層材料350'沉積在鰭狀結構130間以形成開口352。藉由選擇合適的處理沉積參數,可將開口352配置成創建用於後續沉積自對準隔離鰭片之空間。
參閱第21圖。然後在開口352(見第20圖)中形成自對準隔離鰭狀結構360。在一些實施例中,形成自對準隔離鰭狀結構360包含採用介電鰭狀結構材料填充開口352。在一些實施例中,可藉由原子層沉積製程填充開口352。在一些實施例中,可藉由適合的製程(諸如,舉例而言,原子層沉積、化學氣相沉積、可流動的化學氣相沉積、物理氣相沉積、分子束磊晶、高密度電漿化學氣相沉積、金屬有機化學氣相沉積、遠程電漿化學氣相沉積、電漿增強化學氣相沉積、其他合適的方法及/或其組合)以填充開口352。在一些實施例中,可使用可流動的化學氣相沉積製程然後進行後續的紫外線(ultra-violet,UV)固化及退火製程,以沉積介電鰭狀結構材料。在一些實施例中,可在可流動的化學氣相沉積製程中進行碳及/或氮的原位摻雜(in-situ doping),以固化或硬化介電鰭狀結構材料。在一些實施例中,介電鰭狀結構材料包含氮氧化矽、碳氮化矽、碳氮氧化矽或諸如氧化鉿、氧化鋯、氧化鋁之金屬氧化物、其他合適的金屬氧化物及/或其組合。在一些實施例中,形成自對準隔離鰭狀結構360更包含進行平坦化製程(例如,化學機械研磨步驟)以去除間隔層材料350'的上表面上之多餘的介電材料,因而使自對準隔離鰭狀結構360的上表面與間隔層材料350'的上表面實質共平面。介電鰭狀結構材料的沉積形成開口352中之自對準隔離鰭狀結構360。在鰭狀結構130間形成自對準隔離鰭狀結構360。由於在沉積介電鰭狀結構材料之前界定並形成開口352,因此當介電鰭狀結構材料填充暴露的開口352中時,不需要對準製程。
參閱第22圖。在第21圖的結構上進行平坦化製程,例如,化學機械研磨製程,以暴露鰭狀結構130。接著,回蝕間隔層材料350' (見第21圖)使得部分的鰭狀結構130及自對準隔離鰭狀結構360從間隔層材料350'的剩餘部分突出。間隔層材料350'的剩餘部分形成間隔層350。可藉由合適的方法(諸如,舉例而言,在間隔層材料350'、鰭狀結構130與自對準隔離鰭狀結構360的材料間具有合適的蝕刻選擇性之蝕刻製程),以實現間隔層350。舉例而言,與鰭狀結構130及/或自對準隔離鰭狀結構360的蝕刻速率相比,蝕刻製程可具有較高之間隔層材料350'的蝕刻速率。在一些實施例中,可藉由調整蝕刻製程的合適的參數(諸如,舉例而言,蝕刻劑氣體類型、氣體流速、蝕刻溫度、電漿功率、腔室壓力、其他合適的參數及/或其組合),以實現蝕刻速率差。
現參考第23A圖至第23C圖,其中第23B圖為沿著第23A圖的線B-B所取得之截面視圖,而第23C圖為沿著第23A圖的線C-C所取得之截面視圖。隨後,與第4圖至第12C圖相似,在第22圖的結構上方共形地形成犧牲閘極介電層。接著在犧牲閘極電介質層上方形成至少一個虛設閘極結構。接著在閘極結構的相對側壁上形成閘極間隔件。去除鰭狀結構130的暴露部分(見第22圖)。水平地凹陷第一半導體層122a及122b(見第22圖)。分別在第一半導體層122a及122b的側壁上形成內部間隔件180。從基材110的基部112磊晶成長源極/汲極磊晶結構190及195(見第22圖)。在自對準隔離鰭狀結構360及源極/汲極磊晶結構190及195之上共形地形成第一接觸蝕刻停止層210。在一些實施例中,藉由第一接觸蝕刻停止層210界定氣隙192並在源極/汲極磊晶結構190及195下方形成氣隙192。接著在第一接觸蝕刻停止層 210上形成第一層間介電質 220。接著採用包含閘極介電層232及閘極電極234之閘極結構230替代虛設閘極層、犠牲閘極介電層及第一半導體層122a、122b。在一些實施例中,可選擇地形成界面層236以圍繞第二半導體層124的暴露表面及基材110的基部的暴露表面。閘極介電層232不僅圍繞第二半導體層124,亦與自對準隔離鰭狀結構360的側壁及頂部表面共形,如第23C圖中所示。在一些實施例中,凹陷閘極結構230,且在各自的閘極結構230上形成前側介電蓋240。在一些實施例中,分別在源極/汲極磊晶結構190及195上方形成前側金屬合金層250。在前側金屬合金層250上方形成觸點260。在基材110之上形成包含金屬層及金屬間介電質之多層互連結構 270,以電性連接半導體裝置的各種特徵或結構(例如,觸點260及/或閘極結構230)。隨後,在多層互連結構 270上方形成載體基材420。在形成載體基材420之後,將整個結構被上下顛倒「翻轉」,並去除基材110及隔離結構140,如第23A圖至第23C圖中所示。
現參考第24A圖至第24C圖,其中第24B圖為沿著第24A圖的線B-B所取得之截面視圖,而第24C圖為沿著第24A圖的線C-C所取得之截面視圖。與第12A圖至第12C圖類似,凹陷源極/汲極磊晶結構190及195,並在凹陷的源極/汲極磊晶結構190及195上依次形成第二接觸蝕刻停止層 280及第二層間介電質 285。隨後,進行蝕刻製程以從閘極結構230背側去除部分的閘極結構230,以形成採用內部間隔件180、閘極間隔件170及自對準隔離鰭狀結構360作為側壁之閘極溝槽238。接下來,在各個閘極溝槽238中形成背側介電蓋310。藉此,背側介電蓋310接觸自對準隔離鰭狀結構360,如第24A圖及第24C圖中所示。
現參考第25A圖至第25C圖,其中第25B圖為沿著第25A圖的線B-B所取得之截面視圖,而第25C圖為沿著第25A圖的線C-C所取得之截面視圖。隨後,類似於第15A圖至第18C圖,形成隔離層320以覆蓋背側介電蓋310。在隔離層320中形成開口322,且亦去除藉由開口322所暴露之部分的第二層間介電質285。在磊晶結構190a上方形成至少一個背側金屬合金層330。在開口322中及在背側金屬合金層330上方形成背側通孔件340。從多層互連結構270去除載體基材420(見第24A圖至第24C圖),且再次將此結構「翻轉」。據此,形成半導體裝置。
第26圖為根據本揭露內容之一些實施例之用於形成半導體裝置之方法M的流程圖。雖然將方法M例示及/或描述成一系列動作或事件,應當理解,此方法不限於所例示的順序或動作。因此,在一些實施例中,動作可以與所示意不同的順序進行及/或者可同時進行。再者,在一些實施例中,所示意的動作或事件可細分成多個動作或事件,此等動作或事件可在各別的時間或與其他動作或子動作同時進行。在一些實施例中,可省略一些示意的動作或事件,且可能包含其他未示意的動作或事件。
在操作S12處,在基材上方形成鰭狀結構。在一些實施例中,鰭狀結構包含如第2圖中所示交替堆疊之第一及第二半導體層,且最底部的第一半導體層比其他第一半導體層厚。第1圖至第2圖例示對應至操作S12中之動作之一些實施例的立體視圖。在操作S14處,在鰭狀結構上方形成至少一個虛設閘極結構。第4圖例示對應至操作S14中之動作之一些實施例的立體視圖。在操作S16處,凹陷未被虛設閘極結構覆蓋而暴露之部分的鰭狀結構。第5圖示意對應至操作S16中之動作之一些實施例的立體視圖。在操作S18處,水平地凹陷第一半導體層。第5圖示意對應至操作S18中之動作之一些實施例的立體視圖。在操作S20處,在凹陷的第一半導體層的側壁上形成內部間隔件。第6圖示意對應至操作S20中之動作之一些實施例的立體視圖。在操作S22處,形成磊晶結構並電性連接磊晶結構至第二半導體層。第7圖示意對應至操作S22中之動作之一些實施例的立體視圖。在操作S24處,採用金屬閘極結構替代虛設閘極結構。第8A圖至第8C圖示意對應至操作S24中之動作之一些實施例的立體視圖及截面視圖。在操作S26處,在磊晶結構上方形成觸點。第9A圖至第9C圖示意對應至操作S26中之動作之一些實施例的立體視圖及截面視圖。在操作S28處,在金屬閘極結構及觸點上方形成多層互連結構。第10圖示意對應至操作S28中之動作之一些實施例的立體視圖。在操作S30處,將基材變薄或去除基材以暴露金屬閘極結構。第11圖示意對應至操作S30中之動作之一些實施例的立體視圖。在操作S32處,從金屬閘極結構背側回蝕金屬閘極結構。第13A圖至第13C圖示意對應至操作S32中之動作之一些實施例的立體視圖及截面視圖。在操作S34處,在蝕刻的金屬閘極結構上形成介電蓋。第14A圖至第14C圖示意對應至操作S34中之動作之一些實施例的立體視圖及截面視圖。在操作S36處,形成隔離層以覆蓋介電蓋。第15A圖至第15C圖示意對應至操作S36中之動作之一些實施例的立體視圖及截面視圖。在操作S38處,在隔離層中形成背側通孔件並將背側通孔件連接至其中一個磊晶結構。第16A圖至第17C圖示意對應至操作S38中之動作之一些實施例的立體視圖及截面視圖。
根據上文論述,本揭露內容提供了優點。然而,應當理解,其他實施例可提供額外的優點,且在本文中不必揭露所有優點,且對於所有實施例均不需特定的優點。其中一個優點為在背側源極/汲極蝕刻期間,在閘極結構下方形成背側介電蓋以保護閘極結構。另一個優點為,背側介電蓋亦在背側通孔件與閘極結構間提供良好的隔離。採用此配置,可擴大用於背/側通孔件之覆蓋窗口。
根據一些實施例,揭示一種半導體裝置,包含半導體層、閘極結構、源極/汲極磊晶結構、背側介電質蓋及內部間隔件。閘極結構環繞半導體層。源極/汲極磊晶結構鄰近閘極結構並電性連接至半導體層。背側介電蓋在閘極結構下方並直接接觸閘極結構。內部間隔件直接接觸閘極結構及背側介電蓋。
根據一些實施例,半導體裝置包含通道、閘極結構、內部間隔件及源極/汲極磊晶結構。閘極結構圍繞通道,其中閘極結構具有凹陷狀的底部表面。內部間隔件在閘極結構的相對側上。內部間隔件的底部表面低於閘極結構的凹陷狀的底部表面。將源極/汲極磊晶結構電性連接至通道。
根據一些實施例,一種製造一半導體裝置的方法包含在基材之上形成鰭狀結構。鰭狀結構包含交替堆疊之第一半導體層及第二半導體層。在鰭狀結構之上形成虛設閘極結構。去除未被虛設閘極結構覆蓋之鰭狀結構的一部分。在第一半導體層的剩餘部分的相對側上形成內部間隔件。在第一半導體層的剩餘部分的一側上形成源極/汲極磊晶結構。採用金屬閘極結構替代虛設閘極結構及第一半導體層。去除基材以暴露金屬閘極結構的底部部分。去除金屬閘極結構的底部部分以在內部間隔件間形成閘極溝槽。在該閘極溝槽中形成背側介電蓋。在源極/汲極磊晶結構下方形成背側通孔件。
根據一些實施例,在源極/汲極磊晶結構下方形成背側通孔件包含形成隔離層以覆蓋背側介電蓋。在隔離層中形成開口以暴露源極/汲極磊晶結構。在開口中形成背側通孔件。
根據一些實施例,上述之方法更包含在移除基材前,回蝕金屬閘極結構。在回蝕後的金屬閘極結構上形成前側介電蓋。
根據一些實施例,上述之方法更包含在去除基材之後,去除源極/汲極磊晶結構的一部分。在去除金屬閘極結構的底部部分之前,在源極/汲極磊晶結構下方形成層間介電質。
根據一些實施例,背側介電蓋包含氧化矽、氮化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿或其組合。
根據一些實施例,上述之方法更包含形成毗鄰鰭狀結構的隔離鰭狀結構。
前文概述數種實施例的特徵,因而本領域熟習技藝者可更理解本揭露內容的態樣。熟習此項技藝者應當理解,熟習此項技藝者可輕易地使用本揭露內容作為設計或修改其他製程及結構的基礎,以實現本文介紹的實施例的相同目的及/或達成相同優點。熟習此項技藝者亦應當認識到,此等效構造不脫離本揭露內容的精神及範圍,且在不脫離本揭露內容的精神及範圍之情況下,熟習此項技藝者可在此文中進行各種改變、替換及變更。
B-B:線 C-C:線 S12:操作 S14:操作 S16:操作 S18:操作 S20:操作 S22:操作 S24:操作 S26:操作 S28:操作 S30:操作 S32:操作 S34:操作 S36:操作 S38:操作 T1:厚度 T1':厚度 T2:厚度 T2':厚度 T3:厚度 T4:厚度 T5:厚度 T6:厚度 M:方法 X:方向 Y:方向 Z:方向 102:溝槽 110:基材 112:基部 120:堆疊結構 122a:第一半導體層 122b:第一半導體層 124:第二半導體層 130:鰭狀結構 140:隔離結構 150:犧牲閘極介電層 160:虛設閘極結構 162:虛設閘極層 164:襯墊層 166:遮罩層 170:閘極間隔件 180:內部間隔件 180a:內部間隔件 180b:內部間隔件 182:表面 184:表面 190:源極/汲極磊晶結構 195:源極/汲極磊晶結構 190a:磊晶結構 190b:磊晶結構 199:凹槽 210:第一接觸蝕刻停止層 220:第一層間介電質 230:閘極結構 232:閘極介電層 234:閘極電極 235:部分 236:界面層 237:表面 238:閘極溝槽 239:底部表面 240:前側介電蓋 250:前側金屬合金層 260:觸點 270:多層互連結構 280:第二接觸蝕刻停止層 285:第二層間介電質 310:背側介電蓋 312:表面 320:隔離層 322:開口 330:背側金屬合金層 340:背側通孔件 342:表面 350:間隔層 350':間隔層材料 352:開口 360:自對準隔離鰭狀結構 410:遮罩層 412:第一遮罩層 414:第二遮罩層 420:載體基材
當與附圖一起閱讀時,可由後文實施方式最佳地理解本揭露內容的態樣。注意到根據此行業中之標準實務,各種特徵並未按比例繪製。實際上,為了論述的清楚性,可任意增加或減少各種特徵的尺寸。 第1圖至第18C圖例示根據本揭露內容之一些實施例,在用於製造半導體裝置之方法之不同階段處。 第19A圖為根據本揭露內容之一些實施例之半導體裝置的立體視圖。 第19B圖為沿著第19A圖的線B-B所取得之截面視圖。 第19C圖為沿著第19A圖的線C-C所取得之截面視圖。 第20圖至第25C圖例示根據本揭露內容之一些實施例,在用於製造半導體裝置之方法之不同階段處。 第26圖為根據本揭露內容之一些實施例之用於形成半導體裝置之方法的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
M:方法
S12、S14、S16、S18、S20、S22、S24、S26、S28、S30、S32、S34、S36、S38:操作

Claims (10)

  1. 一種製作一半導體裝置的方法,包含: 形成一鰭狀結構在一基材上,其中該鰭狀結構包含交替堆疊之複數個第一半導體層及複數個第二半導體層; 形成一虛設閘極結構在該鰭狀結構上; 去除未被該虛設閘極結構覆蓋之該鰭狀結構的一部分; 形成複數個內部間隔件在該些第一半導體層的複數個剩餘部分的相對側上; 在該些第一半導體層的該些剩餘部分的一側上形成一源極/汲極磊晶結構; 採用一金屬閘極結構替代該虛設閘極結構及該些第一半導體層; 去除該基材以暴露該金屬閘極結構的一底部部分; 去除該金屬閘極結構的該底部部分以在該些內部間隔件間形成一閘極溝槽; 形成一背側介電蓋在該閘極溝槽中;及 形成一背側通孔件在該源極/汲極磊晶結構下方通孔件。
  2. 如請求項1所述之方法,其中在該源極/汲極磊晶結構下方形成該背側通孔件包含: 形成一隔離層以覆蓋該背側介電蓋; 形成一開口在該隔離層中以暴露該源極/汲極磊晶結構;及 形成該背側通孔件在該開口中。
  3. 如請求項1所述之方法,更包含: 在移除該基材前,回蝕該金屬閘極結構;及 形成一前側介電蓋於回蝕後的該金屬閘極結構上。
  4. 如請求項1所述之方法,更包含: 在去除該基材之後,去除該源極/汲極磊晶結構的一部分;及 在去除該金屬閘極結構的該底部部分之前,形成一層間介電質在該源極/汲極磊晶結構下方。
  5. 如請求項1所述之方法,其中該背側介電蓋包含氧化矽、氮化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮氧化鋁、氧化鋯、氧化鉿或其組合。
  6. 如請求項1所述之方法,更包含形成毗鄰該鰭狀結構的一隔離鰭狀結構。
  7. 如請求項1所述之方法,其中最接近該基材的一之該些第一半導體層比其他的該些第一半導體層還厚。
  8. 如請求項1所述之方法,更包含: 形成一隔離結構在該基材上;以及 去除該隔離結構以暴露該源極/汲極磊晶結構。
  9. 如請求項1所述之方法,其中該背側介電蓋具有一突出狀的上表面。
  10. 如請求項1所述之方法,其中該背側通孔件接觸該背側介電蓋。
TW112136605A 2020-04-29 2021-01-20 半導體裝置的製作方法 TW202404082A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063017077P 2020-04-29 2020-04-29
US63/017,077 2020-04-29
US16/996,094 US11532720B2 (en) 2020-04-29 2020-08-18 Semiconductor device and manufacturing method thereof
US16/996,094 2020-08-18

Publications (1)

Publication Number Publication Date
TW202404082A true TW202404082A (zh) 2024-01-16

Family

ID=78161147

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112136605A TW202404082A (zh) 2020-04-29 2021-01-20 半導體裝置的製作方法
TW110102189A TWI818226B (zh) 2020-04-29 2021-01-20 半導體裝置

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110102189A TWI818226B (zh) 2020-04-29 2021-01-20 半導體裝置

Country Status (4)

Country Link
US (1) US20220359700A1 (zh)
CN (1) CN113571518B (zh)
DE (1) DE102020122139B4 (zh)
TW (2) TW202404082A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11658119B2 (en) * 2020-10-27 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Backside signal interconnection

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9219129B2 (en) * 2012-05-10 2015-12-22 International Business Machines Corporation Inverted thin channel mosfet with self-aligned expanded source/drain
KR102343470B1 (ko) * 2016-01-28 2021-12-24 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9653547B1 (en) * 2016-03-17 2017-05-16 International Business Machines Corporation Integrated etch stop for capped gate and method for manufacturing the same
US9711608B1 (en) * 2016-06-03 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9881998B1 (en) * 2017-02-02 2018-01-30 International Business Machines Corporation Stacked nanosheet field effect transistor device with substrate isolation
US10475902B2 (en) * 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
US10403714B2 (en) * 2017-08-29 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Fill fins for semiconductor devices
US10403550B2 (en) * 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10374058B2 (en) * 2017-09-15 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11588052B2 (en) * 2018-08-06 2023-02-21 Intel Corporation Sub-Fin isolation schemes for gate-all-around transistor devices

Also Published As

Publication number Publication date
TWI818226B (zh) 2023-10-11
TW202141793A (zh) 2021-11-01
CN113571518A (zh) 2021-10-29
DE102020122139A1 (de) 2021-11-04
DE102020122139B4 (de) 2022-03-03
US20220359700A1 (en) 2022-11-10
CN113571518B (zh) 2024-05-07

Similar Documents

Publication Publication Date Title
TWI762196B (zh) 半導體裝置與其製造方法
TWI783477B (zh) 半導體電晶體裝置及其形成方法
KR102510730B1 (ko) 후면 전력 레일 디바이스를 위한 드레인 측부 리세스
US11973027B2 (en) Semiconductor device and methods of forming the same
US11551969B2 (en) Integrated circuit structure with backside interconnection structure having air gap
US11488874B2 (en) Semiconductor device with funnel shape spacer and methods of forming the same
KR102587146B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI787787B (zh) 半導體電晶體裝置及形成半導體電晶體裝置的方法
TWI785589B (zh) 半導體裝置及其形成方法
US11637101B2 (en) Semiconductor device and manufacturing method thereof
CN113517227B (zh) 半导体器件和形成半导体晶体管器件的方法
US20220359700A1 (en) Semiconductor device and manufacturing method thereof
US20240055525A1 (en) Semiconductor device and method of forming the same
US20240006482A1 (en) Semiconductor device and manufacturing method thereof
KR102436689B1 (ko) 후면 측 전력 레일 디바이스를 위한 캐패시턴스 감소