TW202347496A - 用於電化學蝕刻的工具與製程 - Google Patents

用於電化學蝕刻的工具與製程 Download PDF

Info

Publication number
TW202347496A
TW202347496A TW112113180A TW112113180A TW202347496A TW 202347496 A TW202347496 A TW 202347496A TW 112113180 A TW112113180 A TW 112113180A TW 112113180 A TW112113180 A TW 112113180A TW 202347496 A TW202347496 A TW 202347496A
Authority
TW
Taiwan
Prior art keywords
etching
nanostructures
etchant
silicon
layer
Prior art date
Application number
TW112113180A
Other languages
English (en)
Inventor
思蓋塔V 斯里尼瓦薩恩
帕拉斯 阿杰伊
約翰 埃科特
克莉絲朵 巴雷拉
阿希拉 馬拉瓦拉普
馬克 赫迪
帕斯 潘迪亞
Original Assignee
德克薩斯大學系統董事會
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 德克薩斯大學系統董事會 filed Critical 德克薩斯大學系統董事會
Publication of TW202347496A publication Critical patent/TW202347496A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3063Electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/67086Apparatus for fluid treatment for etching for wet etching with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00

Abstract

一種用以在任意功能性材料中製造高深寬比奈米結構的方法。第(N+1)層的基底材料沉積在現有之N層的複數奈米結構的上方,其中N為自然數。第(N+1)層中的基底材料隨後被圖案化並蝕刻,以在基底材料中創造複數互補奈米結構。此外,在此些互補奈米結構上執行間隙填充材料、封裝層與功能性材料的共形塗覆,以在第(N+1)層中創造複數功能性材料奈米結構。一組選擇性蝕刻隨後被執行,從而在功能性材料中留下複數多層高深寬比奈米結構。

Description

用於電化學蝕刻的工具與製程
本案主張於2022年4月7日所提交之標題為「用於電化學蝕刻的工具與製程」的美國臨時專利申請第63/328,510號的優先權,其內容以引用的方式併入本文。
本案更主張於2022年5月17日所提交之標題為「在閥金屬中創造錐形的高深寬比孔」的美國臨時專利申請第63/343,003號的優先權,其內容以引用的方式併入本文。
本案還主張於2022年5月17日所提交之標題為「多晶矽的金屬輔助化學蝕刻」的美國臨時專利申請第63/342,699號的優先權,其內容以引用的方式併入本文。
本案還主張於2022年5月17日所提交之標題為「原位製程監測與控制系統」的美國臨時專利申請第63/342,717號的優先權,其內容以引用的方式併入本文。
本案還主張於2022年6月7日所提交之標題為「用於電化學蝕刻的工具與製程」的美國臨時專利申請第63/349,946號的優先權,其內容以引用的方式併入本文。
本發明總體上涉及電化學蝕刻,並且特別是涉及在各種基底中創造任意的高深寬比奈米結構。
電化學蝕刻是一種利用簡單的電解原理將訊息轉印在基底材料、導電金屬等之上的蝕刻技術。電化學蝕刻也是一種在任何導電材料中蝕刻出完美的高度對比且永久之標記的標記方法。電化學蝕刻不會加熱材料,也不會弱化或改變材料的微結構。
電化學蝕刻是一種極快速且比起其他標記方法便宜得多的製程。電化學蝕刻以伴隨快速批次設定提供大量細節而聞名。此些特性使其成為醫療器材、飛機零件、工具、餐具等的常用標記方法。
不幸的是,目前用於電化學蝕刻的工具與製程在諸如矽、氧化鋁等的各種基底中創造任意的高深寬比奈米結構方面中存在缺陷。
在本案的一實施例中,一種用以在任意功能性材料中製造高深寬比奈米結構的方法包含在現有之N層的複數奈米結構上方沉積第(N+1)層的基底材料,其中N為自然數。所述方法更包含在第(N+1)層的基底材料中進行圖案化與蝕刻,以在基底材料中創造複數互補奈米結構。所述方法還包含在此些互補奈米結構上執行間隙填充材料、封裝層與功能性材料的共形塗覆,以在第(N+1)層中創造複數功能性材料奈米結構。此外,所述方法包含在基底材料上執行一組選擇性蝕刻,從而在功能性材料中留下複數多層高深寬比奈米結構。
前述已概述本案之一或多個實施例的特徵,以使讀者可更好地理解本案的說明書。本案的額外特徵與優勢會於後方描述,並呈現本案請求項的主體。
如先前技術中所述,電化學蝕刻是一種利用簡單的電解原理將訊息轉印在基底材料、導電金屬等之上的蝕刻技術。電化學蝕刻也是一種在任何導電材料中蝕刻出完美的高度對比且永久之標記的標記方法。電化學蝕刻不會加熱材料,也不會弱化或改變材料的微結構。
電化學蝕刻是一種極快速且比起其他標記方法便宜得多的製程。電化學蝕刻以伴隨快速批次設定提供大量細節而聞名。此些特性使其成為醫療器材、飛機零件、工具、餐具等的常用標記方法。
不幸的是,目前用於電化學蝕刻的工具與製程在諸如矽、氧化鋁等的各種基底中創造任意高深寬比奈米結構方面中存在缺陷。
如下文所述,本案實施例提供使用電化學蝕刻在諸如矽、氧化鋁等之各種基底中創造任意高深寬比奈米結構的工具與製程。
下文描述用於原子式精確電化學蝕刻(Atomically precise Electrochemical Etching,AE2)製程的工具與製程。AE2可用以在包含矽、氧化鋁等的各種基底中創造任意高深寬比奈米結構。
AE2包含使用催化劑影響化學蝕刻(catalyst influenced chemical etching,CICE)製程(也稱為金屬輔助化學蝕刻(metal assisted chemical etching,MACE)、MacEtch、AToMM)在矽(以及其他基底)中創造此類奈米結構的方法。
AE2也可以用於在閥金屬氧化物(閥金屬包含Al、Mg、Zr、Nb、Sn、Hf、Ta、W、Bi等)中創建奈米結構。此類奈米結構是使用閥金屬膜的電化學蝕刻(或陽極氧化)創造的。有關在閥金屬氧化物中創造奈米結構之反應方式的詳細信息如Lee等人在2014年第114卷第15期第7487頁至第7556頁題為「多孔陽極氧化鋁:功能性奈米結構的陽極氧化與模板化合成」的化學評論中所述,其全部內容以引用的方式併入本文。如下文進一步所述,陽極氧化閥金屬氧化物稱為陽極閥金屬氧化物(Anodic Valve-metal Oxide,AVO)。在一實施例中,陽極氧化鋁(Anodic Aluminum Oxide,AAO)是一種示例性AVO。一般而言,具有深奈米結構孔洞的AVO是形成在酸性電解質(此些也稱為蝕刻劑)中,例如硒酸(selenic acid)、硫酸(sulfuric acid)、草酸(oxalic acid)、磷酸(phosphoric acid)、鉻酸(chromic acid)、丙二酸(malonic acid)、酒石酸(tartaric acid)、檸檬酸(citric acid)、蘋果酸(malic acid)等,其中陽極氧化物微溶。AVO奈米結構可在定電位與定電流的二條件下形成。在定電位條件下,成孔陽極化的電流密度(j)於陽極化製程中在一定範圍值內幾乎維持恆定。所產生之多孔氧化膜的厚度與電化學反應所涉及的電荷總量成線性比例。
圖1A至圖1B繪示根據本案一實施例使用示例性陽極氧化製程在AAO中形成示例性奈米結構。
參閱圖1A至圖1B,圖1A繪示形成在AAO中之奈米結構的俯視圖;而圖1B繪示不同奈米結構的側視圖。
現在參閱圖2,圖2係根據本案一實施例之執行AE2製程之方法200的流程圖。圖3A至圖3F描繪根據本案一實施例使用圖2中所述之步驟執行AE2製程的剖視圖。
結合圖3A至圖3F參閱圖2,在步驟201中,遮罩層301形成在閥金屬302(例如,鋁)上,其中閥金屬302可選地位在襯底材料303上,如圖3A所示。在一實施例中,遮罩層301對應於如圖3A中所示的碳柱。在一實施例中,此種碳柱是透過使用奈米壓印微影來沉積抗蝕劑然後進行電漿蝕刻所產生的。
在步驟202中,執行電漿蝕刻到閥金屬302中,如圖3B所示。舉例而言,在閥金屬302包含鋁的實施例中,可使用氯基電漿蝕刻(chlorine-based plasma)來蝕刻鋁。
在步驟203中,遮罩層301被除去,如圖3C所示。
在步驟204中,在一實施例中,AVO奈米結構304的AVO支架305是使用AE2製程創造在襯底材料303(其是選擇性的)上,如圖3D所示。圖3D中還示出AVO支架305的示例性孔洞樣態。在一實施例中,襯底材料303是卷狀的鋁。
在步驟205中,功能材料306選擇性地沉積在AVO奈米結構304(其選擇性地包含如元件305中所示的孔洞陣列)之上,如圖3E所示。
在步驟206中,圖3E的結構選擇性地附接到襯底材料(最終襯底材料)303的另一側,其中AVO奈米結構304被蝕刻與分層,如圖3F所示。舉例而言,在一實施例中,可使用蝕刻劑,例如NaOH、 KOH、 H 3PO 4與 HF來蝕刻並分層AVO奈米結構304,如圖3F所示。
如前所述,圖2與圖3A至圖3F繪示了示例性AE2製程。可使用以下技術中的一或多種來執行功能性材料中之奈米結構的選擇性塌陷預防(一旦AVO支架305已移除)。在第一種技術中,第一金屬沉積在支架305的底部,隨後是絕緣體,然後是功能性材料(例如,功能性材料306)。可以使用原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、低壓CVD(low pressure CVD,LPCVD)、常壓CVD(atmospheric pressure CVD,APCVD)、物理氣相沉積(pjysical vapor deposition,PVD)、電化學沉積等來進行沉積。在一實施例中,金屬絕緣層是用以在功能性材料中之獨立奈米結構之間創造靜電排斥。
在第二種技術中,首先執行AVO(例如,AVO支架305)的部分蝕刻,隨後使用ALD、CVD、LPCVD、APCVD、PVD、電化學沉積等在功能性材料奈米結構304之暴露部分的周圍沉積一或多種支撐材料(其對AVO蝕刻劑有抵抗力)。之後,使用AVO蝕刻劑移除AVO奈米結構304。
在第三種技術中,首先執行AVO(例如,AVO支架305)的部分蝕刻,並留下作為功能性材料奈米結構304之支撐材料的AVO。
在一實施例中,創造在AVO中的奈米結構304的壁具有預定的錐形。在一實施例中,錐形是連續的。在另一實施例中,錐形是階梯式的。在一實施例中,連續錐形是透過改變電流(在定電壓條件下)及/或電壓(在定電流條件下)所創造的。在一實施例中,此種變化是漸進的。在另一實施例中,此種變化是單調的。在一實施例中,錐形是以有助於在功能性材料在AVO奈米結構304中進行無間隙沉積的方式來產生。
在一實施例中,高深寬比孔洞被創造在閥金屬302中。在一實施例中,先創造種子圖案在閥金屬302的表面。在一實施例中,使用奈米壓印微影與蝕刻(其可為濕蝕刻或乾蝕刻)之組合創造種子圖案。隨後,閥金屬302在成孔方案中被陽極氧化,其中蝕刻的時間決定孔洞的深度。在一實施例中,孔洞是錐形的。在一實施例中,錐形是連續的。在另一實施例中,錐形是階梯式的。在一實施例中,錐形是單調的。在一實施例中,閥金屬302是鋁。在一實施例中,功能性材料(例如,功能性材料306)是在陽極氧化後沉積到高深寬比孔洞中。在一實施例中,使用CVD、PECVD、LPCVD、APCVD、ALD、PVD、電化學沉積等執行沉積。
在一實施例中,功能性材料在陽極氧化後沉積/生長/填充到高深寬比孔洞中。在一實施例中,使用CVD、PECVD、LPCVD、APCVD、ALD、PVD、電化學沉積、電鍍、化學電鍍、電化學ALD等來執行沉積/生長/填充。
在一實施例中,在陽極氧化後,共形地沉積阻擋材料以保護功能性材料(例如,免於氧化)。示例性阻擋材料包含但不限於矽、二氧化矽、氮化矽、碳化矽、碳、金屬、鉑、金、釕、聚合物等。在一實施例中,為了電鍍,種子層隨後以共形方式沉積。在一實施例中,共形的種子層連接到電極(例如,在基底的一側上)。添加劑,例如聚乙二醇(polyethylene glycol,PEG)用於促進功能性材料的由下至上隻生長,並且降低沉積期間中形成空隙的可能性。
替代地,在陽極氧化期間中使用閥金屬(不同於鋁)與鋁的雙層堆疊。已知的是,形成在不同於鋁之閥金屬(例如,Ti、W、Nb、Zr、Ta等)上的陽極氧化鋁(anodic aluminum oxide,AAO)在蝕刻結構之底部包含閥金屬氧化物(其不同於氧化鋁)。在一實施例中,隨後選擇性地使用合適的濕蝕刻製程移除閥金屬氧化物(相對於氧化鋁)。之後,在一實施例中,區域選擇性原子層沉積(atomic layer deposition,ALD)製程用於僅在蝕刻孔洞的側面而不是在有暴露的閥金屬的底部塗覆阻擋層。舉例而言,以鎢作為上述的閥金屬,存在一種用於SiO 2的區域選擇性製程,其可選擇性地塗覆在Al 2O 3而不是鎢上。未塗覆的鎢可隨後用作種子層,以用無空隙方式電鍍功能性材料。替代地,取代阻擋層的區域選擇性ALD而執行阻擋層的共形塗覆,隨後蝕刻掉共形之阻擋塗覆的底部(例如,使用反應離子蝕刻),以顯露閥金屬層(其先前埋在共形之阻擋層下方)。未塗覆的閥金屬層可隨後用作種子層,以用無間隙方式電鍍功能性材料。在移除AAO支架構與閥金屬後,執行最後之阻擋層沉積以覆蓋功能性材料的底部。
一般而言,在一實施例中,用於電鍍的種子層是以下群組中的一或多種:金、鉍、鎘、銅、鉛、銻與鋅、銀、鈹、鈷、鉻、鐵、鉬、鈮、鈀、鉑、鉭、釷、鈦、釩、鎢與鋯。
在一實施例中,孔洞漸縮用以降低電鍍的間隙形成趨勢。在一實施例中,電鍍是以卷對卷的方式進行。
現在參閱圖4,圖4繪示根據本案一實施例的示例性卷對卷(roll-to-roll,R2R)AE2製程。
如圖4所示,圖4繪示了奈米壓印微影(nanoimprint lithography,NIL)模板輥401以及來源基底輥402。此外,圖4繪示了選擇性的卷對卷(roll-to-roll,R2R)奈米壓印微影(nanoimprint lithography,NIL)403。
此外,圖4繪示了模板(見元件404)的放大視圖以及選擇性的R2R反應離子蝕刻(reactive ion etching,RIE)/除殘膠蝕刻(descum etch)405。另外,圖4繪示了在奈米結構中具有選擇性錐形以實現後續選擇性之高深寬比化學氣相沉積(chemical vapor deposition,CVD)步驟的R2R AE2 406。
此外,圖4繪示了選擇性R2R沉積407、選擇性原位功能計量408以及選擇性地轉移到最終輥409。
AE2製程可用於製造新型裝置,包含DRAM、NAND型快閃記憶體、SRAM、鰭型場效電晶體(FinFETs)、確定性側向位移(Deterministic Lateral Displacement,DLD)裝置、超級電容等。
在一實施例中,用於AE2製程的工具具有以下目標–使用AE2製程以(或高於)目標良率與(或高於)目標產量來蝕刻奈米級特徵。在一實施例中,所述工具特別針對AVO奈米孔的創造進行了優化。
實現目標良率需要蝕刻高度變異低於蝕刻高度的特定比例(例如,在一實施例中為30%,在另一些實施例中為20%、10%、5%或更低)。實現此目標需要多個子系統與功能,包含但不限於在處理腐蝕性AE2蝕刻劑之同時不會癧濾出汙染物(金屬或其他)的能力。惰性聚合物,例如聚四氟乙烯(polytetrafluoroethylene,PTFE)、全氟烷氧基烷烴(perfluoroalkoxy alkane,PFA)、高密度聚乙烯(high-density polyethylene,HDPE)等滿足了此種需求。
此外,在一實施例中,實現前述目標需要多個子系統與能力,包含處理300毫米或更大基底尺寸的能力。此需要在工具的內部腔之間以及在工具之內部腔與輸入/輸出埠之間自動地裝載與卸載300毫米基底。另外,此還需要尺寸適合處理300毫米基底的工具腔。滿足此些需求的自動化基底處理與工具加工方案是可用的。在一實施例中,製程基底是以垂直配置進行處理,但是一旦執行蝕刻,基底就會被旋轉到水平配置以使用例如,選擇順應性關節機械手臂(selective compliance assembly robot arm,SCARA)式機器手臂。在一實施例中,AE2製程是在卷對卷基底上執行。在後續設計中,以卷式基底取代晶圓及/或基底。在一實施例中,卷式基底是以步進且重複的方式進行處理,其中卷在當前位置上進行處理,然後打開腔,將卷移動到新位置,再次密封腔,並且在新位置執行處理(以此類推)。在另一實施例中,以連續方式處理卷式基底。在一實施例中,卷式基底與蝕刻腔之間的密封是滑動密封。
此外,在一實施例中,實現前述目標需要多個子系統與功能,包含正面蝕刻劑控制。在製程基底進行AE2製程的那一側,AE2製程的反應物需要在製程基底的整個範圍內保持均勻的濃度,同時還要確保AE2製程的產物被不斷地從反應位置移除。均勻的反應物濃度可透過連續或間歇的蝕刻劑循環來保持,其使用提高蝕刻劑均勻性的設計特徵,並且使用防止蝕刻劑停滯區的腔內蝕刻劑攪拌方法。
在一實施例中,蝕刻劑循環可以透過多種方法來實現。如果周圍觸點在前側腔中用於蝕刻劑控制(假設此為進行AE2製程的那一側),一或多個入口部的群組(其可為噴嘴形式)可用於將蝕刻劑引入腔中,並且一或多個出口部的群組可用於將蝕刻劑帶出腔外。在一實施例中,入口部與出口部的群組和前側腔是一體製造的。在一實施例中,基於計算流體動力學(Computational Fluid Dynamics,CFD)的流體模擬以及設計優化技術被用於確保流動非均勻性與停滯的最小化。圖5A至圖5B以及圖6繪示了兩個示例性設計。
圖5A至圖5B繪示根據本案一實施例之用於側向蝕刻劑流的示例性設計。圖6繪示根據本案一實施例之用於製程基底之整個區域之蝕刻劑引入與引出的示例性設計。
參閱圖5A,圖5A繪示填充了蝕刻劑的處理腔501以及入口歧管502與出口歧管503。此外,圖5A繪示了製程晶圓504。
參閱圖5B,圖5B繪示了入口歧管502與出口歧管503的剖面。
參閱圖6,圖6繪示了多層前側蓋601、製程晶圓602、蝕刻產物603以及蝕刻劑入口604與蝕刻劑出口605。此外,局部蝕刻劑流動方向由元件606來標識。
如圖5A所示,流體從腔501的側面(見502、503)被引入與引出。在圖6中,使用放置在腔壁的整個範圍上的入口部與出口部(見604、605)來引入和排出流體。使用標準的電腦數值控制(Computer Numerical Control,CNC)可以製造此些設計。在一實施例中,多層前側蓋601是透過多個2D加工件的結合來製造的,例如,使用加工之聚四氟乙烯(polytetrafluoroethylene,PTFE)件的聚合物焊接。
在一實施例中,旋轉噴淋型系統是用於正向蝕刻劑傳輸與循環。在系統的一實施例中,旋轉臂用於將新的蝕刻劑分配到製程基底上,其中製程基底保持靜止。為了從基底表面移除掉用過的蝕刻劑,可以使用主動策略,其中與第一臂以集成或其他方式結合的第二臂可用於以離心方式將用過的蝕刻劑移出。替代地,可以使用被動策略,其中基底保持在垂直方向,且重力用於將用過的蝕刻劑往下拉進收集腔中。臂的旋轉軸可以是固定的或是可移動的,且與製程基底同軸或不同軸心。在第二實施例中,蝕刻劑分配臂是固定的,而製程基底本身是旋轉的。在旋轉噴淋型系統的所有實施例中,AE2兼容腔將包圍製程基底的整個前側,並且用於容納透過旋轉製程基底及/或蝕刻劑分配臂以各種方向拋出的任何蝕刻劑。前述多個實施例中之一的說明顯示在圖7A至圖7B中。
圖7A至圖7B繪示根據本案一實施例之具有偏心旋轉的蝕刻劑噴淋臂與被動式重力驅動的蝕刻劑流出的示例性旋轉噴淋型蝕刻劑流動系統。特別是,圖7A繪示旋轉噴淋型蝕刻劑流動系統之側視圖的剖面,且圖7B繪示旋轉噴淋型蝕刻劑流動系統的俯視圖。
參閱圖7A,此種系統包含前側蓋701、偏心旋轉的蝕刻劑噴淋臂702、蝕刻劑入口703以及重力區動的蝕刻劑出口704。此外,圖7A繪示蝕刻劑705以及固定且垂直的製程基底706。
圖7B還繪示了偏心旋轉的蝕刻劑噴淋臂703與製程基底706以及繪示了噴淋臂702的旋轉方向(見707)。
在一實施例中,使用具有滑動蝕刻區的系統。在一實施例中,彼此靠近放置之入口噴嘴與出口噴嘴的群組用於創造本地循環蝕刻劑區域。在一實施例中,此噴嘴群組掃過基底以蝕刻整個基底,如圖8所示。
圖8繪示根據本案一實施例之具有滑動蝕刻區的示例性設計。
如圖8所示,製程基底801是固定且水平的。此外,圖8的設計包含連同蝕刻劑803、蝕刻劑入口804與蝕刻劑出口805的滑動蝕刻區802。此外,圖8繪示了前側蓋806以及入口與出口的可掃描群組807。
在一實施例中,幾何元件,例如檔板與鰭片被放置在蝕刻劑腔中以確保期望的流體流動。在一實施例中,此些是與前側腔一體製造。在一實施例中,基於計算流體動力學(Computational Fluid Dynamics,CFD)的流體模擬以及設計優化技術被用於設計此些幾何元件。
在一實施例中,在主動蝕刻劑攪拌方面,腔內移動組件用於攪拌蝕刻劑並且防止停滯區。在一實施例中,此些組件是呈交叉臂的形式。在另一實施例中,此些組件是具有不同旋轉軸心之臂的群組。在另一實施例中,移動組件是蝕刻劑入口部與蝕刻劑出口部。在另一實施例中,移動組件具有幾何元件,例如檔板與鰭片。在一實施例中,此些組件的致動機制是間接的(例如,使用旋轉的外部磁場來驅動具有整合式磁鐵的組件),或直接的(例如,使用直接驅動馬達),或使用流體反作用力與脈衝力(以一種類似反應與脈衝式渦輪機的方式)。具有流體致動的雙軸雙臂組件如圖9A至圖9B所示。
圖9A與圖9B繪示根據本案一實施例之用於蝕刻劑攪拌的示例性雙軸雙臂組件。特別是,圖9A繪示組件之 側視圖的剖面,而圖9B繪示組件的俯視圖。
如圖9A所示,此種組件包含前側蓋901、製程基底902、反向旋轉的蝕刻劑攪拌臂903、蝕刻劑904與蝕刻劑出口905。
參閱圖9B,圖9B繪示了包含製程晶圓902與蝕刻劑入口噴嘴906的組件的俯視圖。
在一實施例中,在蝕刻腔中循環的蝕刻劑(例如,蝕刻劑904)被混合且儲存在混合腔中。在一實施例中,混合腔被放置在離蝕刻腔一定距離之處,並且混合腔是使用AE2兼容管連接到蝕刻腔。在一實施例中,混合腔具有蝕刻劑狀態的各種監測器,例如濃度監測器、流量監測器、溫度監測器、雜質/沉澱物/顆粒監測器、壓力監測器等。在一實施例中,具有改變蝕刻劑狀態的各種致動機構,例如用於蝕刻劑前驅物以動態地改變蝕刻劑濃度的蝕刻劑入口(例如,蝕刻劑入口噴嘴906)以及改變蝕刻劑溫度的加熱組件。混合腔與製程腔之間以及混合腔與前驅物儲存室之間的蝕刻劑流動可以使用AE2兼容幫浦來進行處理。
在一實施例中,蝕刻劑前驅物是儲存在容器中,例如混合腔,其中前驅物儲存容器具有前驅物狀態監測器,例如濃度監測器、溫度監測器、雜質/沉澱物監測器、壓力監測器等,以及前驅物狀態致動機構,例如動態地改變前驅物濃度的入口以及改變前驅物溫度的加熱組件。
圖10繪示根據本案一實施例之具有製程腔、混合腔、前驅物儲存室、幫浦組件以及蝕刻劑與前驅物狀態感測與致動機構的整個蝕刻劑流動組件1000。
如圖10所示,組件1000包含製程晶圓1001、前側蓋1002、蝕刻劑入口1003與蝕刻劑出口1004。此外,組件1000包含具有與催化劑影響化學蝕刻(catalyst influenced chemical etching,CICE)兼容之蝕刻劑幫浦1006的混合腔1005、蝕刻劑狀態感測器1007以及混合腔蝕刻劑的熱致動(見元件1008)。另外,組件1000包含帶有前驅物狀態感測器1010的前驅物儲存單元1009A-1009N,其中N是正整數。
在脫氣方面,AE2製程的一或多種產物可以是氣體形式。在AE2期間大量產生的氣體會導致氣泡形成在反應位置附近的蝕刻劑中,此會導致蝕刻劑濃度不均勻、降低例如,透過蝕刻劑進行原位計量的可見度,以及可能堵塞或降低蝕刻劑流動系統的效率。注意的是,不需要完全消除氣泡,但需要將氣泡控制到不妨礙原位計量、蝕刻劑流動與反應均勻性的程度。可用於降低AE2製程中之氣泡形成的數種方法如下文所述。
在一實施例中,某些AE2方案導致高多的氣泡產生。因此,在導致放出較少氣體的方案下操作可降低氣泡問題。
在一實施例中,較低的蝕刻速率也可以降低氣泡產生的速率。在一實施例中,較低的蝕刻速率可以透過,例如降低蝕刻劑濃度或限速蝕刻劑前驅物的濃度來實現。此也可以透過降低蝕刻劑的溫度來實現。
在一實施例中,增加蝕刻壓力會增加氣體在蝕刻劑中的溶解度,因而減少氣泡形成。
在一實施例中,降低蝕刻劑的溫度會增加氣體在蝕刻劑中的溶解度,因而可用於減少氣泡形成。
在一實施例中,膜脫氣—基於PTFE的AE2兼容氣液分離膜位在製程腔壁上或蝕刻路徑的其他地方,其可用於選擇性地從蝕刻劑中萃取氣體並減少氣泡形成。
在一實施例中,音波震盪(sonication)用於分離附著在製程基底表面上的氣泡,並且將它們驅逐到大量的蝕刻劑中。音波震盪可以使用,例如集成在前蓋及/或背蓋中的壓電元件來實現。
在一實施例中,真空式脫氣腔是作為混合腔的一部分或單獨地被使用,以降低蝕刻劑溶液中的溶解氣體量。此種策略在下文中結合圖11進行討論。
在一實施例中,操作工具以使得製程基底在AE2製程期間中是垂直的,如此一來,氣泡是抵抗重力向上移動到工具的頂部而不是在水平配置中移動到工具的前方而阻礙到潛在的原位計量的視野。
在一實施例中,使用具有刀口的可移動臂釋放黏附在製程基底之表面的氣泡,其中具有刀口的可移動臂在製程基底的表面上移動,同時維持基底與刀口之間的小間隙(毫米級或更低)。
現在參閱圖11,圖11繪示根據本案一實施例的真空式脫氣策略。
如圖11所示,在製程晶圓1102之蝕刻溶液中的H 2氣泡1101經由蝕刻劑出口1103進入到脫氣腔1104中,且隨後經由蝕刻劑入口1105輸入到蝕刻劑溶液。此外,如圖11所示,存在用於H 2(見元件1106)的真空或部分真空。另外,圖11繪示了前側蓋1107。
在一實施例中,在反應淬滅與反應啟動方面,為了均勻蝕刻整個基底,需要小心地管理在AE2製程之啟動與停止中的空間變異。舉例而言,對於濕式製程,如果在製程基底的一端注入反應淬滅液(例如,水),並且淬滅前沿以每分鐘1微米的樣本蝕刻速率覆蓋整個基底需要5秒,此將導致整個基底上的蝕刻高度變異約為80奈米。同樣地,如果在AE2製程之啟動時於製程基底的一端注入蝕刻劑,並且蝕刻劑前沿以每分鐘1微米的樣本蝕刻速率覆蓋整個基底需要5秒,此將導致整個基底的蝕刻高度變異約為80奈米。可以使用數種方法來降低在反應淬滅與啟動期間的蝕刻高度變異。
在降低蝕刻速率的方面,在反應淬滅之前或在整個蝕刻中降低蝕刻速率可以降低蝕刻高度變異。在一實施例中,藉由改變(混合腔中)蝕刻劑前驅物的相對濃度或藉由降低基底的溫度(其將導致蝕刻速率相應下降)來實現蝕刻速率的降低。
在一實施例中,在從基底之正面注入蝕刻劑與淬滅液的方面,藉由從基底之正面引入蝕刻劑與淬滅液來減少蝕刻劑的流動路徑。此將降低雜散蝕刻劑留在製程腔中的時間,從而降低反應淬滅時間以及相應的蝕刻不均勻性。同樣地,此將降低在蝕刻啟動期間中引入蝕刻劑所花費的時間。前述與蝕刻循環相關的諸多方法可用於蝕刻劑與淬滅液的正向引入。舉例而言,可使用圖6中所示的設計。
在蝕刻劑冷凍的方面,可以使用例如,珀耳帖元件(peltier elements)來冷卻製程基底,使得緊鄰於基底的蝕刻劑之薄層凍結(此也將停止AE2反應)。大量未凍結的蝕刻劑可隨後被反應淬滅液,例如水替換掉,而緊鄰於基底的蝕刻劑仍處於凍結狀態。在一實施例中,隨後加熱凍結的蝕刻劑之薄層,以使其熔化並迅速消散到緊鄰它的大量淬滅液之中。此種製程的說明在圖12A至圖12D中示出。
圖12A至圖12D繪示根據本案一實施例的示例性蝕刻劑冷凍式反應淬滅。
特別是,圖12A繪示晶圓卡盤1201、製程晶圓1202、在次冷凍溫度的熱電致冷器(thermoelectric cooler,TEC)1203、前側蓋1204、蝕刻劑入口1205、蝕刻劑出口1206以及密封件1207。
如圖12B所示,圖12B繪示凍結的蝕刻劑薄層(見元件1208)。圖12C繪示了以淬滅液(見元件1209)替換掉大量的蝕刻劑溶液。圖12D繪示了熔化蝕刻劑薄層(見元件1210)並且TEC開始加熱(見元件1211)。
替代地,在凍結製程之後,以空氣替換掉大量的液體,其中空氣隨後被抽空,使得冷凍的蝕刻劑薄層昇華。在一實施例中,藉由將整個蝕刻腔放進更大的AE2兼容真空腔中,或者連接AE2兼容真空幫浦,例如氣動隔膜幫浦(bellow pump)至反應腔本身並抽取出填充的空氣來實現所述抽空。此種實施例的說明在圖13A至圖13D中示出。
圖13A至圖13D繪示根據本案一實施例的蝕刻劑冷凍與昇華式反應淬滅。
如圖13A所示,圖13A繪示晶圓卡盤1301、製程晶圓1302、在次冷凍溫度的熱電致冷器(thermoelectric cooler,TEC)1303、前側蓋1304、蝕刻劑入口1305、蝕刻劑出口1306以及密封件1307。此外,圖13A繪示了大的真空腔1308。
圖13B繪示冷凍的蝕刻劑薄層1309。圖13C繪示了以空氣(見元件1310)替換掉大量的蝕刻劑溶液。圖13D繪示了昇華蝕刻劑薄層(見元件1311),並且TEC開始加熱(見元件1312)。此外,圖13D繪示了啟動真空(見元件1313)。
在熱補償的方面,熱致動器的陣列可用於主動地補償在蝕刻啟動與淬滅期間中所造成的任何蝕刻速率變異。數種方法可用於實現熱致動。圖14中提供了用於熱補償的示例性設計。
圖14繪示根據本案一實施例之在反應淬滅與啟動期間用於熱補償的示例性設計。
如圖14所示,圖14繪示晶圓卡盤1401、製程晶圓1402、前側蓋1403、蝕刻劑入口1404與蝕刻劑出口1405。此外,如圖14所示,圖14繪示了獨立式可控熱電致冷器網格1406。
在真空中的蝕刻劑蒸發之方面,例如當使用薄片的反應物時,可使用真空快速地蒸發反應腔中的全部蝕刻劑。所述抽空可藉由將整個蝕刻腔放進更大的AE2兼容真空腔中,或者連接AE2兼容真空幫浦,例如氣動隔膜幫浦(bellow pump)至反應腔本身並抽取出填充的空氣來實現。
在一實施例中,在製程變異控制之方面,蝕刻劑與蝕刻產物之濃度的空間變異、本地蝕刻劑流動速率、蝕刻劑溫度、圖案密度變異以及基底邊緣效應可導致蝕刻品質(孔隙度、壁面粗糙度、壁角)的變異以及蝕刻速率的變異。在一實施例中,反饋式系統用於控制製程變異。在另一實施例中,使用純前饋方法,其中可能的製程變異是提前已知的,且以開迴路方式使用本地致動方法(例如熱致動)來校正已知的製程變異。在另一實施例中,使用混合方法,其中已知的製程變異趨勢與實時製程變異測量相結合以控制製程致動器。
在計量方面,AE2製程的關鍵態樣是蝕刻深度均勻性與控制。可使用諸多破壞性與非破壞性方法來量測並特性化蝕刻深度以及在AE2期間所形成的任何多孔層,例如掃描式電子顯微術(Scanning Electron Microscopy,SEM)、穿透式電子顯微術(Transmission Electron Microscopy,TEM)、原子力顯微術(Atomic Force Microscopy,AFM)、光學散射量測法(Optical Scatterometry)、橢圓偏光術、小角度X射線散射量測法(small angle X-ray scatterometry)、離焦掃描光學顯微術(through focus Scanning Optical Microscopy,TSOM)、氦離子顯微術、質子顯微術等。
對於蝕刻輪廓的原位量測,在一實施例中,AE2工具設計確保可以使用一或多種波長的光來成像基底的正面以及背面。在一實施例中,AE2工具的設計考慮了光穿過組件與電解質透射至晶圓之背面上以創造歐姆接觸,並且透射至晶圓之正面以用於光學計量。在一實施例中,此可以藉由在製程腔的每一側上使用藍寶石窗口或使用光纖纜線來完成。藍寶石窗口及/或光纖組件可塗佈具有抗蝕刻劑材料,例如鐵氟龍或氧化鋁,同時維持基底的透明性。在一實施例中,電極可由鉑線、鉑網、具有抗蝕刻劑塗層的氧化銦錫、具有諸如碳、鑽石、氧化鋁、Cr等的抗蝕刻劑材料之選擇性塗層的摻雜矽晶圓製成。在一實施例中,抗蝕刻劑材料可經進一步摻雜以改良導電性。在一實施例中,電極的幾何形狀可經最佳化以確保均勻的電場,同時亦確保光通過,例如具有圓形環。諸如鉻塗佈的矽或薄鉻板的鏡子亦可用於將光引導至基底之頂部。在一實施例中,一或多個電極可在製程腔中之晶圓的每一側上使用。
在一實施例中,由於矽奈米結構的光學性質導致寬色彩光譜及色調變化,所以可原位使用光學計量,以在蝕刻製程期間中檢查基底。
在一實施例中,光學成像系統將用於實時量測大樣本區域上的反射率。將以具有已知光譜內容的光來照明樣本。光可為白光、彩色光、單波長的光、在窄或寬光譜帶中的光等。相機隨後可對反射此光之樣本進行成像。相機可為單色的、彩色的(RGB)、多光譜的、超光譜的等。在現代相機中發現的數百萬像素解析度使得同時觀察樣本上的數百萬個點成為可能。視頻幀率可實現原位實時量測(in-situ real-time measurement)。每一影像可除以參照影像,以計算樣本的反射率影像或按原樣使用。影像處理演算法將確定製程完成並收集關於樣本內及樣本間的CICE之均勻性的資料。
來自晶圓背面的可見波長之光不能偵測AE2期間的蝕刻深度。可改成使用紅外線(IR)光譜法,因為它是一種快速、非破壞性且原位的蝕刻狀態偵測方法。在蝕刻期間使用IR計量自晶圓之背面所獲取的影像以及自晶圓之正面所獲取的可見光影像可用於在蝕刻之前、期間及之後來創造蝕刻正面與基底的3D影像。此可用於原位偵測製程偏差與蝕刻的進度。在一實施例中,以規律的時間間隔獲取快照,其中時間間隔可小於一分鐘並且可低至1毫秒。以高於100  kHz拍攝的此些快照可用於實時製程控制,其中反饋是用於局部地及/或全局地調整或改良以下控制變數中的一者:電場、溫度、蝕刻劑濃度、磁場、照明、蒸氣壓等。此類快照亦可在晶圓的蝕刻結束時使用,以重建最終蝕刻基底的3D幾何形狀,其中最終蝕刻基底可包括無孔、多孔及多種材料(SiGe)等。此類資訊可用於品質控制或用於自動化製程控制,其中反饋是在晶圓至晶圓的基礎上所完成的。
在一實施例中,蝕刻率的空間變異或其代表值(例如,對應於給定蝕刻特徵高度的獨特光譜特徵)可被原位監測。此例如,可使用製程基底的原位分光光度測量法來實現。計量可以是反射式的或透射式的。在一實施例中,IR波長是在需要透射式計量的情況下使用。計量可被實時地(同步地)執行或與AE2製程非同步地執行。根據計量式反射式的或透射式的,可使用AE2兼容的透明材料來製造前側蓋及/或背側蓋。晶狀的藍寶石就是一種這樣的材料,並且可以基底形式提供。在一實施例中,維持蝕刻劑片的厚度,使得大部分的入射輻照度穿過蝕刻劑(例如,90%透射率、80%、70%、60%等)。圖15A至圖15B以及圖16繪示了兩個示例性系統。
圖15A至圖15B繪示根據本案一實施例之具有完全基底覆蓋的示例性原位計量系統1500。由於製程基底1501的前側與背側都被覆蓋,因此計量在透射模式與反射模式下均可使用。
參閱圖15A,圖15A繪示原位計量系統1500的正面與背面,其包含聚焦光學器件1502、成像器1503、藍寶石前側與背側蓋1504、光源1505、濾光器1506、背側流體入口1507、背側流體出口1508、蝕刻劑入口1509以及蝕刻劑出口1510。此外,圖15A繪示了曲率R optics的有限半徑1511以及重疊視場致能無間隙計量與致動(見元件1512)。
圖15B繪示了顯示計量系統1500之覆蓋範圍的俯視圖。
圖16繪示根據本案一實施例之具有可掃描光學器件的示例性原位計量系統1600。
如圖16所示,原位計量系統1600包含在XY載台上的成像組件1601,並且繪示了製程晶圓1602。
在一實施例中,AE2蝕刻中的空間變異或其代表值(例如,對應於給定蝕刻特徵高度的獨特光譜特徵)可被異位(ex-situ)量測。計量可以是反射式的或透射式的。在一實施例中,IR波長是在需要透射式計量的情況下使用。在一實施例中,異位計量腔被放置在緊鄰蝕刻腔的位置,以實現經處理之基底的快速轉移。在一實施例中計量系統本身不是由AE2兼容材料製造而成的,而是封閉在更大的AE2兼容腔之中。
在一實施例中,在熱致動方面,在局部溫度中的受控變異用於在製程基底蝕刻率中產生相應的變異。在一實施例中,蝕刻率的熱致動用於主動地控制蝕刻率中的空間變異。在一實施例中,熱致動是使用接觸式方案,例如熱電冷卻,或是使用非接觸式方案,例如使用可見或IR波長的DMD調製光進行加熱來實現。在一實施例中,熱致動器分佈在製程基底的整個範圍內或覆蓋製程基底的一部分,並且可選擇性地在製程基底上被掃描過。在一實施例中,從製程基底的正側、背側或兩側實施熱致動。圖14與圖17繪示了兩個這樣的示例性系統。
圖17繪示根據本案一實施例之數位微鏡裝置(Digital Micromirror Device,DMD)調變式基底熱控制的示例性設計。
如圖17所示,圖17繪示了聚焦光學器件1502、成像器1503、藍寶石前側與背側蓋1504、濾光器1506、背側流體入口1507、背側流體出口1508、蝕刻劑入口1509以及蝕刻劑出口1510。此外,圖17繪示了加上DMD組件的光源1701以及背側流體1702,其可用於設定晶圓全局溫度。
在一實施例中,電場用於在AE2製程中控制奈米孔尺寸。在一實施例中,圖案化在前側蓋與背側蓋上的電極陣列用於產生本地電場以控制製程基底中的本地孔洞參數。與AE2兼容的電極材料是可用的。用於電場控制的示例性系統顯示在圖18中。
圖18繪示根據本案一實施例之用於電場控制之AE2工具的剖面。
如圖18所示,圖18繪示了製程晶圓1801、前側透明電極1802、背側透明電極1803、以及背側照明1804。
在一實施例中,調整了圖案密度變異。在一實施例中,使用上述的多種方法來解決圖案密度變異以及其在蝕刻率與品質上的潛在影響。在一實施例中,在圖案密度較高的區域中使用更密集的製程致動器陣列。在另一實施例中,能夠本地改變蝕刻劑濃度的滑動蝕刻區用於解決圖案密度的變異。
在一實施例中,在基底邊緣效應方面,製程基底邊緣附近的流體灣液面、蝕刻劑濃度、電場等的突然變化可能導致邊緣附近的蝕刻特徵中出現大的變異。在一實施例中,蝕刻特徵中的此種變異是透過基底邊緣排除區的仔細設計來解決,使得大部分的蝕刻變異出現在排除區之外。在一實施例中,前側蓋密封件接觸於基底周圍的外部,如圖19A至圖19B所示。在另一實施例中,在沒有周圍密封件位在基底前側之下,使用旋轉噴淋型系統。
圖19A至圖19B繪示根據本案一實施例的示例性邊緣接觸設計,其顯示了在製程基底之外邊緣上進行接觸的前側密封件。
參閱圖19A,圖19A繪示晶圓卡盤1901、製程晶圓1902以及前側蓋1903。圖19B繪示製程基底上之裝置區(見元件1904)的放大視圖。圖19B還繪示了邊緣排除區1905、在製程晶圓1902之外邊緣上的前側密封接觸1906以及高蝕刻變異區1907。如圖19B所示,與關聯於基底邊緣的高蝕刻變異區1907相比,邊緣排除區1905更大。
在一實施例中,使用由AE2兼容材料,例如氟聚合物或藍寶石製成的卡盤來創造背側接觸。在一實施例中,卡盤具有與基底背側接觸的針型接觸、環型接觸或平坦分布接觸(flat areal contact)。在一實施例中,使用利用真空或利用靜電附接至基底邊緣的夾具將基底固定在背側卡盤上。在一實施例中,製程基底與背側卡盤之間的空間(如果存在)充滿了流體,其中流體可為蝕刻劑或普通電解質。在一實施例中,背側流體用於促進在AE2製程期間中的電場控制。背側流體可為靜止的或循環的。在一實施例中,(前方所述的)前側蝕刻劑流動策略也用於背側流體流動。圖20與圖21顯示用於背側接觸的示例性設計。
圖20繪示根據本案一實施例之具有背側流體的示例性背側接觸。
如圖20所示,圖20繪示製程晶圓2001、晶圓卡盤(針型)2002、卡盤針2003以及背側接觸流體2004。在一實施例中,背側接觸流體2004用於實現對製程基底的電場控制與全局溫度控制。
圖21繪示根據本案一實施例使用真空卡盤2101的示例性背側接觸。
在一實施例中,電場用於在AE2製程期間中調節奈米孔洞尺寸。在一實施例中,基底包含閥金屬。在一實施例中,基底是電化學電池中陽極的一部分。在一實施例中,使用導電夾、導電流體、導電線及/或導電針將基底連接至陽極。
在一實施例中,圖案化在前側蓋與背側蓋上的電極用於產生電場,以控制製程基底中的孔洞參數。注意的是,對平坦基底上之AE2兼容的薄電極層進行圖案化是可用的。另外注意的是,為了透過製程基底創造用於建立電流的歐姆接觸,可以使用背側照明。用於電場控制的示例性系統顯示在圖18中。
在一實施例中,基底任一側上的電解質不同於蝕刻劑。在基底的前側上,電解質與AE2蝕刻劑相同。基底之背側上的電解質可包含在基底與電極之間提供高導電率路徑的化學物質。在一實施例中,電極為陽極。
在一實施例中,前側製程腔、製程基底與背側基底蓋之間的密封件是AE2兼容的。在一實施例中,密封件也是CMOS兼容的。在一實施例中,密封件也是一體製造在前側製程蓋與背側製程蓋中。為了密封旋轉組件,可以使用旋轉密封件(一體製造或其他方式)。
在一實施例中,使用金屬汙染物清潔溶液,例如硝酸間歇清潔製程腔是用於移除可能積聚在製程腔上的金屬雜質。在一實施例中,工具維護排程劃分成高間歇頻率金屬清潔以及涉及整個工具拆卸與清潔的低頻率維護。
注意的是,蝕刻劑的相態可為氣體或液體。對於氣相AE2,電場創建與控制是使用大氣壓電漿來實現的。
在一實施例中,AE2工具包含用於前側蝕刻劑輸送的旋轉噴淋型系統、在背側上的真空卡盤、前側蝕刻劑的全局(單一設定點)溫度控制、基底背側上之局部接觸式或非接觸式溫度控制、流動式或冷凍式反應淬滅、異位反射散射測量,以及選擇性原位IR式透射散射測量。
在另一實施例中,AE2工具包含用於前側蝕刻劑的厚流體片、背側上的厚流體片、前側蝕刻劑的全局(單一設定點)溫度控制、流動式反應淬滅、異位反射散射測量,以及類鑽石塗層(diamond-like coating,DLC)式電場創建。如本文所用,「厚流體片」是指一厚度的流體片,所述流體片(在相關計量光譜下)具有50%或更低的透光率。
在另一實施例中,AE2工具包含用於前側蝕刻劑的厚流體片、背側上的薄流體片、前側蝕刻劑的全局(單一設定點)溫度控制、基底背側上的全局(單一設定點)或本地溫度控制、流動式反應淬滅、異位反射散射測量、選擇性原位IR式透射散射測量以及類鑽石塗層(diamond-like coating,DLC)式電場創建。如本文所用,「薄流體片」是指一厚度的流體片,所述流體片(在相關計量光譜下)具有50%或更高的透光率。
在另一實施例中,AE2工具包含用於前側蝕刻劑的厚流體片、背側上的真空卡盤、前側蝕刻劑的全局(單一設定點)溫度控制、基底背側上的全局(單一設定點)或本地溫度控制、流動式反應淬滅、異位反射散射測量以及選擇性原位IR式透射散射測量。
在另一實施例中,AE2工具包含用於前側蝕刻劑的薄流體片、背側上的厚流體片、前側上的全局(單一設定點)或本地溫度控制、基底背側上的全局(單一設定點)溫度控制、流動式反應淬滅、原位反射散射測量與選擇性異位反射散射測量以及類鑽石塗層(diamond-like coating,DLC)式電場創建。
在另一實施例中,AE2工具包含用於前側蝕刻劑的薄流體片、背側上的薄流體片、前側上的全局(單一設定點)或本地溫度控制、基底背側上的全局(單一設定點)或本地溫度控制、流動式反應淬滅、原位反射散射測量、選擇性原位IR式透射散射測量、選擇性異位反射散射測量以及DLC式電場創建。
在另一實施例中,AE2工具包含用於前側蝕刻劑的薄流體片、背側上的真空卡盤、前側上的全局(單一設定點)或本地溫度控制、基底背側上的全局(單一設定點)或本地溫度控制、流動式或冷凍式反應淬滅、原位反射散射測量、選擇性原位IR式透射散射測量、選擇性異位反射散射測量以及DLC式電場創建。
在另一實施例中,AE2工具包含前側上的氣相蝕刻劑、背側上的厚流體片、前側上的全局(單一設定點)或本地溫度控制、基底背側上的全局(單一設定點)溫度控制、原位反射散射測量、選擇性異位反射散射測量、選擇性電漿以及DLC式電場創建。
在另一實施例中,AE2工具包含前側上的氣相蝕刻劑、背側上的薄流體片、前側上的全局(單一設定點)或本地溫度控制、基底背側上的全局(單一設定點)或本地溫度控制、原位反射散射測量、選擇性原位IR式透射散射測量、選擇性異位反射散射測量、選擇性電漿以及DLC式電場創建。
在另一實施例中,AE2工具包含前側上的氣相蝕刻劑、背側上的真空卡盤、前側上的全局(單一設定點)或本地溫度控制、基底背側上的全局(單一設定點)或本地溫度控制、原位反射散射測量、選擇性原位IR式透射散射測量以及選擇性異位反射散射測量。
在另一實施例中,AE2工具包含用於前側蝕刻劑的可變厚度流體片。在另一實施例中,AE2工具包含背側上的可變厚度流體片。在一實施例中,使用可變形的前側與背側蓋組件,例如使用可變形的聚合物伸縮管(bellows)及/或隔膜(diaphragms)來實施可變厚度流體片。
在一實施例中,工具用於在閥金屬中創造高深寬比的孔洞,其中具有種子圖案的閥金屬層在成孔方案(硬陽極化方案及/或溫和陽極化方案)中被陽極化。在一實施例中,使用一或多個致動器來調節孔洞參數(其可包含孔洞密度、孔洞直徑、孔洞深度、孔洞間距、壁厚度等)。在一實施例中,使用一或多個量測裝置量測孔洞參數。在一實施例中,致動器是熱致動器、電化學致動器、流體控制裝置及/或濃度控制裝置。在一實施例中,量測裝置是分光儀及/或光譜儀。在一實施例中,量測裝置是反射率式及/或透射率式裝置。
下文描述了用於先前所述的原子式精確電化學蝕刻(Atomically precise Electrochemical Etching,AE2)製程的新製程與應用。在一實施例中,AE2用於在包含矽、氧化鋁等的各種基底中創造任意的高深寬比奈米結構。在一實施例中,基底材料是以下群組中之一或其組合:矽、含矽材料、氧化矽、旋塗氧化物、碳化矽、氮化矽、多晶矽、非晶矽、氧化鋁、金屬、聚合物、旋塗聚合物、碳、含碳材料、類金屬、硼、碳化硼以及氮化硼。在一實施例中,此類高深寬比奈米結構(包含多層高深寬比奈米結構)的高度大於以下群組中之一:10 µm、20 µm、50 µm、100 µm、200 µm以及400 µm。在一實施例中,此類高深寬比奈米結構(包含多層高深寬比奈米結構)的特徵間距小於以下群組中之一:500 nm、200 nm、100 nm、50 nm、20 nm、10 nm以及5 nm。在一實施例中,多層高深寬比奈米結構的最終深寬比大於以下群組中之一:10:1、20:1、50:1、100:1、200:1、500:1、1000:1、2000:1、5000:1、10000:1、50000:1以及100000:1。
在一實施例中,AE2包含使用CICE製程(也稱為MACE、MacEtch以及AToMM)在矽(以及其他基底)中創造此種奈米結構的方法。AE2也可以用於在閥金屬氧化物(閥金屬包含Al、Mg、Zr、Nb、Sn、Hf、Ta、W、Bi等)。
在一實施例中,用於創造陽極閥金屬氧化物(Anodic Valve-metal Oxides,AVOs)(其中,陽極氧化鋁(Anodic Aluminum Oxide,AAO)是其中一種)的蝕刻劑化學物質包含以下群組中的一或多種酸:硫酸、草酸、硒酸、酒石酸、丙二酸、膦酸(phosphonic acid)、磷酸、膦醯醋酸(phosphonoacetic acid)、蘋果酸以及依替膦酸(etidronic acid)。
以下討論非常高深寬比奈米線製造。
在一實施例中,製造了具有極高深寬比的奈米線。在一實施例中,奈米線包含功能性材料,功能性材料包含硼、氮化硼、氧化硼、鋰、矽等。Ruhl等人在2022年arXiv號為2202.03170之題為「非熱雷射驅動之混合燃料核聚變反應器概念」中提供了此種高深寬比奈米線的示例,其內容以引用的方式併入本文。高深寬比奈米線的另一示例是用於固態電池應用。在一實施例中,奈米線的直徑是小於500 nm、小於200 nm、小於100 nm、小於50 nm、小於30 nm、小於20 nm或小於10 nm。在一實施例中,奈米線的間距是小於2 µm、小於1 µm、小於800 µm、小於500 nm、小於300 nm、小於200 nm或小於100 nm。在一實施例中,奈米線的高度超過1 µm、超過2 µm、超過5 µm、超過10 µm、超過20 µm、超過30 µm、超過50 µm、超過70 µm、超過100 µm、超過120 µm、超過150 µm、超過200 µm或超過300 µm。在一實施例中,奈米線還包含輔助材料以用作功能性材料的封裝膠(例如,防止化學破壞),或用作電荷導體。示例性輔助材料包含碳、氮化硼、摻雜氮化硼、p摻雜氮化硼、多晶矽、摻雜多晶矽、鑽石、摻雜鑽石、硼摻雜鑽石、氮化矽、碳化矽、二氧化矽、聚合物、氟聚合物、氮化鈦等。在一實施例中,輔助材料被選擇性地視成是功能性材料的一部分。
在一實施例中,對功能性材料執行RIE式蝕刻以直接形成奈米線,如圖22、圖23A至圖23C以及圖24A至圖24C所示。圖22係根據本案一實施例之用於形成功能性材料之奈米線之方法2200的流程圖。圖23A至圖23C描繪根據本案一實施例之使用圖22中所述步驟形成功能性材料之奈米線的剖視圖。圖24A至圖24C描繪根據本案一實施例之在形成功能性材料之奈米線之製程中功能性材料的剖視圖。
結合圖23A至圖23C以及圖24A至圖24C參閱圖22,在步驟2201中,沉積功能性材料2301在基底2302(例如,矽)上,如圖23A、圖24A所示。在一實施例中,使用化學氣相沉積來沉積功能性材料2301。
在步驟2202中,硬遮罩沉積在功能性材料2301之上並且隨後被圖案化,例如透過奈米壓印微影(nanoimprint lithography,NIL)或連同除殘膠蝕刻(descum etch)且圖案轉移至硬遮罩的任何其他圖案化技術。
在步驟2203中,執行功能性材料2301的電漿或濕蝕刻,如圖23B、圖24B所示。
在步驟2204中,執行基底2302的選擇性蝕刻(例如,深反應離子蝕刻(deep reactive ion etching,DRIE)),如圖23C、圖24C所示。
在另一實施例中,使用核殼(core-shell)方法,其中執行矽奈米線的蝕刻(使用MACE或電漿蝕刻),且接續塗覆功能性材料在矽核心周圍(例如,使用CVD或ALD)。矽的中央核心可維持嵌入在最終功能性材料奈米線中,或者替代地,核心可被選擇性地蝕刻並且隨後以相同或不同的功能性材料填充,如下文結合圖25、圖26A至圖26C以及圖27A至圖27C所述。
圖25係根據本案一實施例之用於形成功能性材料(例如,硼)之奈米線之方法2500的流程圖。圖26A至圖26C描繪根據本案一實施例之使用圖25之方法所產生之最終結構的各種版本。圖27A至圖27C分別根據本案一實施例描繪圖26A至圖26C之最終結構的剖視圖。
參閱圖25,在步驟2501中,圖案化基底2601,例如透過連同選擇性除殘膠蝕刻的奈米壓印微影。
在步驟2502中,執行蝕刻到基底2601的底部中,例如透過金屬輔助化學蝕刻(metal assisted chemical etching,MACE)。
在步驟2503中,在圖案化的基底2601上執行功能性材料(功能性材料1)2602的共形沉積,從而形成奈米結構2604,例如透過化學氣相沉積。
在步驟2504中,執行基底2601之底部的貫穿蝕刻,例如透過DRIE。
在步驟2505中,基底2601的核心被選擇性地移除,例如藉由使用蝕刻選擇性在功能性材料2602上之基底2601之底部的蝕刻製程,其中對於矽基材料可以是氫氧化鉀基(KOH-based)、二氟化氙基(XeF 2-based)、氟基(fluorine-based)電漿蝕刻等,其中所產生的最終結構顯示在圖26A中。
參閱圖26A,最終結構包含在功能性材料2602下之底部基底2601的薄層,其中基底2601之底部的貫穿蝕刻被定時,以在功能性材料2602之下留下基底2601之底部的薄層。圖27A描繪圖26A之最終結構的剖視圖。
圖26B繪示了最終結構,其包含具有功能性材料2602之外塗層的基底2601之底部材料的奈米結構核心。圖27B描繪圖26B之最終結構的剖視圖。
再次參閱步驟2505,在一實施例中,在選擇性地移除掉核心之後,沉積功能性材料(功能性材料2)2603,例如透過CVD、ALD等,如圖26C與圖27C所示。在一實施例中,功能性材料(功能性材料2)2603的材料相同於功能性材料(功能性材料1)2602的材料。
在一實施例中,形成在所得結構中的如圖27A至圖27C所描繪之功能性材料2601的奈米線2604是靜電式奈米線。
在一實施例中,功能性材料奈米線2604是以迭代方式形成,其中第(N+1)層奈米線是形成/組裝在第N層奈米線之上,其中N為自然數。在一實施例中,N大於以下群組中之一:2、5、10、20、50以及100。在一實施例中,第(N+1)層中基底材料的圖案化包含以下群組中的一或多種:奈米壓印微影、光學微影、電子束微影、干涉微影、自對準奈米圖形化技術、奈米球微影以及位移泰伯微影(displacement talbot lithography)。在一實施例中,第(N+1)層中基底材料的蝕刻包含以下群組中之一或多種:MACE、金(Au)MACE、釕(Ru)MACE、鉑(Pt)MACE、氣相MACE、液相MACE、反應離子蝕刻以及深反應離子蝕刻。
在一實施例中,形成在功能性材料(例如,功能性材料2602)中的高深寬比奈米線2604具有橫向支撐。在一實施例中,以功能性材料(例如,功能性材料2602),例如硼填充奈米孔洞。在一實施例中,硬質陽極氧化層被選擇性地移除,留下由氧化鋁的橫向連續層所支撐的硼奈米線2604。在一實施例中,硬質陽極氧化層被選擇性地移除,留下由氧化鋁的橫向繫鏈(tethers)所支撐的硼奈米線2604。
現在參閱圖28,圖28係根據本案一實施例之用於形成功能材料之奈米線之方法2800的流程圖。
在步驟2801中,底部基底被選擇性地塗覆助黏劑(例如,鈦),隨後是高導電性電極材料(例如,金),並且選擇性地接續的是合適的閥金屬(例如,不同於鋁,例如鎢)。
在步驟2802中,沉積鋁在步驟2801之結構的頂面上,例如透過CVD、ALD、電子束沉積、電極沉積、化學沉積等,及/或接合鋁基底(例如,鋁箔)至起始基底,例如透過共價鍵結、陽極接合、共晶接合等。
在步驟2803中,選擇性地執行鋁表面的電拋光,例如使用過氯酸溶液(perchloric acid solution),以改善用於陽極氧化步驟的表面粗糙度。
在步驟2804中,圖案化(例如,使用奈米壓印微影)並且選擇性地執行除殘膠蝕刻以及使用鋁蝕刻將圖案轉印至鋁。
在步驟2805中,使用鋁陽極氧化,例如透過使用選擇性之高導電率電極來創造深孔洞。在一實施例中,所述陽極氧化是單步驟陽極氧化。在另一實施例中,所述陽極氧化是兩步驟陽極氧化,其中第一個陽極氧化是使用合適的蝕刻劑,例如磷酸將其移除以創造有缺陷的孔洞,隨後第二個陽極氧化是使用在第一個陽極氧化後所創造之排列良好的扇形開孔(scallops)來創造結構良好的深孔洞。陽極氧化也可以用脈衝方式來執行,以創造硬的與溫和的陽極氧化區域。
在步驟2806中,執行底部材料的貫穿蝕刻,例如透過DRIE。
在步驟2807中,從底部移除鈦與金以及任何殘留的鋁與AAO阻擋層,例如透過使用稀磷酸。
在步驟2808中,使用合適的稀釋蝕刻劑(例如,稀磷酸)選擇性地等向擴展AAO層中的孔洞。替代地且選擇性地,使用CVD、ALD等以犧牲材料(例如,氧化鋁、二氧化矽、碳等)之合適的共形塗佈來收縮AAO層中的孔洞。
如果使用脈衝陽極氧化技術,在一實施例中,使用合適的蝕刻劑(例如,磷酸、HF、含氟電漿等)移除硬陽極氧化的AAO,隨後使用共形沉積方法(例如,CVD、ALD等)沉積橫向繫鏈材料(例如,碳、二氧化矽等)至橫向孔洞被密封的程度,接續使用CVD、ALD等沉積功能性材料到奈米孔洞中,然後使用合適的蝕刻技術(例如,原子層蝕刻、選擇性原子層蝕刻、電漿蝕刻等)選擇性地縮小奈米結構尺寸及/或繫鏈尺寸。
如果使用脈衝陽極氧化技術,在另一實施例中,使用合適的蝕刻劑(例如,磷酸、HF、含氟電漿等)移除硬陽極氧化的AAO,留下溫和陽極氧化之氧化鋁的連續橫向繫鏈,然後使用合適的蝕刻技術(例如,原子層蝕刻、選擇性原子層蝕刻、電漿蝕刻等)選擇性地縮小奈米結構尺寸及/或繫鏈尺寸。
如果不使用脈衝陽極氧化技術,在一實施例中,使用CVD、ALD等沉積功能性材料到奈米孔洞中,然後使用合適的蝕刻劑(例如,磷酸)移除所有氧化鋁,同時實施合適的塌陷緩解技術。一旦氧化鋁被完全移除掉,就會持續執行塌陷緩解,直到功能性材料實現其預期功能。替代地,允許功能性材料奈米結構塌陷,並且在需要時使用合適的塌陷預防方法(例如,奈米線充電方法)使其不塌陷。
圖29A繪示根據本案一實施例之在不使用脈衝陽極氧化技術時使用圖28之方法的最終結構。如圖29A所示,圖29A突顯了感興趣區域2901以及繪示了在感興趣區域2901之外圍上的殘留氧化鋁2902。
圖29B繪示根據本案一實施例之在使用脈衝陽極氧化技術時使用圖28之方法的最終結構。如圖29B所示,使用了橫向繫鏈2903。
下文討論非常高深寬比奈米結構的塌陷管理。
高深寬比奈米結構通常需要塌陷管理方法以確保製造的奈米結構在操作期間中保持獨立。潛在的塌陷模式可包含橫向塌陷(其中,相鄰奈米結構之間的黏著力導致它們塌陷),或地面塌陷(其中,奈米結構與奈米結構的底部之間的黏著力導致它們塌陷)。在一實施例中,在乾奈米結構上(例如,在使用諸如電將蝕刻、氣相MACE、氣液固成長等技術的乾製程期間)執行塌陷管理(其可包含塌陷預防以及不塌陷的塌陷奈米結構)。在一實施例中,在濕奈米結構上(例如,在奈米結構的濕製程期間)執行塌陷管理(其可包含塌陷預防以及不塌陷的塌陷奈米結構)。在高真空(100毫帕或以下)中執行塌陷管理(其可包含塌陷預防以及不塌陷的塌陷奈米結構)。在低真空(100毫帕或以上)中執行塌陷管理(其可包含塌陷預防以及不塌陷的塌陷奈米結構)。在大氣壓下執行塌陷管理(其可包含塌陷預防以及不塌陷的塌陷奈米結構)。在一實施例中,奈米結構由奈米線組成。
在一實施例中,藉由用低表面能塗層塗覆奈米結構之表面以降低黏著力(在橫向塌陷與地面塌陷的情況下)來緩解奈米結構塌陷。示例性低表面能塗層(例如,低於20 mN/m表面張力,或低於15 mN/m,或低於10 mN/m)包含氟聚合物(C xF yH z其中 x, y ∈ [1, inf) 且 z ∈ [0, inf))。
在一實施例中,藉由用相同極性的電荷對充電奈米結構充電來緩解奈米結構塌陷。在一實施例中,使用連接到奈米結構的單獨裝置,或替代地使用合適的積體裝置(例如,電容、積體電容、積體金屬絕緣體金屬(metal insulator metal,MIM)電容、電池或積體電池)來進行所述充電。在一實施例中,執行充電,同時執行奈米線製造,並且選擇性地還在奈米結構使用期間中。在一實施例中,非導電性奈米結構塗覆了薄導電塗層以強化奈米結構之間的電荷再分布。下文結合圖30A至圖30B討論用於塌陷預防及/或不塌陷的塌陷奈米結構的示例性圖。
圖30A至圖30B繪示根據本案一實施例之用於塌陷預防及/或不塌陷的塌陷奈米結構。
如圖30A所示,圖30A繪示AAO中的選擇性積體電容3001。在一實施例中,其他可選的充電源包含積體電池、固態電池等。
此外,圖30A繪示了積體電容3001或其他積體充電源的一個電極3002。在一實施例中,電極3002連接到功能性材料奈米結構3003,並且選擇性地連接到塗覆薄導電層的奈米結構3003。此外,塗30A繪示了具有奈米結構3003的功能性材料3004,其已實施了塌陷預防或可選擇性地呈塌陷以及需要呈不塌陷。
在一實施例中,積體電容3001或其他積體充電源的其他電極3005連接到地或連接到導電網309及/或連接到導電且透明連續層。
在一實施例中,如果使用外部高壓源3006,一旦功能性材料3004已經實現其預期目的,就可以選擇性地設置將源3006連接至奈米結構樣本3007的電纜或連接線。新的樣本可帶有自己專用的一次性連接器,一次性連接器連接到重複圖像電源。
在一實施例中,外部高壓電源/源3006可對應到電容、超級電容、電池等。在一實施例中,電源3006的一端連接到功能性材料奈米結構3003,並且選擇性地連接到塗覆薄導電層的奈米結構3003。
在一實施例中,電源3006的另一端連接到地3008或連接到導電網3009及/或連接到導電且透明連續層。
另外,圖30A繪示了選擇性的導電網3009。在一實施例中,網3009的孔洞尺寸小於或等於操作利益(operational interest)區3010的尺寸。
在一實施例中,AAO的殘留厚度足夠大以防止因殘留層之頂部與底部之間(見元件3011)的潛在高壓差所引起的電崩潰。
圖30A另外繪示了選擇性的薄連續層3012,其在紫外(ultraviolet,UV)光下是透明的,並且導電的(例如,SrNbO 3)。
圖30B繪示奈米結構3003之底部部分的剖面。如圖30B所示,在一實施例中,奈米結構具有硼的材料。在一實施例中,薄導電層3013(例如,TiN、摻雜多晶矽、摻雜鑽石)圍繞著奈米結構3003。在一實施例中,薄導電層3013與奈米結構3003被封裝層3014(例如,SiO 2、碳、BN)封裝。在一實施例中,封裝層3014對應到低表面能塗層。
圖31係根據本案一實施例之用於形成硼(或任何其他功能性材料)之奈米線之方法3100的流程圖。圖32A至圖32D描繪根據本案一實施例之使用圖31中所述之步驟形成硼(或任何其他功能性材料)之奈米線的剖視圖。
結合圖32A至圖32D參閱圖31,硼3203被沉積在矽核心3202與矽基部3201之上,如圖32A所示。圖32B繪示了所沉積之硼3203的剖面。
在步驟3102中,矽基部3201被開洞(開洞空間對應於元件3204),例如透過DRIE,如圖32C所示。
在步驟3103中,執行矽核心3202的選擇性蝕刻,例如藉由使用XeF 2以形成開放通道3205,如圖32C所示。此外,圖32C繪示了目標雷射照射區3206。在一實施例中,此種選擇性蝕刻包含以下群組中之一或多種:二氟化氙(XeF 2)蝕刻、基於氟聚合物的蝕刻(利用四氟化碳(CF 4)、三氟甲烷(CHF 3))、氣相氫氟酸、氫氟酸、電漿蝕刻、濕蝕刻、氣相蝕刻、結晶蝕刻、氫氧化鉀(KOH)蝕刻、DRIE以及RIE。
在步驟3104中,硼3207被沉積在開放通道3205中,例如透過CVD,如圖32C至圖32D所示。此外,在一實施例中,硼3207被沉積在開放空間3204中,如圖32C至圖32D所示。此沉積之結果,形成了奈米線3208。此外,在一實施例中,具有硼3207的奈米線3208可被選擇性地修整。另外,在一實施例中,選擇性的奈米線充電裝置3209用於在奈米線3208上感應電荷。
在一實施例中,感應在奈米結構(例如,奈米線3208)上的電荷使得因奈米結構之間的靜電排斥所產生的力足以克服試圖塌陷奈米結構的黏著力或將已塌陷奈米結構保持在一起的黏著力。
在一實施例中,使用入射到奈米結構(例如,奈米線3208)上的電子束來執行將電荷感應到奈米結構(例如,奈米線3208)中以用於塌陷管理目的。在另一實施例中,強光束(例如,強雷射)用於從奈米結構剝離電子。電子剝離可以是暫態的,使得在電子回流並重新平衡電荷所需的時間尺度內,暫時直立的奈米結構已經實現了它們的功能目標。奈米結構本身可以是電絕緣的,或替代地,被放置在絕緣支架上以保留轉移的電荷(透過先前提過的方法)。
作為一種選擇,可以執行橫向支撐的氟基電漿移除,同時維持奈米線之間的靜電排斥。
如果使用了核殼方法(見圖26A至圖26C、圖27A至圖27C),則中央核心(如果其保留在最終功能性材料奈米線中)可被充電以防止奈米線塌陷。
在沉積功能性材料(見圖26A至圖26C、圖27A至圖27C的核殼方法或圖28與圖29A至圖29B的基於AAO的方法)之後可以使用退火步驟,以減少/移除奈米結構中的殘餘應變(residual strain)。
下文討論AE2製程的應用。
在一實施例中,AAO奈米孔洞陣列用於製造電荷儲存元件陣列,其應用於DRAM、3D NAND快閃記憶體、超級電容以及電池製造。
金屬輔助化學蝕刻(metal assisted chemical etching,MACE)僅在單晶矽中顯示出可靠的蝕刻,而此限制其應用在少量的前端半導體裝置層。本案的原理將MACE的能力擴展到多晶矽,當與額外的製程步驟結合時,其可能創造出用於高容量電池與柔性電池的電極。如果與兼容的多晶矽沉積方法以及適應的CMOS兼容MACE一起使用,多晶矽MACE還具有圖案化半導體邏輯與記憶體裝置中所用之金屬通孔與深溝電容的潛在應用。
本案的原理提出了多晶矽的MACE濕蝕刻,其產生具有小於50 nm解析度與非等向性輪廓的結構陣列。三個所展示的結構是相比於MACE文獻之深寬比為6:1且50 nm間距的支柱、為建立多晶矽蝕刻的解析度限制之間距30 nm的柱子,以及具製造間距低至15 nm之孔洞之能力的鑽石柱陣列。
金屬輔助化學蝕刻(metal assisted chemical etching,MACE)是一種濕蝕刻技術,其特別適用於在單晶矽中蝕刻高品質高解析度(小於100 nm、小於50 nm、小於20 nm)高深寬比(H3)奈米結構。然而,對於一些大批量且成本敏感的應用,例如用於AR/VR的光學元件、新型電池與電容等,單晶矽並不是首選的基底。此些應用需要大基底上並行處理許多單元產品,以降低每個產品的平均成本。卷對卷處理非常適合此類應用。然而,在卷狀基底上生長單晶矽並不容易。在這種情況下,由於多晶矽的卷對卷沉積可以常規地完成,因此在多晶矽上執行高品質MACE(poly-MACE)的能力可呈現高度相關。
在卷狀基底上之MACE之外,poly-MACE可潛在地在非矽基底,例如玻璃(尤其是在AV/VR領域中得到應用的顯示級玻璃)上實現H3奈米結構。poly-MACE還可用於在現有的半導體裝置之上製造多晶矽式奈米結構,其可在異構整合(Heterogenous Integration,HI)與先進封裝的新興領域中得到應用。
用於多晶矽的MACE目前處於初期階段。多晶矽MACE的品質差歸因於多晶矽中晶界的存在,其會增加催化劑在蝕刻期間中以無法控制之方式進行漂移的趨勢。Kim等人在2018年《美國化學學會應用材料與界面》(ACS Applied Materials and Interfaces)第10期第10卷第9116至9122頁題為「自錨式催化劑界面實現多晶矽與單晶矽從次微米到毫米級之有序通孔陣列的形成」之中提出一種可產生直徑低至約400 nm(1微米間距)的多晶矽通孔。然而,直徑400 nm的通孔似乎具有大量的錐度,而此方法似乎不太可能完美的擴展至小於100 nm的高深寬比奈米結構。
本案的原理使得多晶矽的高品質高解析度(小於100 nm、小於50 nm、小於20 nm)高深寬比MACE成為可能,其透過以下三個製程步驟來實現:(1)平面化所沉積之多晶矽至允許高解析度圖案化的程度;(2)使用奈米微影壓印(Nano Imprint Lithography,NIL)進行高解度圖案化;以及(3)使用MACE製程進行多晶矽中NIL圖案的高深寬比蝕刻。前述三個製程步驟的組合在本文中稱為「poly-MACE」。
在一實施例中,沉積在拋光的<100>單晶矽p型晶圓上的1微米厚之未摻雜多晶矽被用於展示poly-MACE。在620 ⁰C下以低溫化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)沉積多晶矽,隨後對薄膜進行化學機械拋光(chemical mechanical polishing,CMP)。出於比較之目的,還使用<100>p型矽晶圓製造單晶MACE樣本,其中MACE是使用先前所述之使用金作為MACE催化劑的技術來執行。所有實驗都遵循以下結合圖33與圖34A至圖34D所述之相同的總製程流程。圖33係根據本案一實施例之使用MACE與金屬斷裂技術製造矽與多晶矽結構之方法3300的流程圖。圖34A至圖34D描繪根據本案一實施例之根據圖33中所描述之步驟使用MACE與金屬斷裂技術製造矽與多晶矽結構的剖視圖。
結合圖34A至圖34D參閱圖33,在步驟3301中,沉積奈米壓印抗蝕劑3401在矽3402(例如,單晶矽、矽上的多晶矽膜)上,如圖34A所示。
在步驟3302中,執行殘留層蝕刻以移除一部分的奈米壓印抗蝕劑3401,如圖34B所示。
在步驟3303中,沉積金屬在奈米壓印抗蝕劑3401上。在一實施例中,沉積鈦3403隨後是金催化劑3404在奈米壓印抗蝕劑3401上,如圖34C所示。
在步驟3304中,執行MACE以形成矽與多晶矽結構3405,如圖34D所示。
在一實施例中,使用J-FIL壓印微影(Jet-and-Flash Imprint Lithography)圖案化晶圓,其中J-FIL壓印微影是奈米微影壓印(Nano Imprint Lithography,NIL)的一種形式。在一實施例中,為此目的使用了Imprio-1100晶圓級J-FIL工具。在一實施例中,NIL模板是由商業光罩供應商(日本的大日本印刷(Dai Nippon Print,DNP))所製造的。在一實施例中,J-FIL產生殘留層,其中該殘留層是用基於氧與氬的RIE製程來移除。
在一實施例中,鈦3403與金3404的薄膜是使用真空式電子束蒸發器(CHA Industries, Inc)來沉積。金作為MACE製程的催化劑。鈦作為助黏劑以防止金在蝕刻的開始時便剝離。在一實施例中,鈦3403的厚度介於05與2 nm之間,且金3403的厚度介於10與15 nm之間。在一實施例中,鈦的沉積以0.1 Å/s的速率進行,金的沉積以0.4 Å/s的速率進行,兩者皆在約5*10 -6托的壓力下。
在一實施例中,MACE蝕刻劑包含氫氟酸(hydrofluoric acid,HF)、過氧化氫(hydrogen peroxide,H 2O 2)以及去離子(deionized,DI)水。
在一實施例中,單晶矽與多晶矽的MACE皆是在室溫下在位於100級潔淨室中的酸工作台上使用4 HF: 1 H 2O 2: 4 H 2O(按體積計)的蝕刻劑組合物來執行。
使用ZEISS Neon 40掃描電子顯微鏡(Scanning Electron Microscopy,SEM)以及使用Scios 2HiVac雙光束SEM系統的由上至下SEM來執行剖面SEM特徵化。多晶矽樣本的樣本準備包含使用Emitech濺塗機(sputter coater)K575X –SEM沉積2 nm的金鈀合金,以提升影像保真度。
下文討論實施圖33之方法的結果。
圖35A係根據本案一實施例之多晶矽柱(例如,結構3405)之剖面的掃描式電子顯微鏡(Scanning Electron Microscopy,SEM)影像(刻度尺為200 nm)。圖35B係根據本案一實施例之多晶矽柱(例如,結構3405)之傾斜剖面的SEM影像(刻度尺為1微米)。
圖35A至圖35B描繪具有深寬比為6:1的此種柱,其是使用NIL式圖案化創造在多晶矽中,並且具有針對多晶矽修改之單晶矽的記錄製程(process-of-record,PoR)。
現在參閱圖36,圖36係根據本案一實施例之用於創造反轉色調之壓印模板之方法的流程圖。圖37A至圖37C描繪根據本案一實施例之使用圖36中所述步驟創造反轉色調之壓印模板的剖視圖。
結合圖37A至圖37C參閱圖36,在步驟3601中,沉積奈米壓印抗蝕劑3701在玻璃晶圓3702上,如圖37A所示。
在步驟3602中,沉積金3703在奈米壓印抗蝕劑3701上以創造反轉色調模板3704,如圖37B所示。在一實施例中,此種沉積是透過濺射來執行。
在步驟3603中,反轉色調模板3704被用於使用壓印微影在基底3706(例如,單晶矽、矽上的多晶矽膜)上創造反轉色調模板3704的壓印模板3705,如圖37C所示。如圖37C進一步所示,形成了多晶矽柱3707。
在一實施例中,30 nm間距的多晶矽柱(例如,多晶矽柱3707)的製造是使用改進的壓印步驟(創建反轉色調3704的模板),其將柱阻帽(pillar resist caps)的直徑從150 nm的初始值增加到170 nm。此調整可能會在圖案化之催化劑層上引入表面缺陷,其產生MACE後的垂直條紋,如圖38A所示。此出現在單晶矽中,如圖38B所示。因此,此種條紋是改進之壓印製程的產物,而不是多晶矽MACE製程的產物。圖38A係根據本案一實施例之具有約170 nm間距之多晶矽柱(例如,多晶矽柱3707)之剖面的SEM影像(刻度尺為200 nm)。圖38B係根據本案一實施例之在移除阻帽後具有約30 nm間距之多晶矽柱(例如,多晶矽柱3707)之剖面的SEM影像(刻度尺為200 nm)。
以下討論菱形多晶矽柱。
使用圖36的方法製造具有菱形剖面的柱以調查多晶矽MACE的解析度極限,並且進一步比較多晶矽與矽之間的MACE蝕刻品質。值得注意的是,菱形柱的蝕刻也會導致互補之圓形孔洞(使用繫鏈連接)的產生。因此,蝕刻菱形柱的能力對蝕刻高深寬比通孔與孔洞具有重要意涵,例如對於DRAM結構。由於孤立的催化劑島容易漂移,因此使用MACE蝕刻孤立的孔洞通常具有挑戰性。另外,隨著蝕刻深度的增加,蝕刻劑傳輸變得日益棘手。以前使用MACE已經在單晶矽中實現高深寬比孔洞。然而,此些在尺寸上是有限的。多晶矽中的孔洞也曾用MACE蝕刻過。然而,此些展現出常見的蝕刻缺陷。例如,輪廓錐度與側壁粗糙度。
圖39A繪示根據本案一實施例之根據圖36之步驟使用MACE所製造之多晶矽鑽石柱的剖面SEM影像(刻度尺為400 nm)。圖39B繪示根據本案一實施例之根據圖36之步驟使用MACE所製造之多晶矽鑽石柱之由上往下的SEM影像(刻度尺為500 nm)。圖39C繪示根據本案一實施例之根據圖36之步驟使用MACE所製造之多晶矽鑽石柱之由上往下的SEM影像(刻度尺為100 nm)。
在一實施例中,為了能夠使用NIL進行圖案化,結合了多晶矽的CMP以最小化沉積膜的表面粗糙度。剛沉積的多晶矽膜通常具有較高的表面粗糙度,據說對於11微米厚的膜,表面粗糙度高達580Å,此似乎對殘留層蝕刻後之NIL阻帽的形態產生負面影響。阻帽形態中的缺陷導致催化劑層中的缺陷,此最終導致MACE後的側壁垂直條紋。CMP能夠顯著地減少此些缺陷的程度,但仍然會保留一些側壁條紋。CMP在多晶矽蝕刻上的此種影響也可以在反應離子蝕刻中看到,其中CMP減少但不完全消除側壁條紋。
在一實施例中,本案的原理使用商業級NIL,其允許在所得之奈米結構中創造具有長程有序性以及良好臨界尺寸均勻性的圖案。
在一實施例中,進行一更長的蝕刻以檢查在多晶矽與矽的介面,以進一步研究poly-MACE。150 nm間距的柱子不能保持結構完整性,並且在明顯的材料介面處斷裂。然而,成功地蝕刻了150 nm寬的鰭。所得之多晶矽-矽堆疊的SEM表徵顯示出錐形多晶矽輪廓,如圖40所示。
圖40係根據本案一實施例之在蝕刻多晶矽膜與矽之界面後之鰭4001的剖面SEM影像(刻度尺為800 nm)。在一實施例中,此種鰭4001的尺寸為150 nm(寬)乘以450 nm(高)。
錐形可能不是由MACE蝕刻本身所引起的,而是由材料介面附近之固有的殘餘應力所引起的。此已顯示出多晶矽薄膜的內應力高度依賴於膜沉積條件。藉由在較高溫執行多晶矽的LPCVD沉積或藉由沉積後高溫退火,可以潛在地減少介面附近的錐形數量。舉例而言,1100 ⁰C的退火已顯示將多晶矽膜的固有應力從350 MPa的壓縮固有應力降低到接近零。注意的是,LPCVD膜是在620 ⁰C的相對低溫下進行沉積的,並且在沉積之後沒有進行退火。
因此,本案的原理實現在多晶矽中高深寬比結構的蝕刻,其不受晶粒邊界的損害,並且不表現出假的孔隙率(其是透過仔細調整蝕刻化學來實現的)。蝕刻是透過以下三種技術來實現:(1)將剛沉積多晶矽的表面粗糙度降低至允許高解析度圖案化的程度;(2)使用奈米壓印微影(nanoimprint lithography,NIL)進行高解析度圖案化;以及(3)使用MACE製程進行多晶矽中NIL圖案的高深寬比蝕刻。
在一實施例中,藉由將本案的原理與NIL在卷狀基底與電漿是基底平面化技術中的高解析度圖案化能力相結合,可能會開闢以卷對卷形式為需要高品質高解析度高深寬比奈米結構的各種大批量工業應用(包含用於AR/VR的光學元件、新型電池與電容等)布署MACE的新途徑。注意的是,奈米壓印微影(nanoimprint lithography,NIL)可能是在卷狀基底上以次50 nm特徵尺寸對任意特徵進行圖案化的唯一實用且具有成本效益的方法。比的上的光學技術,例如光學微影(包含EUV)與介面微影,需要高基底平坦度以在基底上形成高解析度影像(與λ/NA 2成比例;對於0.33NA EUV約為150 nm),並且也受到場尺寸的限制,使得大面積高速圖案化變得不切實際。此種高程度的基底平坦度在卷狀基底上是不可用的。
高深寬比多晶矽結構易於出現輪廓缺陷,例如錐形。此種缺陷可能是由於多晶矽在沉積期間中的內部殘餘應力所引起的。高溫製程通常會消除此些內部殘餘應力。然而,由於所使用的卷狀基底的類型(例如,聚合物卷狀基底),此可能不適用於僅限於低溫加工的各種應用。因此,可使用在較低溫度(低於400 ⁰C、低於200 ⁰C,或低於150 ⁰C,或低於100 ⁰C)下所沉積的多晶矽來減輕應力。示例性選項包含線化學氣相沉積、電將增強式CVD(Plasma Enhanced CVD,PECVD)等。在某些情況下,可能需要非晶矽的沉積,並且MACE製程可被調整以蝕刻非晶矽。
在一實施例中,本案的原理可應用於沉積在金屬膜、晶圓級基底或軟性卷對卷基底上的多晶矽膜。在一實施例中,本案的原理應用於沉積在銅膜、單晶晶圓基底以及聚碳酸酯(polycarbonate)卷對卷基底上的多晶矽膜。在一實施例中,藉由化學機械拋光(Chemical Mechanical Polishing,CMP)或噴墨啟用自適應式平坦化(Inkjet-enabled Adaptive Planarization,IAP)來平坦化多晶矽膜以消除大於5 nm RMS的膜表面粗糙度。於平坦化後沉積金屬催化劑膜及/或進行壓印微影。
在一實施例中,金屬催化劑的圖案化是以兩種方式中之一進行:金屬催化劑膜的蝕刻或「金屬斷裂」技術。催化劑圖案化的兩種方式均導致金屬催化劑與多晶矽膜直接接觸的局部區域。在一實施例中,多晶矽的MACE發生在金屬催化劑與材料接觸的位置。一種方法是透過在壓印抗蝕劑下之金屬催化劑膜的圖案化。催化劑膜被沉積在多晶矽膜上,隨後進行壓印微影並接續殘留層蝕刻。殘留層蝕刻暴露出了用稀硝酸銨鈰(dilutedceric ammonium nitrate)或碘化鉀蝕刻的金屬催化劑膜區域。剩餘的壓印抗蝕劑用食人魚溶液(piranha)來移除,其中食人魚溶液是硫酸與過氧化氫的混合物。抗蝕劑的移除暴露出了圖案化的金屬催化劑,其中金屬催化劑接觸於多晶矽膜。金屬催化劑圖案化的另一種方法是「金屬斷裂」,其中金屬催化劑沉積是在微影之後發生,且金屬催化劑順應於壓印抗蝕劑。在用殘留層蝕刻產生壓印阻帽之後,透過電子束蒸發來沉積催化劑金屬。所沉積的金屬催化劑層在暴露的多晶矽與壓印阻帽的位置處發生斷裂,此使得蝕刻劑能夠傳輸到期望的蝕刻位置。在一實施例中,前述的微影技術可為卷對卷壓印微影。用於微影製程的抗蝕劑塗覆是用以下方法中的一或多種來執行:噴墨、狹縫式塗覆(slot-die coating)、刀口塗覆(knife-edge coating)等。在一實施例中,執行抗蝕劑中選擇性溶劑的蒸發以降低所塗覆之抗蝕劑膜的厚度。由於不均的蒸發,殘留層中的厚度變異(在溶劑蒸發之後)可藉由監測塗覆層的溫度分布(例如,使用熱顯像儀)來減輕,並且利用逆向建模與熱致動方法來補償不均的蒸發,如圖41與圖42所示。圖41繪示根據本案一實施例之減輕殘留層中的干擾。圖42繪示根據本案一實施例的原位監測與控制過程。
在一實施例中,金屬催化劑是金、鉑、鈀、釕、碳、鉻、銀、鈦中的一種或多種(混合物)。在一實施例中,用於產生多晶矽的非等向蝕刻的蝕刻劑包含氫氟酸、過氧化氫以及去離子水。在一實施例中,蝕刻劑的成分為4份HF、1份過氧化氫,以及4份水,其均按體積計。在一實施例中,現有成分可以用水來稀釋。在一實施例中,此被執行以改善對蝕刻參數,例如蝕刻率、孔隙率等的控制。在一實施例中,蝕刻劑的成分為4份HF、1份過氧化氫,以及20份水,其均按體積計。
如前所述,結合圖3A至圖3F,在一實施例中,在閥金屬302中創造高深寬比孔洞。在一實施例中,首先在閥金屬302的表面上創造種子圖案。在一實施例中,使用奈米壓印微影與蝕刻(可以是濕蝕刻或乾蝕刻)的組合來創造種子圖案。接續,在成孔狀態中陽極氧化閥金屬302,其中蝕刻時間掌控了孔洞的深度。在一實施例中,孔洞是錐形的。在一實施例中,錐形是連續的。在另一實施例中,錐形是階梯式的。在一實施例中,錐形是單調的。在一實施例中,閥金屬302是鋁。在一實施例中,功能性材料(例如,功能性材料306)是在陽極氧化後沉積到高深寬比孔洞中。在一實施例中,使用CVD、PECVD、LPCVD、APCVD、ALD、PVD、電化學沉積等執行沉積。
注意的是,錐形是藉由隨著蝕刻的進行逐漸改變蝕刻條件(電場、電流、溫度、蝕刻劑濃度等)所產生的。還需注意的是,用於沉積在高深寬比孔洞中的可選之功能性材料可為矽、多晶矽、氮化矽、碳化矽、碳、鑽石、氮化硼、III-Vs(GaAs、GaN等)、金屬、金屬氧化物、鋰等或其組合物或其中一部份材料的材料。
在一實施例中,用於創造前述種子圖案的微影技術是卷對卷壓印微影。在一實施例中,用於微影製程的抗蝕劑塗覆是使用以下方法中的一種或多種來執行:噴墨、狹縫式塗覆、刀口塗覆等。在一實施例中,執行抗蝕劑中選擇性溶劑的蒸發以降低所塗覆之抗蝕劑膜的厚度。由於不均的蒸發,殘留層中的厚度變異(在溶劑蒸發之後)可藉由監測塗覆層的溫度分布(例如,使用熱顯像儀)來減輕,並且利用逆向建模與熱致動方法來補償不均的蒸發,如圖41與圖42所示。
現在參閱圖43A,圖43A繪示根據本案一實施例的示例性AE2製程。
如塗43A所示,AE2製程包含前側保護膜(見元件4301)的移除。
AE2製程更包含由選擇性前側保護膜、閥金屬膜(例如,鋁)與背側保護膜(例如,聚碳酸酯)的三層(或選擇性雙層)輥(見元件4302)。在一實施例中,閥金屬膜的厚度是小於1 mm、小於100 µm、小於50 µm、小於20 µm,或小於10 µm。在一實施例中,背側保護膜的厚度是小於1 mm、小於100 µm、小於50 µm、小於20 µm,或小於10 µm。在一實施例中,背側保護膜在R2R NIL步驟期間中為閥金屬膜提供機械穩定性。
此外,AE2製程包含在沉積功能性材料之前移除背側保護膜(見元件4303)。
另外,AE2製程包含在奈米結構中具有平緩的錐形及/或階梯式錐形的R2R AE2,以實現後續的高深寬比沉積步驟(見元件4304)。
此外,AE2製程包含功能性材料(例如,多晶矽、金屬、金、釕、氧化矽、氮化矽、碳化矽、聚合物、碳、鑽石以及氮化硼)的R2R沉積(見元件4305)。在沉積功能性材料之前可先沉積選擇性封裝劑(例如,多晶矽、金屬、金、釕、氧化矽、氮化矽、碳化矽、聚合物、碳、鑽石、氮化硼以及通常耐閥金屬蝕刻劑的材料)。
另外,AE2製程包含將功能性材料選擇性地轉移到多孔載體(見元件4306)。在一實施例中,載體材料是銅、聚碳酸酯或其他聚合物,其具有鎳、銅、多晶矽或其他適用於奈米線生長之其他基底的選擇性塗層。在一實施例中,載體材料耐受閥金屬濕蝕刻劑。在一實施例中,功能性材料至多孔載體的轉移與附著(顯示於圖43B中)是使用以下技術中的一或多種來執行:直接接合、共價鍵結、陽極接合以及具密集之奈米線/奈米森林的兩個表面之間的接合。對於最後一部份,奈米線/奈米森林需要被生長在功能性材料的背側以及多孔載體的前側。
現在參閱圖43B,圖43B繪示根據本案一實施例的多孔載體4307。
如圖43B所示,多孔載體4307包含多孔載體膜4308、奈米線/奈米森林介面4309以及奈米結構化的功能性材料4310。
返回至圖43A,AE製程更包含用於閥金屬與閥金屬氧化物的濕/乾蝕刻劑(例如,KOH)(見元件4311)。此種處理步驟用於在附著至選擇性載體材料之後移除閥金屬氧化物支架以及任何殘留的閥金屬。
圖43A另外繪示了顯示出在中央附近已經被蝕刻掉之閥金屬膜的輥(見元件4312)的側視圖。在一實施例中,邊緣附近的部分為先前未蝕刻的膜提供機械連接與結構穩定性。
在奈米結構中的塌陷緩解之方面,奈米結構由奈米柱組成。在一實施例中,一或多個奈米結構已經塌陷。在一實施例中,一或多個奈米結構存在於真空環境中。在一實施例中,從奈米結構之前面、側面或背面入射的電子束用於在奈米結構中感應電荷。一旦奈米結構中的負電荷量使得相同電荷之間的靜電排斥力超過保持奈米結構處於塌陷狀態的黏著力,奈米結構將不塌陷。在另一實施例中,雷射束(從正面、側面或背面入射)用於從奈米結構剝離電子,使得奈米結構現在包含淨正變化。在此情況下,一旦奈米結構中的正電荷量使得相同電荷之間的靜電排斥力超過保持奈米結構處於塌陷狀態的黏著力,奈米結構將不塌陷。
在一實施例中,前述的不塌陷方法可與其他製程並行使用。
在一實施例中,假設存在用於在基底上製造奈米結構的製程,且基底的新層可被沉積在現有之奈米結構上,可執行一迭代過程以在奈米結構之N層上創造奈米結構的第(N+1)層,其中N為自然數。在一實施例中,奈米結構製造製程使用以下方法中的一或多種:奈米壓印微影、光學微影、電子束微影、干涉微影、自對準奈米圖形化技術、包含MACE的蝕刻技術、反應離子蝕刻、深反應離子蝕刻、沉積技術(例如,原子層沉積、化學氣相沉積(chemical vapor deposition,CVD)、電漿增強式CVD、濺射、電子束沉積、物理沉積技術與化學沉積技術),以及成長技術,例如氣液固(vapor-liquid-solid,VLS)成長、氣固(vapor- solid,VS)成長等。在一實施例中,基底之新層的沉積是使用以下方法中的一或多種來執行:接合(例如,熔融接合、直接接合、混合接合、陽極接合、共價鍵結等),隨後是選擇性背面研磨或回蝕步驟或薄膜沉積技術,例如CVD、ALD、電漿增強式CVD等。在一實施例中,基底是以下材料中之一:矽、含矽材料、氧化矽、旋塗氧化物、碳化矽、氮化矽、多晶矽、非晶矽、氧化鋁、金屬、聚合物、旋塗聚合物、碳、含碳材料、類金屬、硼、碳化硼以及氮化硼。在一實施例中,使用合適的對準技術(例如,使用嵌入式莫爾(moiré)對準標記、IR莫爾對準標記、離線對準標記、需要高NA(例如,超過0.3 NA)計量的對準標記以及需要低NA(例如,小於0.3 NA)計量的對準標記)將第(N+1)層的奈米結構對準至相關的第N層的奈米結構。在一實施例中,對準(或替代地、覆蓋或配準至底部層)優於以下之一:100 nm(平均值+3*sigma)、50 nm、20 nm、10 nm、5 nm、2 nm以及1 nm。
在一實施例中,奈米壓印微影用以圖案化用於奈米結構之生長的種子(或催化劑)層,其中奈米結構是使用合適的生長技術生長的,例如VLS與VS生長技術,其中在種子(或催化劑)層中的最小特徵尺寸小於以下群組中之一:500 nm、200 nm、100 nm、50 nm、20 nm、10 nm以及5 nm。
在一實施例中,在前述奈米結構的製造期間中,執行原位、異位、線上(in-line)與線側(at-line)計量中之一或多種,以控制或檢測以下群組中之一:奈米結構橫向尺寸均勻性(CD均勻性)、奈米結構高度均勻性、奈米結構良率與奈米結構塌陷。舉例而言,蝕刻率中的空間變異或其代表值(例如,對應於給定蝕刻特徵高度的獨特光譜特徵)可被原位監測。計量可例如,使用以下群組中之一或多種來實現:分光光度測量法、角度解析分光光度測量法、波長解析分光光度測量法、可見波長計量、IR計量、熱映射、光譜測定法、光學成像、超聲成像、干涉測量法、白光干涉測量法以及低同調干涉測量法。計量可以是反射式的或透射式的。此外,計量可以實時(同步)或非同步進行。在一實施例中,計量是在晶圓級基底(在50 mm、75 mm、100 mm、150 mm、200 mm、250 mm、300 mm以及450 mm的基底中之一者上)或卷對卷基底(在50 mm、100 mm、150 mm、200 mm、300 mm、400 mm以及500 mm寬的輥中之一者上)上執行。
在一實施例中,間歇繫鏈用於改善功能性材料高深寬比奈米結構的穩定性。
圖44係根據本案一實施例之用於創造具有用於穩定性之繫鏈(tethers)之功能性材料高深寬比奈米結構之方法4400的流程圖。圖45A至圖45E描繪根據本案一實施例之使用圖44中所述步驟創造具有用於穩定性之繫鏈之功能性材料高深寬比奈米結構的剖視圖。
結合圖45A至圖45E參閱圖44,在步驟4401中,將功能性材料層4501沉積在位於大塊基底4503上的選擇性蝕刻停止層4502之上,如圖45A所示。在一實施例中,使用CVD、電子束沉積、旋轉塗覆等將功能性材料4501(例如,硼)塗覆在選擇性蝕刻停止層4502之上,其中功能性材料4501具有以下厚度之一:超過1 µm、超過5 µm、超過10 µm、超過50 µm、超過100 µm、超過200 µm以及超過400 µm。在一實施例中,殘餘應力管理技術,例如間歇退火與沉積,被用於消除在塗覆或沉積製程中的殘餘應力。
在一實施例中,選擇性停止層4502對應到氧化矽、氧化鋁、碳化矽、氮化硼、硼、碳等。
在一實施例中,大塊基底4503對應到矽、聚合物、金屬等。
在步驟4402中,沉積硬遮罩層的塗層4504在功能性材料4501上,如圖45B所示。
在步驟4403中,蝕刻硬遮罩層4504,如圖45B所示。
在步驟4404中,執行圖案化(例如,奈米壓印微影並且選擇性地對準於先前的層),如圖45B所示。
在步驟4405中,執行除殘膠蝕刻與硬遮罩蝕刻以及抗蝕劑移除,如圖45B所示。
在步驟4406中,使用迭代復用製程對功能性材料4501中的過大孔洞執行深蝕刻,例如深反應離子蝕刻(其中,第一非等向性與第二等向性蝕刻步驟接續在側壁封裝步驟之後),如圖45B所示。所得結構的俯視圖顯示在圖45C中。
在步驟4407中,執行功能材料4501的選擇性之等向性蝕刻,以打開繫鏈4505,如圖45D所示。
在步驟4408中,執行從背側的深蝕刻,如圖45E所示。
在步驟4409中,執行蝕刻停止層4502的蝕刻,例如藉由使用氫氟酸(HF),如圖45E所示。
在步驟4410中,移除硬遮罩層4504,如圖45E所示。
圖46係根據本案一實施例之用於創造具有用於穩定性之繫鏈之功能性材料高深寬比奈米結構之替代方法4600的流程圖。圖47A至圖47G描繪根據本案一實施例之使用圖46中所述步驟創造具有用於穩定性之繫鏈之功能性材料高深寬比奈米結構的剖視圖。
結合圖47A至圖47G參閱圖46,在步驟4601中,將用於互補奈米結構之創造的犧牲層4701沉積在位於大塊基底4703(例如,矽、聚合物、金屬等)之上的選擇性停止層4702(例如,氧化矽、氧化鋁、碳化矽、氧化硼、硼、碳等)上,如圖47A所示。在一實施例中,互補奈米結構具有以下之一剖面:圓形、具有圓角的正方形以及具有圓角的多邊形。
在步驟4602中,沉積聚合物抗蝕劑或硬遮罩4704在犧牲層4701上,如圖47B所示。
在步驟4603中,執行圖案化,例如藉由使用奈米壓印微影以及選擇性地執行與先前層的對準,從而形成如圖47B所示的奈米線4705。互補奈米結構,例如奈米線4705的俯視圖顯示在圖47C中。
此外,圖47C繪示具有選擇性圓形頂點的多邊形剖面(例如,方形、六邊形、八邊形等)。與圓形剖面的奈米線相比,多邊形剖面減少了所需執行之共形沉積的量。注意的是,此幾何形狀容易使用NIL進行圖案化,而使用用於奈米級特徵的光學微影來進行圖案化則是非常困難。還注意到的是,如果模板中緊密間隔的電子束圖案化變得困難,則可使用具有大間隙的電子束對特徵進行圖案化,並隨後使用在模板自身中的共形沉積製程(例如,ALD)來填充間隙。
在步驟4604中,執行除殘膠蝕刻,如圖47B所示。
在步驟4605中,執行過大奈米線4705的深蝕刻(例如,使用MACE、RIE等),如圖47B所示。舉例而言,可形成在400 nm節距上之直徑380 nm直徑的奈米線4705。
在步驟4606中,沉積選擇性犧牲間隙填充材料4706(例如,氧化物、氧化矽、氧化鋁、碳、氮化矽、碳化矽、聚合物、含氟聚合物等),以封閉奈米結構間間隙,如圖47D所示。在一實施例中,在此種沉積之後是選擇性封裝塗層4708(見圖47E),隨後是功能性材料沉積。以下的一或多種方法可用於前述的塗層:可回流聚合物(reflowable polymer)(例如,聚合物衍生的BN、聚硼氮雜烷(polyborazylene)、硼氫基聚合物(boron-hydride based polymers)、旋塗玻璃、旋塗碳、旋塗氧化物等)的旋轉塗覆、化學氣相沉積或共形塗覆製程(例如,功能性材料(例如,硼、BN)的原子層沉積(ALD)或使用選擇性犧牲間隙填充材料4706作為用於生長的模板並且選擇性地使用MACE催化劑作為用於生長製程之催化劑(在使用合適之非等向性蝕刻製程從所蝕刻之奈米線4705的底部移除間隙填充材料4706之後)的模板化生長製程(例如,使用VLS/VS製程))。
在一實施例中,間隙填充材料4706、封裝材料4708以及功能性材料4701包含以下群組中的一或多種:矽、含矽材料、氧化矽、旋塗氧化物、碳化矽、氮化矽、多晶矽、非晶矽、氧化鋁、金屬、聚合物、旋塗聚合物、碳、含碳材料、類金屬、硼、碳化硼、氮化硼、聚合物衍生的氮化硼、聚硼氮雜烷(polyborazylene)、硼氫基聚合物(boron-hydride-based  polymers)、旋塗玻璃、旋塗碳、旋塗氧化物、氮化鈦、鑽石以及化學氣相沉積鑽石(CVD diamond)。
圖47E繪示了作為摻雜的多晶矽或摻雜的BN或摻雜的鑽石或TiN的選擇性薄導電層4707。
在步驟4607中,平坦化功能性材料4701,例如藉由使用化學機械平坦化,或者執行功能性材料4701的回蝕,隨後為下一級功能性材料奈米結構4705沉積用於互補奈米結構4705(例如,多晶矽)之創造的下一個犧牲層4709,如圖47F所示。
在一實施例中,模板的形狀及/或除殘膠蝕刻的物理組件可用於優化壓印抗蝕柱的側壁斜率,使得功能性材料平面化留下橫向繫鏈4710,如圖47F所示。替代地,在圖案化步驟之期間中,執行第一微影以及短的第一蝕刻(例如,MACE),隨後是共形塗覆步驟(例如,使用犧牲材料,例如氧化鋁的ALD)以擴大所蝕刻之奈米結構直徑,之後是自對準催化劑沉積與深蝕刻(例如,使用MACE)。因此,在平坦化步驟之後將創造出功能性材料4701的橫向繫鏈4710。
在步驟4608中,判斷是否已發生步驟4603至步驟4607的N次迭代(其中N為正整數)。如果不是,則在步驟4603中執行圖案化。
然而,如果步驟4603至步驟4607的N次迭代已發生,則在步驟4609中,執行基底材料(例如,大塊基底4703)與犧牲層(例如,犧牲層4701)的最終背側蝕刻,從而產生圖47G所示的包含多級功能性材料奈米線4711的結構。
注意的是,製程(方法4600)可用於具任意選擇性封裝劑之任意功能性材料的沉積,以防止功能性材料(例如,功能性材料4701)的氧化或化學腐蝕。
在一實施例中,為了使易碎的硼奈米線在運輸等期間中持續穩定地抵抗震動,氧化物支架一直保持在所填充之硼的周圍直到即將進行雷射輻射。
在一實施例中,在製造期間或在製造之後立即施加電壓/電荷至功能性材料奈米結構(例如,奈米結構4711),以不一定防止塌陷,但防止在製造步驟之期間中的纏結。接續,在使用功能性奈米結構(例如,奈米結構4711)之前,可施加更高的電壓或電荷以不塌陷可能已塌陷的奈米結構。在一實施例中,奈米結構表面可以用低表面能材料,例如含氟聚合物來處理,以降低它們塌陷或纏結的趨勢。在一實施例中,奈米結構(例如,奈米結構4711)可被故意地製造過大以改善機械穩定性,並且隨後在即將使用前被等向性蝕刻以減少它們的尺寸至所需的正確尺寸。
在一實施例中,首先使用合適的方法(例如,生長、功能性材料的直接蝕刻等)創造單層的功能性材料奈米結構(例如,奈米結構4711),然後透過塗覆犧牲材料(例如,使用旋轉塗覆)來進行完全封裝以穩定奈米結構,隨後塗覆第二層的功能性材料並且在此第二層上製造奈米結構(使用與第一層對齊的微影步驟),並且和先前一樣以此類推。最後,犧牲層可被移除以露出高深寬比奈米結構。
參閱圖48,圖48繪示根據本案一實施例之用於創造功能性材料奈米結構之RIE式製程的方法4800。
在步驟4801中,沉積功能性材料在矽基底上。
在步驟4802中,塗覆硬遮罩在功能性材料上。
在步驟4803中,沉積黏著層在硬遮罩上。
在步驟4804中,執行奈米壓印微影。
在步驟4805中,執行聚合物除殘膠。
在步驟4806中,蝕刻硬遮罩。
在步驟4807中,執行聚合物清潔。
在步驟4808中,蝕刻功能性材料。
在步驟4809中,執行最終特徵化與製程優化。
參閱圖49,圖49係根據本案一實施例之使用CVD式填孔創造功能性材料奈米結構之方法4900的流程圖。
在步驟4901中,將黏著層塗覆在絕緣層上覆矽(silicon-on-insulator)或位於基底上的犧牲材料之上。
在步驟4902中,執行奈米壓印微影(或其他類型的微影)。
在步驟4903中,執行聚合物除殘膠。
在步驟4904中,執行深矽蝕刻。
在步驟4905中,執行殘留物/抗蝕劑/MACE催化劑清潔。
在步驟4906中,執行封裝材料的CVD。
在步驟4907中,執行功能性材料的CVD。
在步驟4908中,蝕刻硬遮罩的背側。
在步驟4909中,執行背側微影。
在步驟4910中,執行絕緣層上覆矽或具犧牲材料之基底的背側蝕刻。
在步驟4911中,執行最終特徵化與製程優化。
參閱圖50,圖50係根據本案一實施例之使用ALD式填孔創造功能性材料奈米結構之方法5000的流程圖。
在步驟5001中,將黏著層塗覆在絕緣層上覆矽或位於基底上的犧牲材料之上。
在步驟5002中,執行奈米壓印微影(或其他類型的微影)。
在步驟5003中,執行聚合物除殘膠。
在步驟5004中,執行深矽蝕刻。
在步驟5005中,執行殘留物/抗蝕劑/MACE催化劑清潔。
在步驟5006中,執行封裝材料的ALD。
在步驟5007中,執行功能性材料的ALD。
在步驟5008中,蝕刻硬遮罩的背側。
在步驟5009中,執行背側微影。
在步驟5010中,執行絕緣層上覆矽或具犧牲材料之基底的背側蝕刻。
在步驟5011中,執行最終特徵化與製程優化。
參閱圖51,圖51係根據本案一實施例之用於包含用以填孔之聚合物之功能性材料的旋轉塗覆方法5100的流程圖。
在步驟5101中,將黏著層塗覆在絕緣層上覆矽或位於基底上的犧牲材料之上。
在步驟5102中,執行奈米壓印微影(或其他類型的微影)。
在步驟5103中,執行聚合物除殘膠。
在步驟5104中,執行深矽蝕刻。
在步驟5105中,執行殘留物/抗蝕劑清潔。
在步驟5106中,旋轉塗覆包含聚合物的功能性材料。
在步驟5107中,執行退火。
在步驟5108中,蝕刻硬遮罩的背側。
在步驟5109中,執行背側微影。
在步驟5110中,執行絕緣層上覆矽或具犧牲材料之基底的背側蝕刻。
在步驟5111中,執行最終特徵化與製程優化。
參閱圖52,圖52係根據本案一實施例之用於受限/模板化之VLS/VS功能性材料生長的方法5200的流程圖。
在步驟5201中,將黏著層塗覆在絕緣層上覆矽或位於基底上的犧牲材料之上。
在步驟5202中,執行奈米壓印微影(或其他類型的微影)。
在步驟5203中,執行聚合物除殘膠。
在步驟5204中,執行具有VLS優化之催化劑的深矽蝕刻。
在步驟5205中,執行殘留物/抗蝕劑清潔。
在步驟5206中,執行功能性材料(例如,硼)的VLS/VS。
在步驟5207中,蝕刻硬遮罩的背側。
在步驟5208中,執行背側微影。
在步驟5209中,執行絕緣層上覆矽或具犧牲材料之基底的背側蝕刻。
在步驟5210中,執行最終特徵化與製程優化。
圖53係根據本案一實施例之用於多層製程之方法5300的流程圖。
在步驟5301中,沉積厚聚合物的塗層在結構上。
在步驟5302中,執行具有對準微影的層(N+1)製程。
在步驟5303中,執行最終特徵化與製程優化。
綜上所述,本案的實施例提供使用電化學蝕刻在諸如矽、氧化鋁等的各式基底中創造任意高深寬比奈米結構的工具與製程。
本發明之各種實施例的描述是為了闡明之目的而給出的,但並不旨在窮舉或限制所揭露的實施例。在不脫離所述實施例的精神與範疇下,許多修改與變化對於本領域中具有通常知識者而言將是顯而易見的。本文所選擇使用的術語是為了最佳地解釋實施例的原理、實際應用或對市場中所發線之技術的技術改進,或者使本領域中具有通常知識的其他者能夠理解本文所揭露的實施例。
200:方法 201~206:步驟 301:遮罩層 302:閥金屬 303:襯底材料 304:AVO奈米結構 305:AVO奈米支架 306:功能材料 401:奈米壓印微影模板輥 402:來源基底輥 403:卷對卷奈米壓印微影 404:元件 405:R2R反應離子蝕刻/除殘膠蝕刻 406:R2R AE2 407:R2R沉積 408:原位功能計量 409:最終輥 501:腔 502:入口歧管 503:出口歧管 504:製程晶圓 601:多層前側蓋 602:製程晶圓 603:蝕刻產物 604:蝕刻劑入口 605:蝕刻劑出口 606:元件 701:前側蓋 702:噴淋臂 703:蝕刻劑入口 704:蝕刻劑出口 705:蝕刻劑 706:製程基底 707:旋轉方向 801:製程基底 802:滑動蝕刻區 803:蝕刻劑 804:蝕刻劑入口 805:蝕刻劑出口 806:前側蓋 807:可掃描群組 901:前側蓋 902:製程基底 903:蝕刻劑攪拌臂 904:蝕刻劑 905:蝕刻劑出口 906:蝕刻劑入口噴嘴 1000:組件 1001:製程晶圓 1002:前側蓋 1003:蝕刻劑入口 1004:蝕刻劑出口 1006:蝕刻劑幫浦 1007:蝕刻劑狀態感測器 1008:元件 1009A-1009N:前驅物儲存單元 1010:前驅物狀態感測器 1101:氣泡 1102:製程晶圓 1103:蝕刻劑出口 1104:脫氣腔 1105:蝕刻劑入口 1106:元件 1107:前側蓋 1201:晶圓卡盤 1202:製程晶圓 1203:熱電致冷器 1204:前側蓋 1205:蝕刻劑入口 1206:蝕刻劑出口 1207:密封件 1208:元件 1209:元件 1210:元件 1211:元件 1301:晶圓卡盤 1302:製程晶圓 1303:熱電致冷器 1304:前側蓋 1305:蝕刻劑入口 1306:蝕刻劑出口 1307:密封件 1308:真空腔 1309:蝕刻劑薄層 1310:元件 1311:元件 1312:元件 1313:元件 1401:晶圓卡盤 1402:製程晶圓 1403:前側蓋 1404:蝕刻劑入口 1405:蝕刻劑出口 1406:獨立式可控熱電致冷器網格 1500:計量系統 1502:聚焦光學器件 1503:成像器 1504:藍寶石前側與背側蓋 1505:光源 1506:濾光器 1507:背側流體入口 1508:背側流體出口 1509:蝕刻劑入口 1510:蝕刻劑出口 1511:有限半徑 1512:元件 1600:原位計量系統 1601:成像組件 1602:製程晶圓 1701:加上DMD組件的光源 1702:背側流體 1801:製程晶圓 1802:前側透明電極 1803:背側透明電極 1804:背側照明 1901:晶圓卡盤 1902:製程晶圓 1903:前側蓋 1904:元件 1905:邊緣排除區 1906:前側密封接觸 1907:高蝕刻變異區 2001:製程晶圓 2002:晶圓卡盤 2003:卡盤針 2004:背側接觸流體 2101:真空卡盤 2200:方法 2201~2204:步驟 2301:功能性材料 2302:基底 2500:方法 2501~2505:步驟 2601:基底 2602:功能性材料 2603:功能性材料 2604:奈米結構 2800:方法 2801~2808:步驟 2901:感興趣區域 2902:殘留氧化鋁 2903:橫向繫鏈 3001:積體電容 3002:電極 3003:奈米結構 3004:功能性材料 3005:電極 3006:源 3007:奈米結構樣本 3009:網 3010:操作利益區 3011:元件 3012:薄連續層 3013:薄導電層 3014:封裝層 3018:地 3100:方法 3101~3104:步驟 3201:矽基部 3202:矽核心 3203:硼 3204:元件 3205:開放通道 3206:目標雷射照射區 3207:硼 3208:奈米線 3209:奈米線充電裝置 3300:方法 3301~3304:步驟 3401:奈米壓印抗蝕劑 3402:矽 3403:鈦 3404:金催化劑 3405:矽與多晶矽結構 3600:方法 3601~3603:步驟 3701:奈米壓印抗蝕劑 3702:玻璃晶圓 3703:金 3704:反轉色調模板 3705:壓印模板 3706:基底 3707:多晶矽柱 4001:鰭 4301:元件 4302:元件 4303:元件 4304:元件 4305:元件 4306:元件 4307:多孔載體 4308:多孔載體膜 4309:奈米線/奈米森林介面 4310:功能性材料 4311:元件 4312:元件 4400:方法 4401~4410:步驟 4501:功能性材料層 4502:停止層 4503:大塊基底 4504:硬遮罩層 4505:繫鏈 4600:方法 4601~4609:步驟 4701:犧牲層 4702:停止層 4703:大塊基底 4704:硬遮罩 4705:奈米線 4706:犧牲間隙填充材料 4707:薄導電層 4708:封裝塗層 4709:犧牲層 4710:橫向繫鏈 4711:多級功能性材料奈米線 4800:方法 4801~4809:步驟 4900:方法 4901~4911:步驟 5000:方法 5001~5011:步驟 5100:方法 5101~5111:步驟 5200:方法 5201~5210:步驟 5300:方法 5301~5303:步驟 R optics:曲率
於結合所附圖式細看所附說明書時可更好地理解本案,其中:
[圖1A至圖1B]繪示根據本案一實施例使用示例性陽極氧化製程在陽極氧化鋁(Anodic Aluminum Oxide,AAO)中形成示例性奈米結構; [圖2]係根據本案一實施例之執行原子式精確電化學蝕刻(Atomically precise Electrochemical Etching,AE2)製程之方法的流程圖; [圖3A至圖3F]描繪根據本案一實施例使用圖2中所述之步驟執行AE2製程的剖視圖; [圖4]繪示根據本案一實施例的示例性卷對卷(roll-to-roll,R2R)AE2製程; [圖5A至圖5B]繪示根據本案一實施例之用於側向蝕刻劑流的示例性設計; [圖6]繪示根據本案一實施例之用於製程基底之整個區域之蝕刻劑引入與引出的示例性設計; [圖7A至圖7B]繪示根據本案一實施例之具有偏心旋轉的蝕刻劑噴淋臂與被動式重力驅動的蝕刻劑流出的示例性旋轉噴淋型蝕刻劑流動系統; [圖8]繪示根據本案一實施例之具有滑動蝕刻區的示例性設計; [圖9A與圖9B]繪示根據本案一實施例之用於蝕刻劑攪拌的示例性雙軸雙臂組件; [圖10]繪示根據本案一實施例之具有製程腔、混合腔、前驅物儲存室、幫浦組件以及蝕刻劑與前驅物狀態感測與致動機構的整個蝕刻劑流動組件; [圖11]繪示根據本案一實施例的真空式脫氣策略; [圖12A至圖12D]繪示根據本案一實施例的示例性蝕刻劑冷凍式反應淬滅; [圖13A至圖13D]繪示根據本案一實施例的蝕刻劑冷凍與昇華式反應淬滅; [圖14]繪示根據本案一實施例之在反應淬滅與啟動期間用於熱補償的示例性設計; [圖15A至圖15B]繪示根據本案一實施例之具有完全基底覆蓋的示例性原位計量系統; [圖16]繪示根據本案一實施例之具有可掃描光學器件的示例性原位計量系統; [圖17]繪示根據本案一實施例之數位微鏡裝置(Digital Micromirror Device,DMD)調變式基底熱控制的示例性設計; [圖18]繪示根據本案一實施例之用於電場控制之AE2工具的剖面; [圖19A至圖19B]繪示根據本案一實施例的示例性邊緣接觸設計,其顯示了在製程基底之外邊緣上進行接觸的前側密封件; [圖20]繪示根據本案一實施例之具有背側流體的示例性背側接觸; [圖21]繪示根據本案一實施例使用真空卡盤的示例性背側接觸; [圖22]係根據本案一實施例之用於形成功能性材料之奈米線之方法的流程圖; [圖23A至圖23C]描繪根據本案一實施例之使用圖22中所述步驟形成功能性材料之奈米線的剖視圖; [圖24A至圖24C]描繪根據本案一實施例之在形成功能性材料之奈米線之製程中功能性材料的剖視圖; [圖25]係根據本案一實施例之用於形成功能性材料(例如,硼)之奈米線之方法的流程圖; [圖26A至圖26C]描繪根據本案一實施例之使用圖25之方法所產生之最終結構的各種版本; [圖27A至圖27C]分別根據本案一實施例描繪圖26A至圖26C之最終結構的剖視圖; [圖28]係根據本案一實施例之用於形成功能材料之奈米線之方法的流程圖; [圖29A]繪示根據本案一實施例之在不使用脈衝陽極氧化技術時使用圖28之方法的最終結構; [圖29B]繪示根據本案一實施例之在使用脈衝陽極氧化技術時使用圖28之方法的最終結構; [圖30A至圖30B]繪示根據本案一實施例之用於塌陷預防及/或不塌陷的塌陷奈米結構; [圖31]係根據本案一實施例之用於形成硼(或任何其他功能性材料)之奈米線之方法的流程圖; [圖32A至圖32D]描繪根據本案一實施例之使用圖31中所述之步驟形成硼(或任何其他功能性材料)之奈米線的剖視圖; [圖33]係根據本案一實施例之使用MACE與金屬斷裂技術製造矽與多晶矽結構之方法的流程圖; [圖34A至圖34D]描繪根據本案一實施例之根據圖33中所描述之步驟使用MACE與金屬斷裂技術製造矽與多晶矽結構的剖視圖; [圖35A]係根據本案一實施例之多晶矽柱之剖面的掃描式電子顯微鏡(SEM)影像(刻度尺為200 nm); [圖35B]係根據本案一實施例之多晶矽柱之傾斜剖面的SEM影像(刻度尺為1微米); [圖36]係根據本案一實施例之用於創造反轉色調之壓印模板之方法的流程圖; [圖37A至圖37C]描繪根據本案一實施例之使用圖36中所述步驟創造反轉色調之壓印模板的剖視圖; [圖38A]係根據本案一實施例之具有約170 nm間距之多晶矽柱之剖面的SEM影像(刻度尺為200 nm); [圖38B]係根據本案一實施例之在移除阻帽後具有約30 nm間距之多晶矽柱之剖面的SEM影像(刻度尺為200 nm); [圖39A]繪示根據本案一實施例之根據圖36之步驟使用MACE所製造之多晶矽鑽石柱的剖面SEM影像(刻度尺為400 nm); [圖39B]繪示根據本案一實施例之根據圖36之步驟使用MACE所製造之多晶矽鑽石柱之由上往下的SEM影像(刻度尺為500 nm); [圖39C]繪示根據本案一實施例之根據圖36之步驟使用MACE所製造之多晶矽鑽石柱之由上往下的SEM影像(刻度尺為100 nm); [圖40]係根據本案一實施例之在蝕刻多晶矽膜與矽之界面後之鰭的剖面SEM影像(刻度尺為800 nm); [圖41]繪示根據本案一實施例之減輕殘留層中的干擾; [圖42]繪示根據本案一實施例的原位監測與控制過程; [圖43A]繪示根據本案一實施例的示例性AE2製程; [圖43B]繪示根據本案一實施例的多孔載體(holey carrier); [圖44]係根據本案一實施例之用於創造具有用於穩定性之繫鏈(tethers)之功能性材料高深寬比奈米結構之方法的流程圖; [圖45A至圖45E]描繪根據本案一實施例之使用圖44中所述步驟創造具有用於穩定性之繫鏈之功能性材料高深寬比奈米結構的剖視圖; [圖46]係根據本案一實施例之用於創造具有用於穩定性之繫鏈之功能性材料高深寬比奈米結構之替代方法的流程圖; [圖47A至圖47G]描繪根據本案一實施例之使用圖46中所述步驟創造具有用於穩定性之繫鏈之功能性材料高深寬比奈米結構的剖視圖; [圖48]繪示根據本案一實施例之用於創造功能性材料奈米結構之RIE式製程的方法; [圖49]係根據本案一實施例之使用CVD式填孔創造功能性材料奈米結構之方法的流程圖; [圖50]係根據本案一實施例之使用ALD式填孔創造功能性材料奈米結構之方法的流程圖; [圖51]係根據本案一實施例之用於包含用以填孔之聚合物之功能性材料的旋轉塗覆方法的流程圖; [圖52]係根據本案一實施例之用於受限/模板化之VLS/VS功能性材料生長的方法的流程圖;以及 [圖53]係根據本案一實施例之用於多層製程之方法的流程圖。
200:方法
201~206:步驟

Claims (18)

  1. 一種用以在任意功能性材料中製造高深寬比奈米結構的方法,該方法包含: 在現有之N層的複數奈米結構上方沉積一第(N+1)層的基底材料,其中N為自然數; 在該第(N+1)層的該基底材料中進行一圖案化與一蝕刻,以在該基底材料中創造複數互補奈米結構; 在該些互補奈米結構上執行間隙填充材料、封裝層與功能性材料的共形塗覆,以在該第(N+1)層中創造複數功能性材料奈米結構;及 在該基底材料上執行一組選擇性蝕刻,從而在該功能性材料中留下複數多層高深寬比奈米結構。
  2. 如請求項1所述的方法,其中該些多層高深寬比奈米結構的一總高度大於以下群組中之一:10 µm、20 µm、50 µm、100 µm、200 µm以及400 µm。
  3. 如請求項1所述的方法,其中該些多層高深寬比奈米結構的一特徵間距小於以下群組中之一:500 nm、200 nm、100 nm、50 nm、20 nm、10 nm以及5 nm。
  4. 如請求項1所述的方法,其中該些多層高深寬比奈米結構的一最終深寬比大於以下群組中之一:10:1、20:1、50:1、100:1、200:1、500:1、1000:1、2000:1、5000:1、10000:1、50000:1以及100000:1。
  5. 如請求項1所述的方法,其中該N大於以下群組中之一:2、5、10、20、50以及100。
  6. 如請求項1所述的方法,其中該基底材料是以下群組中之一或其組合:矽、含矽材料、氧化矽、旋塗氧化物、碳化矽、氮化矽、多晶矽、非晶矽、氧化鋁、金屬、聚合物、旋塗聚合物、碳、含碳材料、類金屬、硼、碳化硼以及氮化硼。
  7. 如請求項1所述的方法,其中該圖案化是以下群組中之一:奈米壓印微影、光學微影、電子束微影、干涉微影、自對準奈米圖形化技術、奈米球微影以及位移泰伯微影(displacement talbot lithography)。
  8. 如請求項1所述的方法,其中該蝕刻是以下群組中之一:金屬輔助化學蝕刻(MACE)、金(Au)MACE、釕(Ru)MACE、鉑(Pt)MACE、氣相MACE、液相MACE、反應離子蝕刻以及深反應離子蝕刻。
  9. 如請求項1所述的方法,其中該些互補奈米結構具有以下群組中之一的橫截面:圓形、具有圓角的正方形以及具有圓角的多邊形。
  10. 如請求項1所述的方法,其中該第(N+1)層的該基底材料是利用以下群組中的一或多個方法沉積在該現有之N層的該些奈米結構的頂部:熔融接合、直接接合、混合接合、陽極接合以及共價鍵結。
  11. 如請求項1所述的方法,其中該間隙填充材料、該封裝材料與該功能性材料之塗覆的執行是利用以下群組中的一或多個方法:可回流聚合物(reflowable polymer)的旋轉塗覆、化學氣相沉積以及共形塗覆製程。
  12. 如請求項1所述的方法,其中該間隙填充材料、該封裝材料與該功能性材料包含以下群組中的一或多個:矽、含矽材料、氧化矽、旋塗氧化物、碳化矽、氮化矽、多晶矽、非晶矽、氧化鋁、金屬、聚合物、旋塗聚合物、碳、含碳材料、類金屬、硼、碳化硼、氮化硼、聚合物衍生的氮化硼、聚硼氮雜烷(polyborazylene)、硼氫基聚合物(boron-hydride-based  polymers)、旋塗玻璃、旋塗碳、旋塗氧化物、氮化鈦、鑽石以及化學氣相沉積鑽石(CVD diamond)。
  13. 如請求項1所述的方法,其中該組選擇性蝕刻包含以下群組中的一或多個:二氟化氙(XeF 2)蝕刻、基於氟聚合物的蝕刻(利用四氟化碳(CF 4)、三氟甲烷(CHF 3))、氣相氫氟酸(HF)、氫氟酸、電漿蝕刻、濕蝕刻、氣相蝕刻、結晶蝕刻、氫氧化鉀(KOH)蝕刻、深反應離子蝕刻(DRIE)以及反應離子蝕刻(RIE)。
  14. 如請求項1所述的方法,其中在該些互補奈米結構之尖端附近的一側壁斜面,或者在該些互補奈米結構之該尖端附近的一步驟以及在該功能性材料塗覆在該些互補奈米結構上之後的一平坦化步驟是用以在該功能性材料中創造複數橫向繫鏈(tethers)。
  15. 如請求項14所述的方法,其中該些橫向繫鏈提升該些多層高深寬比奈米結構的結構穩定性。
  16. 如請求項1所述的方法,其中該沉積、該圖案化與該蝕刻中的二者或更多者、該共形塗覆的執行以及該組選擇性蝕刻的執行是以卷對卷的方式執行。
  17. 如請求項1所述的方法,更包含: 控制或檢測奈米結構橫向尺寸均勻性、奈米結構高度均勻性、奈米結構良率及/或關於該些多層高深寬比奈米結構的奈米坍塌。
  18. 如請求項1所述的方法,其中在該第(N+1)層的該些功能性材料奈米結構是在該第(N+1)層的該圖案化期間中製造在該現有之N層的頂部並且對準於該現有之N層的一或多個。
TW112113180A 2022-04-07 2023-04-07 用於電化學蝕刻的工具與製程 TW202347496A (zh)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US202263328510P 2022-04-07 2022-04-07
US63/328,510 2022-04-07
US202263343003P 2022-05-17 2022-05-17
US202263342717P 2022-05-17 2022-05-17
US202263342699P 2022-05-17 2022-05-17
US63/342,717 2022-05-17
US63/342,699 2022-05-17
US63/343,003 2022-05-17
US202263349946P 2022-06-07 2022-06-07
US63/349,946 2022-06-07

Publications (1)

Publication Number Publication Date
TW202347496A true TW202347496A (zh) 2023-12-01

Family

ID=88243457

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112113180A TW202347496A (zh) 2022-04-07 2023-04-07 用於電化學蝕刻的工具與製程

Country Status (2)

Country Link
TW (1) TW202347496A (zh)
WO (1) WO2023196493A1 (zh)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160104582A1 (en) * 2014-08-11 2016-04-14 Texas Tech University System Periodic nanostructures for high energy-density and high power-density devices and systems and uses thereof
EP3431637A1 (en) * 2017-07-18 2019-01-23 IMEC vzw Porous solid materials and methods for fabrication
WO2020176425A1 (en) * 2019-02-25 2020-09-03 Board Of Regents, The University Of Texas System Large area metrology and process control for anisotropic chemical etching

Also Published As

Publication number Publication date
WO2023196493A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
TWI815315B (zh) 用於異向性化學蝕刻之大面積計量和製程控制
JP7328220B2 (ja) 触媒促進パターン転写技術
TW200413243A (en) Self-organized nanopore arrays with controlled symmetry and order
JP2013503057A (ja) 機能ナノ粒子
US20120214066A1 (en) High Aspect Ratio Patterning of Silicon
US9359195B2 (en) Method of forming a nano-structure
Mallavarapu et al. Scalable fabrication and metrology of silicon nanowire arrays made by metal assisted chemical etch
US9410260B2 (en) Method of forming a nano-structure
TW201707054A (zh) 高密度電容器結構及方法
Huang et al. The atomic layer deposition array defined by etch-back technique: A new method to fabricate TiO2 nanopillars, nanotubes and nanochannel arrays
JP5782460B2 (ja) 材料除去及びパターン転写の方法及びシステム
TW202347496A (zh) 用於電化學蝕刻的工具與製程
JP4721794B2 (ja) 微細構造物の作製方法
Huang et al. Fabrication of metallic nanodisc hexagonal arrays using nanosphere lithography and two-step lift-off
EP3055249B1 (fr) Substrat microstructure
WO2015157501A1 (en) Ultra-long silicon nanostructures, and methods of forming and transferring the same
KR101213969B1 (ko) 불균일한 표면요철부를 갖는 나노 스케일의 구조물 제조 방법
Lema Galindo et al. Simultaneous Micro-and Nanoscale Silicon Fabrication by Metal-Assisted Chemical Etch
Barrera Resolution limits of metal assisted chemical etching of polysilicon
US20230187213A1 (en) Nanofabrication of collapse-free high aspect ratio nanostructures
CN116583932A (zh) 用于受催化剂影响的化学蚀刻的设备和工艺技术
KR101213973B1 (ko) 불순물을 이용한 표면 요철부를 갖는 나노 스케일의 구조물 제조 방법
Yin Fabrication of silicon nanowires with controlled nano-scale shapes using wet anisotropic etching
Mallavarapu Scalable and CMOS-compatible catalyst assisted chemical etch
Belarouci et al. Engineering high aspect-ratio silicon nanostructures