TW202343159A - 決定半導體或平板顯示器製造之形狀的方法與系統 - Google Patents

決定半導體或平板顯示器製造之形狀的方法與系統 Download PDF

Info

Publication number
TW202343159A
TW202343159A TW112124174A TW112124174A TW202343159A TW 202343159 A TW202343159 A TW 202343159A TW 112124174 A TW112124174 A TW 112124174A TW 112124174 A TW112124174 A TW 112124174A TW 202343159 A TW202343159 A TW 202343159A
Authority
TW
Taiwan
Prior art keywords
pattern
image
mask
substrate
design
Prior art date
Application number
TW112124174A
Other languages
English (en)
Inventor
藤村晶
納吉什 希拉利
唐納 歐里歐丹
Original Assignee
美商D2S公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商D2S公司 filed Critical 美商D2S公司
Publication of TW202343159A publication Critical patent/TW202343159A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • G06N3/0455Auto-encoder networks; Encoder-decoder networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/0464Convolutional networks [CNN, ConvNet]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/082Learning methods modifying the architecture, e.g. adding, deleting or silencing nodes or connections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/01Dynamic search techniques; Heuristics; Dynamic trees; Branch-and-bound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Biophysics (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • Artificial Intelligence (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Computational Linguistics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Liquid Crystal (AREA)
  • Electron Beam Exposure (AREA)

Abstract

一種計算待製作於基板上之圖案的方法包含輸入實體設計圖案、決定實體設計圖案的多個可能鄰域、產生實體設計圖案的多個可能遮罩設計、計算基板上的多個可能圖案、根據多個可能圖案計算變化帶,以及修改實體設計圖案以減小變化帶。實施例還包含輸入一組參數給神經網路以計算待製作於基板上的圖案、在多個可能鄰域之每一者中計算實體設計之待製作於基板上的多個圖案、以所計算之多個圖案訓練神經網路,以及調整該組參數以減小待製作於基板上的所計算之多個圖案的製造變異。

Description

決定半導體或平板顯示器製造之形狀的方法與系統
本案是關於微影技術,且特別是一種使用帶電粒子束微影來設計與製造掩模板之表面、晶圓之表面或其他任何表面。
三種常見類型的帶電粒子束微影為未成形(高斯)光束微影、成形帶電粒子束微影以及多重射束微影。在帶電粒子束微影的所有類型中,帶電粒子束向一光阻塗覆表面發射能量以暴露光阻。
在諸如積體電路之半導體裝置的生產或製造中,光學微影可用以製造半導體裝置。光學微影是一種印刷製程,其使用由掩模板製造的微影遮罩或光罩在諸如半導體或矽晶圓的基板上形成圖案以製作出積體電路。其他基板可包含平板顯示器或甚至是其他的掩模板。此外,極紫外(extreme ultraviolet,EUV)或X射線微影被認為是光學微影的類型。光罩或多個光罩可包含對應於積體電路之單一層的電路圖案,且此圖案可成像到基板上已塗有稱為光阻或阻劑之輻射敏感性材料層的某個區域。一旦圖案化層形成,此層可經歷各種其他製程,例如蝕刻、離子佈植(摻雜)、金屬化、氧化以及拋光。此些製程用以完成基板中的單一層。如果需要多層,那麼整個過程或其變化將重複於每一新的層。最後,多個裝置或積體電路的組合將出現在基板上。此些積體電路可透過切割或鋸切而彼此分離,並且之後可安裝到個別封裝中。在更普遍的情況下,基板上的圖案可用以定義諸如顯示像素或磁性記錄頭的人工製品。
在諸如積體電路的半導體裝置之生產或製造中,也可以使用無光罩直寫(maskless direct write)來製造半導體裝置。無光罩直寫是一種印刷製程,其使用帶電粒子束微影在諸如半導體或矽晶圓之基板上形成圖案以製造出積體電路。其他基板可包含平板顯示器、用於奈米壓印的壓印遮罩或甚至是掩模板。一層所需的圖案是直接寫在表面上,在這種情況下,表面也是基板。一旦圖案化層形成,此層可經歷各種其他製程,例如蝕刻、離子佈植(摻雜)、金屬化、氧化以及拋光。此些製程用以完成基板中的單一層。如果需要多層,那麼整個過程或其變化將重複於每一新的層。一些層可以使用光學微影來寫入,而其他層可使用無光罩直寫來寫入以製作相同的基板。最後,多個裝置或積體電路的組合將出現在基板上。此些積體電路可透過切割或鋸切而彼此分離,並且之後可安裝到個別封裝中。在更普遍的情況下,基板上的圖案可用以定義諸如顯示像素或磁性記錄頭的人工製品。
在光學微影中,微影遮罩或掩模板包含對應於待集成至基板上之電路元件的幾何圖案。用於製造掩模板的圖案可利用電腦輔助設計(computer-aided design,CAD)軟體或程式來產生。在設計圖案時,電腦輔助設計程式可遵循一組預定設計規則以製造出掩模板。此些規則是由加工、設計與最終用途限制設定的。最終用途限制的一例示是以無法在所需的電源電壓下充分地運作的方式來定義電晶體的幾何形狀。特別是,設計規則可以定義電路裝置或互連線之間的空間容差。舉例而言,設計規則用於確保電路裝置或線路不會以不期望之方式影響另一者。例如,使用設計規則使線路彼此不會以可能造成短路的方式靠的太近。設計規則限制尤其反映出可以可靠製造的最小尺寸。在提到此些小尺寸時,通常會引入臨界尺寸的概念。舉例而言,臨界尺寸被定義為一特徵的重要寬度或區域,或兩個特徵之間的重要空間,或重要空間區域—那些需要精確控制的尺寸。由於積體電路設計的性質,設計中的許多圖案在不同位置重複。一個圖案可能會被重複數百或數千次—圖案的每個副本稱為一個實例。如果在這樣的圖案中發現一個設計規則違規,則可能會有數百或數千個違規被反映出來—圖案的每個實例各一個。
透過光學微影製造之積體電路的一個目標是透過掩模板的使用在基板上再現原始電路設計,其中掩模板(有時稱為遮罩或光罩)是可在使用帶電粒子束微影之製造過程中暴露的表面。積體電路製造商總試圖盡可能有效地使用半導體晶圓的真實可用部分(real estate)。工程師不斷縮小電路的尺寸,以使得積體電路去包含更多電路元件並且使用更少電力。隨著積體電路之臨界尺寸的大小縮小與其電路密度增加,電路圖案或實體設計的臨界尺寸接近傳統光學微影中使用之光學曝光工具之解析限度。隨著電路圖案的臨界尺寸變得更小且接近曝光工具的解析值,實體設計和光阻層上之實際電路圖案的準確轉移變得困難。為了進一步使用光學微影來形成具有小於光學微影製程中使用之光波長的圖案,發展出了一種稱為光學鄰近校正(optical proximity correction,OPC)的製程。光學鄰近校正改變實體設計,以補償由諸如光學繞射以及特徵和鄰近特徵之間的光學交互作用等效應所導致的失真。使用掩模板執行的解析度增強技術(resolution enhancement technologies,RET)包含光學鄰近校正以及反向微影技術(inverse lithography technology,ILT)。
光學鄰近校正可將次解析度微影特徵添加到遮罩圖案以減少原始實體設計圖案(即,設計)和基板上最終創建的電路圖案之間的差異。次解析度微影特徵與實體設計中的原始圖案交互作用並且彼此交互作用,以及補償鄰近效應以改善最終創建的電路圖案。添加以改善圖案形成的一特徵稱為「襯線」(serif)。襯線為可提高特定特徵之印刷製造變化之精度或彈性的小特徵。襯線的一個例示為位於圖案之角上的小特徵,以銳化最終創建圖像的角。意欲印刷於基板上的圖案稱為主要特徵。通常是根據主要特徵(即,反映光學鄰近校正修飾前之設計的特徵)與光學鄰近校正特徵來討論待寫於掩模板上之光學鄰近校正修飾的圖案,其中光學鄰近校正特徵可包含襯線、彎曲(jogs)、次解析度輔助特徵(sub-resolution assist features,SRAFs)以及負面特徵。次解析度輔助特徵是孤立的形狀,與主要特徵無關,並且小到無法印刷在基板上,而襯線、彎曲以及負面特徵會改變主要特徵。光學鄰近校正特徵受限於各種設計規則,例如基於使用光學微影於晶圓上可創建的最小特徵之尺寸的規則。其他設計規則可能來自於遮罩製造過程,或者如果使用特徵投影帶電粒子束寫入系統在掩模板上形成圖案時則來自於模板製造過程。
在一些實施例中,一種計算待製作於基板上之圖案的方法包含輸入實體設計圖案並決定實體設計圖案的多個可能鄰域。產生實體設計圖案的多個可能遮罩設計,其中多個可能遮罩設計對應於多個可能鄰域。計算基板上的多個可能圖案,其中基板上的多個可能圖案對應於多個可能遮罩設計。計算基板上之多個可能圖案的變化帶,以及修改實體設計圖案以減小變化帶。
在一些實施例中,一種計算待製作於基板上之圖案的方法包含輸入實體設計;輸入一組參數給神經網路以計算待製作於基板上的圖案;以及產生實體設計的多個可能鄰域。在多個可能鄰域之每一者中計算實體設計之待製作於基板上的多個圖案。以所計算之多個圖案訓練神經網路,其中訓練是使用運算硬體處理器來執行。調整該組參數以減小待製作於基板上的所計算之多個圖案的製造變異。
本案描述了改善圖案之製造精度與計算時間的方法與系統。實施例允許在製造過程的不同階段(例如,實體設計、遮罩以及基板階段)同時建模多個參數。多個場景的結果被輸出,例如在可視圖表中,以便使用者可近乎實時地查看以及進行更改。實施例估計在遮罩設計與晶圓製造步驟中的變異,並利用統計方法來改善圖案的實體設計。
典型的解析度增強技術(resolution enhancement technologies,RET)方法具有光學鄰近校正(optical proximity correction,OPC)驗證以識別與校正熱點。熱點是需要理想條件才能正確印刷的區域,因此對製造變異沒有彈性,或者在某些情況下即使是在理想條件下也無法正確印刷。熱點導致低產率。在微影中,基板上所需的特徵稱為主要特徵,如果添加的次解析度輔助特徵(sub-resolution assist features,SRAFs)太小而無法自行印刷但仍會對附近的主要特徵之印刷產生有利的影響,則會發現主要特徵可以高保真度以及改善的製程窗口進行印刷。
然而,添加光學鄰近校正特徵,例如次解析度輔助特徵,是一項非常費力的工作,其需要高成本的運算時間而導致較昂貴的掩模板。除了光學鄰近校正圖案複雜之外,光學鄰近效應和最小線以及空間尺寸相比是長距離的,故在給定位置處之正確的光學鄰近校正圖案有很大程度取決於附近的其他幾何形狀。因此,舉例而言,一線端將具有不同大小的襯線(serif),取決於何者在掩模板上與其接近而定。即便目標是在晶圓上產生相同的形狀,亦然如此。此些微小但關鍵的變異很重要,並且防止了可能形成掩模板圖案的其他者以準確地在晶圓上產生所需的形狀。為了量化微小變異的含意,光學鄰近校正裝飾中鄰域至鄰域間的一典型微小變異可為主要特徵之大小的5%至80%。當此些光學鄰近校正變異在晶圓上產生實質相同的圖案時,意味著晶圓上的幾何形狀在特定的誤差範圍內是相同的,其取決於幾何形狀被設計執行的功能細節而定,例如電晶體或導線。然而,典型規格在主要特徵範圍的2%至50%中。
反向微影技術(inverse lithography technology,ILT)是一種光學鄰近校正技術。反向微影技術是一種直接從欲形成於諸如矽晶圓之基板上的一圖案來運算出待製作於掩模板上之圖案的製程。此可包含在反方向模擬光學微影製程中以基板上所需圖案作為輸入。反向微影技術運算出來的掩模板圖案可為純曲線的(即,完全非線性),並且可包含圓形、近圓形、環形、近環形、橢圓形及/或近橢圓形圖案。此些圖案已被證實不適用於常規分裂的(fracturing)可變形電子束(variable shaped beam,VSB)遮罩寫入機,因為需要大量的可變形電子束射孔(VSB shots)來曝露曲線圖案。可以使用曲線圖案的直線近似或直線化。然而,與理想的反向微影技術曲線圖案相比,直線近似會降低精度。此外,如果從理想的反向微影技術曲線圖案產生直線近似,則整體的計算時間與理想的反向微影技術曲線圖案相比會增加。遮罩寫入時間是一個關鍵的商業因素,並且可變形電子束寫入時間與需要印刷之可變形電子束射孔的數量成比例。基於模型的遮罩資料準備使用重疊射孔可以顯著降低曲線的反向微影技術遮罩設計的寫入時間影響。然而,通常曲線形狀比直線形狀需要更長的時間來寫入。
多重射束寫入消除了執行直線化以轉換用於可變形電子束寫入之曲線形狀的需求。但是,對於反向微影技術輸出的遮罩形狀來說,遮罩可印刷性與對製造變異的彈性仍是重要的考慮因素。舉例而言,太小或彼此太接近的形狀,或者在形狀輪廓中具有太尖銳之轉彎的形狀都很難去可靠地製造遮罩,尤其是在製造變異的期間。反向微影技術的剩餘問題在於,對全設計的全遮罩層之密集模擬的巨大運算需求,特別是全掩模板尺寸的設計,對於半導體製造來說,其晶圓尺寸通常約為3.0公分×2.5公分。
現在參閱圖式,其中類似的標號是指類似的物件。圖1示出了微影系統之一實施例,例如帶電粒子束寫入系統。在此例子中是一電子束寫入系統10,其採用可變形電子束來製作表面12。電子束寫入系統10具有朝向孔板18投射電子束16的電子束源14。孔板18形成有允許電子束16通過之孔20。一旦電子束16通過孔20,電子束16便被透鏡系統(未示出)引導或偏轉為朝向另一矩型孔板或模板遮罩24的電子束22。模板遮罩24中形成有若干開口或孔26,該些開口或孔26定義各種簡單的形狀,例如矩形和三角形。模板遮罩24中形成的每個孔26可用於在諸如矽晶圓、掩模板或其他基板之基板34的表面12中形成圖案。電子束30從孔26中之一者射出並通過電磁或靜電縮小透鏡38,此減小了從孔26射出之圖案的大小。在常見可取得的帶電粒子束寫入器系統中,縮減係數介於10與60之間。縮小的電子束40從縮小透鏡38射出,並藉由一系列偏轉器42引導至表面12上作為圖案28。表面12塗佈有與電子束40反應的光阻(未示出)。電子束22可經引導以與孔26之可變部分重疊,從而影響圖案28之大小和形狀。遮蔽板(未示出)用於偏轉電子束16或成形束(電子束)22,以防止電子束在每次投射後之重新調整導引電子束22之透鏡和偏轉器42以用於後續投射的期間中觸及表面12。傳統上,遮蔽期間可為固定長度的時間,或者可根據,例如偏轉器42對於後續投射位置必須重新調整多少而變動。
在電子束寫入系統10中,基板34安裝在可移動平台或載台32上。載台32允許基板34被重新定位,使得大於帶電粒子束(電子束)40之最大偏轉能力或場大小的圖案可在一系列子場中寫入表面12,其中每個子場都在偏轉器42偏轉電子束40的能力內。在一實施例中,基板34可為掩模板。在此實施例中,掩模板在用圖案曝光之後經歷各種製造步驟,透過此些製造步驟,掩模板變成微影遮罩或光罩。遮罩隨後可使用於光學微影機中以將概呈縮減尺寸的掩模板圖案28之影像投射至矽晶圓上,以產生一積體電路。更一般而言,遮罩可使用於另一裝置或機具中,以將圖案28轉移至一基板(未示出)上。
帶電粒子束系統可以用多個可獨立控制的射束或子束來曝光一表面。圖2示出了具有三個帶電粒子子束210的一電光示意圖。與每一子束210關聯的是一射束控制器220。舉例來說,各射束控制器220可以允許與其關聯的子束210去撞擊表面230,也可以防止子束210撞擊表面230。在一些實施例中,射束控制器220還可以控制子束210的射束模糊、放大率、尺寸及/或形狀。在本案中,一個具有多個可獨立控制子束的帶電粒子束系統被稱為是一多重射束系統。在一些實施例中,來自單一來源的帶電粒子可被細分以形成多個子束210。在其他實施例中,可使用多個來源來產生多個子束210。在一些實施例中,子束210可以由一或多個孔來成形,而在其他實施例中,可能沒有孔來成形子束210。各射束控制器220可允許與其關聯之子束210的曝光期間被單獨地控制。一般來說,子束210在撞擊表面230(其通常會被塗佈一光阻)之前將透過一或多個透鏡(未示出)縮小的尺寸。在一些實施例中,每一個子束210可以有單獨的電光學透鏡,而在其他實施例中,多個子束210(可能包含所有子束210)將共用同一個電光學透鏡。
就本案的目的來說,一次投射是指某表面區域在一段時間內的曝光。所述區域可由多個不連續的較小區域組成。一次投射可以包含數個其他投射,它們可能會或可能不會重疊,並且可能會或可能不會被同時曝光。一次投射可能包含一指定的劑量,或者劑量可能未被指定。投射可以使用一成形束、一不成形束或者成形束和不成形束的組合。圖3A至圖3F示出了一些不同類型的投射。圖3A示出了一矩形投射310的一例示。舉例來說,VSB帶電粒子束系統可以在各種x和y維度中形成矩形投射。圖3B示出了一特徵投影(character projection,CP)投射320的一例示,在此例示中其是圓形。圖3C示出了一梯形投射330的一例示。在一實施例中,投射330可以使用一經光柵掃描的帶電粒子束來產生,其中該射束是在如掃描線332所示的x方向上掃描。圖3D示出了一拖曳投射340的例示,其揭示於美國專利申請公開第2011-00890345號中。投射340的形成是由在一個初始參考位置344上用一種曲線成形束342曝光表面,然後把成形束342從位置344移動橫跨該表面到位置346。舉例來說,一種拖曳投射路徑可能是線性的、分段線性的或是曲線的。
圖3E示出了圓形圖案352陣列的投射350的一例示。投射350可以用各種方式形成,包含單一圓形CP符號的多次投射、一種圓形孔陣列之CP符號的一次或多次投射以及採用圓形孔的一次或多次多重射束投射。圖3F示出了矩形圖案362、364稀疏陣列投射360的一例示。投射360可以用各種方式形成,包含多個VSB投射、一CP投射以及採用矩形開孔的一或多個多重射束投射。在多重射束的一些實施例中,投射360可包含多個其他多重射束投射的交錯群組。舉例來說,圖案362可以被同時投射,然後在不同於圖案362的時間點上,圖案364可以被同時投射。
有多種用於在掩模板上形成圖案之技術,包括使用光學微影或帶電粒子束微影。最常使用之系統為可變形電子束(VSB),其中如上文所述,一定劑量之具有諸如曼哈頓矩形以及45度直角三角形之簡單形狀的電子曝光一塗佈有光阻之掩模板表面。在傳統遮罩寫入中,電子之劑量或投射是經設計以避免任何重疊,以大幅簡化掩模板上之光阻將如何定位圖案之計算。類似地,該組投射是經設計以完全覆蓋待形成在掩模板上的圖案區。本專利申請案之受讓人所擁有的美國專利第7,754,401號揭露了一種遮罩寫入方法,其中用於寫入圖案之刻意投射重疊被使用。當使用重疊投射時,帶電粒子束模擬可用以決定將定位在掩模板之光阻上的圖案。重疊投射之使用可允許圖案在減少之投射數或較高準確性或二者之下被寫入。美國專利第7,754,401號亦揭露劑量調製的使用,其中所分配之投射劑量相對於其他投射之劑量而變化。該基於模型式分裂的術語是用於描述使用美國專利第7,754,401號之技術決定投射之過程。
圖4示出了帶電粒子束曝光系統400的一實施例。帶電粒子束系統400是一種多重射束系統,其中多個可獨立控制的成形束可同時曝光一表面。多重射束系統(帶電粒子束曝光系統)400具有可產生電子束404的電子束源402。電子束404被集光器406導引到孔板408,集光器406可包含靜電及/或磁性元件。孔板408具有多個開孔410,其被電子束404照射,並且電子束404會通過它們以形成多個成形子束436。在一些實施例中,孔板408可能具有數百個或數千個開孔410。雖然圖4示出了使用單一電子束源402的一實施例,但在其他的實施例中,開孔410可以被來自數個電子束源的電子照射。開孔410可以是矩形的,或者可以是不同的形狀,例如圓形。接著,該組子束436照射一遮蔽控制器板432。遮蔽控制器板432具有多個遮蔽控制器434,每一個遮蔽控制器434都對準一個子束436。各遮蔽控制器434可以單獨地控制與其關聯的子束436,從而允許該子束436撞擊表面424,或是防止子束436撞擊表面424。射束撞擊表面的時間長短控制了由子束所施加的總能量或「劑量」。因此,每一子束的劑量可以被獨立地控制。射束所撞擊之表面的區域可包含整個像素的一部份。
多重射束系統之修改單一像素之劑量以偏置形狀之邊緣的能力揭露於本專利申請案之受讓人所擁有的美國專利第10,444,629號「微影的偏置校正」。美國專利第10,444,629號亦揭露了改善劑量裕度,從而使邊緣不易受到製造變異的影響。此種逐像素修改劑量的方法可稱為像素級劑量校正(pixel level dose correction,PLDC)。
在圖4中,允許撞擊表面424的該些子束被示為子束412。在一實施例中,遮蔽控制器434透過偏轉子束436使其被一個包含一開孔418的孔板416所阻擋,從而防止其子束436去撞擊表面424。在一些實施例中,遮蔽控制器板432可直接相鄰於孔板408。在其他實施例中,孔板408和遮蔽控制器432的相對位置可以與圖4中所示的位置相互顛倒,使得射束(電子束)404撞擊多個遮蔽控制器434。包含元件414、420和422的一個透鏡系統允許多個子束412投影到基板426的表面424上,通常是以一個比多個開孔410更為縮小的尺寸。該縮小尺寸子束形成一個子束群440,子束群440撞擊表面424以形成匹配於該些開孔410之一子集的圖案,該子集為相對應之遮蔽控制器434允許子束436去撞擊表面424的那些開孔410。在圖4中,子束群440具有四個示出以在表面424上形成一圖案的子束。
基板426被定位在可移動平台或載台428上,其可使用致動器430來重新定位。藉由移動載台428,射束(子束群)440可藉使用數次曝光或投射來曝光一個大於由子束群440所形成之最大圖案之尺寸的區域。在一些實施例中,載台428在一曝光中保持靜止,然後被重新定位以用於後續的曝光。在其他實施例中,載台428以一可變速度連續地移動。在另一些實施例中,載台428以一固定速度連續地移動,此可增加載台定位的準確性。對於載台428連續移動的那些實施例,可使用一組偏向器(圖未示)來移動該射束以匹配載台428的方向和速度,從而允許子束群440在一曝光中相對於表面424是保持靜止的。在多重射束系統的其他實施例中,在一子束群中的個別子束可以獨立於子束群中的其他的子束,而被被偏轉以橫跨表面424。在一些實施例中,載台428可在橫跨曝光之整個區域的單一方向上移動,以曝光整個區域的一部分,該部分被稱為一條紋。因此,曝光的整個曝光區域被曝光成多個條紋。在一些實施例中,載台428於相鄰或交互的條紋上以相反方向移動。
其他類型的多重射束系統可以產生多個未成形的子束436,例如藉由使用多個帶電粒子束源以產生一高斯子束陣列。
再次參閱圖1,可以合理之準確度投影到一表面12上的最小圖案尺寸受限於與電子束寫入器系統10以及表面12有關的各種短程物理效應,表面12通常包含一種塗佈在基板34上的光阻。此些效應包含前向散射、庫侖效應以及光阻擴散。射束模糊,也稱為β f,是一種用來包含所有此些短程效應的術語。最新的電子束寫入系統可以實現在20奈米(nm)至30奈米之範圍內的有效射束模糊半徑或β f。前向散射可構成總射束模糊的四分之一到二分之一。新的電子束寫入系統包含許多將射束模糊之各個構成成分降到最低的機構。由於射束模糊的一些成分是一粒子束寫入器之校準水平的函數,因此相同設計的兩台粒子束寫入器的β f可能有所不同。光阻的擴散特性也可能變化。基於投射尺寸或投射劑量的β f變化可以被模擬和被系統性地解釋。但還有其他無法或未被解釋的效應,它們以隨機變異的方式出現。
帶電粒子束寫入器(例如一電子束寫入器系統)的投射劑量是射束源(電子束源)14的強度以及每一次投射之曝光時間的函數。通常射束強度保持固定,而在曝光時間上做變化以獲得可變的投射劑量。在一次投射中,不同的區域可具有不同的曝光時間,例如在一多重射束投射中。在一種被稱為是鄰近效應校正(proximity effect correction,PEC)的製程中,曝光時間可被變化以補償各種的長程效應,例如後向散射、霧化以及負載效應。電子束寫入器系統通常允許設定一總劑量,稱為一基本劑量,其會影響在一次曝光操作中所有的投射。一些電子束寫入器系統會在電子束寫入器系統本身內進行劑量補償計算,且不允許每次投射的劑量被單獨指派成為輸入投射列表的一部分,因此此些輸入投射具有未指派的投射劑量。在此種電子束寫入器系統中,所有投射在PEC之前都具有基本劑量。其他電子束寫入器系統允許在逐個投射的基礎上指派劑量。在允許逐個投射劑量指派的電子束寫入器系統中,可用劑量層級的數量可能是64到4096或更多,或可有相對較少的可用劑量層級,例如3至8層級。
電子束寫入器系統中的機構具有一相對粗略的解析度計算。因此,現有的電子束寫入器無法精準地計算出,例如級紫外線(extreme ultraviolet,EUV)遮罩在2微米(μm)之範圍中所需的中程校正。
舉例而言,在使用帶電粒子束微影曝光在表面上的重複圖案時,由於製造變異所致,在最終製成之表面上所量測到的每一圖案實例之尺寸將略有不同。尺寸變異量是重要的製造優化標準。在當前的遮罩遮蔽下,可能需要圖案尺寸不超過1nm(1 sigma)之均方根(root mean square,RMS)變異。更多尺寸變異意味著更多的電路效能差異,導致需要更高的設計裕度,使得更加難以設計出更快、功耗更低的積體電路。此變異稱為臨界尺寸(critical dimension,CD)變異。低CD變異為期望的且指示出製造差異將在最終製成之表面上產生相對小的尺寸變異。在較小的尺度中,高CD變異的影響可被觀察為線緣粗糙(line edge roughness,LER)。線緣粗糙是由製造略有不同之線緣的每一部分所引起,導致預想具有筆直邊緣的線中出現一些波紋。除此之外,CD變異反向相關於劑量曲線在光阻臨界值的斜率(其稱為邊緣斜率)。因此,邊緣斜率或劑量裕度為表面之粒子束寫入的一關鍵優化因素。在本案中,邊緣斜率及劑量裕度為可互換使用之術語。
圖5A至圖5B示出了臨界尺寸變異如何可藉由在光阻上曝光圖案而減少,以在曝光或劑量曲線中產生一相對高的邊緣斜率,如本專利申請案之受讓人所擁有的美國專利第8,473,875號(標題為“Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography”)所敘述。圖5A示出了一截面劑量曲線502,其中X軸表示穿過一曝光圖案的截面距離,例如垂直於兩個圖案邊緣的距離,且Y軸表示被光阻接收的劑量。一圖案被接受劑量高於一臨界值的光阻所記錄。圖5A示出了兩個臨界值,其說明了光阻敏感度變異的影響。較高的臨界值504導致寬度514的圖案被光阻記錄。較低的臨界值506導致寬度516的圖案被光阻記錄,其中寬度516大於寬度514。圖5B示出了另一個截面劑量曲線522。示出了兩個臨界值,其中臨界值524與圖5A的臨界值504相同,且臨界值526與圖5A的臨界值506相同。在兩個臨界值附近,劑量曲線522的斜率比劑量曲線502的斜率大。對於劑量曲線522,較高的臨界值524導致寬度534的圖案被光阻記錄。較低的臨界值526導致寬度536的圖案被光阻記錄。正如所見,寬度536與寬度534之間的差異比寬度516與寬度514之間的差異小,此乃因為劑量曲線522的邊緣斜率較劑量曲線502更大。如果塗佈有光阻的表面為一掩模板,則曲線522對光阻臨界值變異的低敏感性會導致由掩模板製成之光罩上的圖案寬度變得接近於光罩的目標圖案寬度,從而當光罩用於在諸如矽晶圓的基板上形成圖案時,提高了可用積體電路的產率。在具有較大邊緣斜率的劑量曲線,可觀察到類似的改善在對每一投射之劑量變異的耐受度中。因此,達到如劑量曲線522的一相對較高邊緣斜率為所期望的。
在半導體製造中的設計單元(例如,來自元件庫的記憶體單元或標準單元)是電子組件在實體設計中的抽象表示。標準元件設計方法允許設計人員在相對簡單至複雜的設計中重複使用組件。一個單元可由包含大小和方向不同之形狀的數個層所組成。在一設計中,一個單元或單元內一給定層的一組形狀相對隔離放置且附近沒有相鄰的形狀,將導致基板上的圖案與將單元和其他單元及/或形狀放置在其緊鄰鄰域時不同,即同一層上具有非常接近的不同相鄰形狀。圖6示出了包含兩個單元(單元A與單元B)的標準單元在各種合法方向中的一例示。由於相鄰單元中之幾何形狀彼此接近(即,在同一個鄰域中),每個方向可能導致替各單元計算出之遮罩設計的變異。如前所述,OPC會因光學散射以及特徵和鄰近特徵的光學交互作用而變化。在PEC細化步驟中,投射劑量係根據每個鄰域的各種長程效應的需要而調整。
製造過程變異以及鄰域引起的變異對設計性能與製造可靠度有很大的影響,使得電路及/或遮罩設計者期望在他們實際設計的內容中能將不同變異源的影響可視化。舉例而言,製程變異可導致光罩上圖案的寬度不同於預期的或目標寬度。光罩上的圖案寬度變異將導致在光學微影製程中使用光罩曝光的晶圓上之圖案寬度變異。晶圓圖案寬度對光罩圖案寬度變異的敏感性稱為遮罩邊緣誤差因子(mask edge error factor),或MEEF。在使用4x光罩的光學微影系統中,光學微影製程將光罩圖案的4x縮小版本投影到晶圓上,MEEF例如為1表示對於光罩上圖案寬度的每1nm誤差,則晶圓上的圖案寬度將改變0.25nm。MEEF為2表示對於光罩上圖案寬度的1nm誤差,則晶圓上的圖案寬度將改變0.5 nm。對於最小積體電路製程,MEEF可能大於2。藉由對這些變異源/影響的良好可視化/理解,設計者可修改設計本身(或構成設計的形狀)以對此類變異更加穩健。
圖7是根據一些實施例之計算待製作於諸如矽晶圓之基板上之圖案的概念流程圖700。在第一步驟中,輸入實體設計圖案702,例如積體電路的實體設計。在一實施例中,待製作於基板上的圖案可以從實體設計圖案計算出來。此些計算可包含決定邏輯閘、電晶體、金屬層以及其他需要在例如積體電路之實體設計中找到的其他物件。實體設計可為直線的、分段線性的、部分曲線的或完全曲線的。特別地,曲線圖案是極度運算密集的,因此能藉由計算來自如本案實施例中多個製造階段之變異的累積影響來優化圖案是非常有價值的。
步驟704涉及產生實體設計的多個可能鄰域。在一些實施例中,實體設計圖案為完整設計的一部分,並且在步驟704中產生的多個可能鄰域是用於實體設計圖案的多個實際鄰域。鄰域變異可被合成。舉例而言,一種方法可能是將一個單元隨機放置在它最終可能進入的所有可能鄰域中,即被在實際電路設計中最有可能包圍它的各種相鄰單元所包圍。在一些實施例中,實體設計圖案的該部分是實體設計圖案的一實例,並且多個可能鄰域包含每一實例的所有鄰域。因此,感興趣之單元的實例(在其各種合法方向上)將與各種相鄰單元之各種方向並排放置,那些各種相鄰單元之實例放置在上方/下方、左側或右側,並且在放置中具有各種偏移。在一些實施例中,完整設計的該部分是一個包含多個標準單元的標準單元設計,並且多個可能鄰域包含標準單元的所有合法方向。
在步驟706中,可以從實體設計創建基體層的複合物,其中一些被分至遮罩層。此步驟還包含有時稱為著色步驟或著色,其中在掩模板層上的各特徵都被著色以反映特徵對特定遮罩層的分配。著色步驟706可在光學鄰近校正(OPC)之前對實體設計圖案執行。在步驟708中,可對實體設計圖案執行OPC以產生多個可能遮罩設計710,其中多個遮罩設計中的各遮罩設計對應於步驟704中產生的多個可能鄰域。多個可能遮罩設計710可被組合以創建具有變異的標稱遮罩設計。傳統上,可以使用例如1.0之標稱劑量,並基於例如0.5之臨界值計算遮罩設計的標稱輪廓來決定標稱遮罩設計。在一實施例中,遮罩設計的標稱輪廓是從多個可能遮罩設計710計算出來的。可計算出在步驟704中產生之所有可能鄰域的變異。
在本案的一實施例中,OPC步驟708可包含產生理想曲線ILT圖案的ILT。在其他實施例中,可以使用具有曲線圖案之直線化的ILT。
相同實體設計圖案的OPC特徵或ILT圖案將隨鄰域而變。多個可能遮罩設計圖像可從多個可能鄰域之每一者中的多個可能遮罩設計計算出來。在一實施例中,標稱遮罩設計可以根據在許多可能鄰域中計算出的OPC特徵或ILT圖案來計算。在一些實施例中,多個可能遮罩圖案可以儲存在一檔案系統726中,檔案系統726可在磁碟上或在記憶體任何其他儲存裝置中。
在一些實施例中,步驟716的遮罩製程模擬可包含替遮罩寫入器準備遮罩設計的遮罩資料準備(mask data preparation,MDP)。此步驟包含「分解(fracturing)」資料成梯形、矩形或三角形。遮罩製程校正(mask process correction,MPC)也可以包含在步驟716中。MPC在幾何上修改形狀及/或形狀的分配劑量以使遮罩上的最終圖案更接近於期望圖案。MDP可使用可能遮罩設計710或MPC的結果作為輸入。MPC可作為分解(fracturing)或其他MDP操作之一部分來執行。其他校正也可以作為分解或其他MDP操作之一部分來執行,可能的校正包含:前向散射、光阻擴散、庫倫效應、蝕刻、後向散射、霧化、負載、光阻充電以及EUV中程散射。像素級劑量校正(pixel-level dose correction,PLDC)也可以應用在步驟716中。在其他實施例中,可產生用於多重射束的VSB投射列表或曝光資訊以從可能遮罩設計710生成多個可能遮罩圖像718。在一些實施例中,為多個計算遮罩圖案中之一計算遮罩圖案產生一組VSB投射。在一些實施例中,MPC及/或MDP可對可能遮罩設計710執行。
在步驟716中,計算多個可能遮罩圖像718可包含帶電粒子束模擬。在一些實施例中,多個可能遮罩圖像718可儲存在檔案系統726。可以模擬的效應包含前向散射、後向散射、光阻擴散、庫倫效應、霧化、負載以及光阻充電。步驟716也可以包含遮罩製程模擬,其中計算了各種後曝光製程的效應。此些後曝光製程可包含光阻烘烤、光阻顯影以及蝕刻。當對任何給定層上之遮罩執行帶電粒子束模擬時,可在一系列製程變異上執行模擬以建立遮罩本身的可製造性輪廓。輪廓可以從標稱輪廓延伸,其中標稱輪廓是基於在特定光阻臨界值,例如臨界值為0.5,來產生的圖案。在一些實施例中,計算曝光劑量中的一給定百分比差異,例如+/-10%劑量變異創建用於在視埠728顯示之具變異的遮罩圖像,視埠728包含圍繞標稱輪廓之製程變異帶的上限與下限。在一些實施例中,正、負變異可彼此不同,例如+10%以及-8%。帶電粒子束模擬以及遮罩製程模擬在步驟716中可彼此分開執行。
在步驟720的基板模擬中,計算可能基板圖案722可包含使用計算遮罩圖像(可能遮罩圖像)718的微影模擬。可根據多個遮罩圖像計算基板上的多個可能圖案。基板上之多個可能圖案中的每一圖案對應於一組製造變異參數。根據計算遮罩圖像計算基板圖案描述於本專利申請案之受讓人所擁有的美國專利第8,719,739號,標題為“Method and System for Forming High Accuracy Patterns Using Charged Particle Beam Lithography”。基板上的多個可能圖案722可以組合以創建具變異的標稱基板圖案。在一些實施例中,基板圖案變異的來源將包含曝光(劑量)中之一些給定變異和焦深中之一些給定變異的組合,例如+/-10%在曝光中,以及+/-30nm在焦深中。在一些實施例中,正、負變異可彼此不同,例如+5%/-7%以及30nm/-28nm。傳統上,統計方法用以從標稱輪廓創建3-sigma變異。該變異包含小於標稱輪廓之下限3-sigma的最小值,以及大於標稱輪廓之上限3-sigma的最大值。在一些實施例中,不是計算從標稱輪廓延伸之3-sigma變異,而是透過組合包含具有下限和上限之製程變異帶的多個遮罩圖像718來創建具變異之遮罩圖像。在一些實施例中,可以使用具變異之遮罩圖像的光學微影製程在晶圓上形成基板圖案。在一些實施例中,基板上之多個可能圖案可儲存在檔案系統726中。在一些實施例中,晶圓製程模擬是施加在基板圖案上。晶圓製程模擬可包含光阻烘烤、光阻顯影以及蝕刻。微影模擬720與晶圓製程模擬可以是分開的步驟,可選地每個步驟具有製程變異。在其他實施例中,微影模擬720可包含平板顯示器(flat panel display,FPD)模擬、微電機系統(Microelectromechanical Systems,MEMS)模擬、其他製程模擬或可製造在基板上的任何其他模擬。
在圖7的每個步驟中,變異是統計累積的並且將考量來自先前步驟的變異,使得在最終步驟中的基板圖案將不僅結合在決定基板上之可能圖案722中的變異,更結合了在遮罩製程716以及遮罩設計710中的變異。在步驟724中根據可能基板圖案計算製程變異帶。為了使變異之許多可能組合的計算更有效率,可以使用對某些變異和圖案參數可能如何相互影響的洞察來累積變異。舉例而言,不是簡單地將最小和最大3-sigma值從一個步驟輸入至下一步驟,輸入到下一步驟的一最壞情況變異可以考慮一個圖案與另一個的距離。這是因為彼此更靠近的特徵對彼此的影響大於相距較遠的特徵。由於此些變異對設計性能以及製造可靠度的影響,允許設計者去可視化在實際電路設計之內文中的不同變異的影響是被期望的。在步驟724計算變異帶之後,如預測在基板上之統計累積變異之影響的可視化可被顯示,或藉由可視化在各步驟中之不同變異的影響。如果變異在步驟725中是不可接受的,設計者可修改實體設計702以創建一改進實體設計以確保改進實體設計對於製造變異更為穩健。對於實體設計之修改可包含修改實體設計的可能鄰域或修改著色,例如,修改任何特定層的形狀分配。在允許曲線設計的設計環境中,提供計算的輪廓作為新的可製造實體設計將具有減少製造變異的好處。這是因為可被製造的設計比無法製造的設計(例如,具有本質上無法製造之90度角的形狀)具有更少的變異。請注意,需要以改進實體設計重複上述步驟中預測的製造變異,以估計修改後的實體設計之製造變異。在一些實施例中,各步驟中的變異可同時顯示在單個視埠728中,其中具有變異的標稱輪廓與對應之設計、圖像或圖案重疊;或變異可顯示在多個視埠728中。
計算待製作於基板上之圖案可包含根據從多個遮罩設計計算出的多個遮罩圖像計算多個基板圖案。此些計算可能需要大量時間,即使預先計算與儲存,仍需要時間去擷取。在一實施例中,計算待製作於基板上之圖案可以在神經網路中學習。神經網路是機器學習演算法的框架,其協同工作以根據先前訓練過程預測圖案。實施例包含以輸入的實體設計702以及一或多個如圖7所示的輸出、可能遮罩設計710、可能遮罩圖像718或可能基板圖案722的任意組合訓練一神經網路去計算待製作於基板上的圖案。作為訓練神經網路之過程的一部分,步驟725還可涉及調整神經網路的一組參數以減少所計算之多個圖案的製造變異。神經網路的訓練可以使用運算硬體處理器來執行。這樣的訓練實現了先前實施例中相似的目標。然而,一旦訓練了,在經訓練之神經網路中的轉換可能比單獨使用模擬快得多,例如快10倍。在一實施例中,經訓練之神經網路或一組經訓練之神經網路可將實體設計圖案轉換成待製作於基板上的圖案。亦即,在一些實施例中,計算基板上之圖案包含以實體設計作為輸入的神經網路。
在一實施例中,輸出(可能遮罩設計)710、輸出(可能遮罩圖像)718以及輸出(可能圖案)722中之每一者可由經訓練之神經網路產生。數位分身(Digital Twin)複製物理實體。數位分身對現實世界之對應物的特性、條件以及屬性進行建模。此可以透過嚴格的模擬來實現。對於本案,模擬結果可用以訓練神經網路,從而產生比單獨使用模擬更快的神經網路數字分身。在任何階段或階段的組合中,以模擬資料訓練的神經網路數字分身可用以執行一圖像到圖像的轉換。在一實施例中,舉例而言,諸如全卷積網路(fully convolutional network,FCN)的深度卷積神經網路(convolutional neural network,CNN)架構可以分別代表圖7中任何計算步驟之輸入與輸出的成對圖像資料。在圖8中,代表一實體設計或CAD資料的圖像80作為輸入提供給卷積神經網路810,例如一全卷積網路,並且圖像820代表由卷積神經網路810產生的製造輸出形狀。也可以使用其他神經網路架構,例如U-Net(全卷積網路的一種)或生成對抗網路(Generative Adversarial Networks,GANs)。在其他實施例中,神經網路可被訓練以產生OPC/ILT特徵或各種鄰域的形狀、產生針對遮罩製程校正或資料準備優化的圖像、計算基板上的圖案或步驟的任何組合。在一實施例中,圖7中任一個或多個步驟可被組合,並可被以數位分身、神經網路或一組數位分身或神經網路替換掉。
在一些實施例中,計算待製作於基板上之圖案的方法包含輸入實體設計圖案702、決定實體設計圖案702的多個可能鄰域(步驟704),以及產生實體設計圖案702的多個可能遮罩設計710,其中多個可能遮罩設計對應於多個可能鄰域。該方法還包含計算基板上的多個可能圖案722,其中基板上的多個可能圖案722對應於多個可能遮罩設計710;根據基板上多個可能圖案722計算一變異帶(步驟724);以及修改實體設計圖案702(從步驟725到實體設計702的循環)以減少變異帶。
在一些實施例中,方法還包含根據多個可能遮罩設計710計算多個計算遮罩圖像(步驟718)。在一些實施例中,計算多個可能遮罩圖像718包含帶電粒子束模擬(步驟716)。在一些實施例中,修改實體設計圖案702包含修改實體設計圖案702的多個可能鄰域(步驟704)。在一些實施例中,步驟724的變異帶對應於一組製造變異參數。在一些實施例中,步驟724的變異帶包含具有下限與上限圍繞於標稱基板圖案的製程變異。在一些實施例中,方法還包含執行將實體設計圖案702之形狀分成層的著色步驟706,其中在進一步實施例中,修改實體設計圖案702包含修改著色步驟。
在一些實施例中,實體設計702包含實體設計圖案的光學鄰近校正(步驟708)。在一些實施例中,決定多個可能鄰域(步驟704)、產生多個可能遮罩設計710或計算基板上的多個可能圖案包含使用一神經網路。在一些實施例中,計算基板上之多個可能圖案包含微影模擬(步驟720)。
在一些實施例中,實體設計圖案702包含完整設計的一部分,並且方法還包含決定在完整設計中使用之實體設計圖案的一組實際鄰域(在步驟704中)。完整設計的該部分可為實體設計圖案的一實例,並且多個可能鄰域包含各實例的所有鄰域。
U-Net應用,例如FCN可用於預測關聯於半導體製造的製程變異帶。原始的U-Net架構被部署用於生物醫學影像分割問題。在原始的U-Net模型架構中,每一層以具有於各層變化之通道數量的多通道特徵圖為特色。在最後一層,使用1×1卷積去映射每個64分量特徵向量至所需的類別數量。一個典型網路總共具有23個卷積層。
在一實施例中,用於FCN的主要神經網路架構本質上是如圖9所示的編碼解碼網路(encoder-decoder network),其中左邊的編碼側和瓶頸層910引導模型去學習輸入圖像900的低維度編碼。然後,包含層912、914、916與918的解碼網路將圖像的低維度表示解碼回全輸出解析度,並且雙邊合作在訓練期間學習從輸入圖像900到輸出圖像920的轉換。複製和裁切操作由從編碼層指向其對應之解碼層的水平箭頭指示,水平箭頭作為跳過連接,其提供來自網路之編碼側的附加資訊並且和在解碼側的資訊級聯以幫助定位在x,y空間中的資訊。
當輸入圖像太大而不能一次處理時,它可以被分成一組圖塊。圖塊可以彼此重疊。然後,可以由網路處理每個較小的圖塊,並且收集輸出圖塊並重新組合成最終輸出圖像。為了減少圖塊邊界處的偽影(artifacts),FCN還可以包含相鄰像素的暈圈。暈圈可與相鄰的圖塊重疊,並且可用於重組大的輸入圖像。
在半導體製造應用中,神經網路的輸入900表示一輸入圖像,或來自表示設計意圖之輸入圖像的圖塊,即假設一個「理想的」而不是實際的製造製程,打算製造什麼東西。在一實施例中,輸出圖像920表示實際的製造製程將實際製造出什麼東西,其中尖角將被倒圓,小方塊將被製作成圓形或橢圓形等。一組模型權重被確定,並且在對半導體製造圖像資料進行FCN的訓練後,模型權重將顯著不同於其它應用中所使用的。
在一實施例中,圖9中所示的FCN架構可為多重解析度U-Net,其在第一層902可具有初始數量從64減縮到8的過濾器,在各編碼層902與瓶頸層910中的每個最大池化(pooling)操作後,接續加倍過濾器(filter doubling)。此具有大大減少網路之可訓練參數之總數的效果,同時為半導體製造應用保留足夠程度的精確度。在另一實施例中,第一層902中可有16個過濾器。最後編碼層908與瓶頸層910可各自採用丟棄正規化(dropout regularization)。在一實施例中,輸入和輸出圖塊大小可例如為256×256像素(具有被64像素寬之暈圈包圍的128×128內核圖塊)。在另一實施例中,如果對於準確度是必要的,可以通過移除一些層(更短的U深度),或通過增加額外的層(更深的U)來進一步改變網路。在另一實施例中,不是在每次下取樣(down sampling)(最大池化)或上取樣卷積(up sampling convolution)之後加倍過濾器的數量,而是可以使用不同比率。在一實施例中,各層可以使用一固定比率(例如2.0),並且在替代實施例中,各層可以使用不同的一層特定比率(layer-specific ratio)。舉例而言,該比率可隨它變得越低且越接近U形之底部的瓶頸層而逐漸增加,然後隨著它遠離瓶頸層並朝向輸出上升而再次減少。此些比率以及其他網路參數可在訓練階段中調整。即,可輸入一組初始的參數給神經網路,並且在訓練神經網路時調整該組參數。在一實施例中,針對每個不同的製程及/或製程中之不同層可重複調整。
在一實施例中,網路具有單一輸入與單一輸出,代表對應於單一製程條件組,例如製程角的製造輸出圖像。網路的輸入包含對應於計算機輔助設計(CAD)的圖像(由電路設計者繪製之實體設計中的圖塊),並且輸出包含對應於獨特製程條件組之下所製造的矽。
在另一實施例中,多組製程條件可透過如圖10所示之單輸出網路之多個副本來表示,其每一網路一組製程條件。單輸出網路1001、1002到1010中之每一者可並行訓練。在訓練之後,此些網路1001-1010中之每一者可用以推斷獨特製程條件組的輸出1021、1022至1030。即,對於給定CAD資料輸入之圖像1000的特定製程角。
圖11示出了推斷輸出的一例示,其中示出了代表D型正反器(D-type Flip-Flop,DFF)之製造形狀的設計圖像1101在三種不同之獨特製程條件下的重組圖塊。雖然乍看之下很相似,但仔細觀察後會發現這三個圖像是不同的,例如,各圖像中之圓角的數量不同。圖像1102的形狀最接近於從圖像1101繪製的直線CAD圖案。圖像1104之形狀可能具有更顯著程度之圓角和形狀窄化而差距最遠。圖像1103介於這兩個極端之間。雖然為了簡潔,此例示僅示出三個代表半導體製程條件的範例,但更全面的組合可包含數十個代表遮罩製造中之劑量變異的不同極端以及在半導體製造中劑量變異與焦深二者的不同極端。
在另一實施例中,圖12示出了在使用單輸出網路1201、1202至1210去推斷製程角1211、1212至1220中每一者的輸出製造圖像之後的一製程,後處理1230可用以組合和聚合此些各角圖像以產生代表典型製程條件組的平均圖像1233、代表最多材料沉積在矽上之最極端結果的最大圖像1231以及代表最少材料沉積在矽上之最極端結果的最小圖像1232。
圖13中詳細示出藉由組合各角圖塊所產生的輸出圖像。可以藉由在跨所有各角輸出圖像上取像素層級(pixel-wise)最大值來運算出最大圖像1301。可以藉由在跨所有各角輸出圖像上取像素層級最小值來運算出最小圖像1302。比較靠近於二圖像中心的最小橢圓形狀1311與1312可以清楚地顯示出差異,其在最小圖像1302之情況下的橢圓形狀1312比在最小圖像1301之情況下的橢圓形狀1311要小得多。
可以藉由將各像素總和除以製程角之數量或跨所有各角輸出圖像之像素層級平均來運算出平均圖像1303。製程變異帶或PV帶圖像1241可藉由後處理步驟,其透過從最大圖像中減去最小圖像運算出來。圖13詳細示出PV帶圖像1304,白色像素顯示出在製造過程中金屬可能或可能不沉積在矽上的位置;即,各白色像素代表因製程變異所產生之不確定性的區域。越多白色像素存在,設計就越容易受到製程變異影響。
圖像門檻化(image thresholding)將各像素值與預定臨界值(例如0.5)進行比較,使得像素值高於臨界值的轉換成白色(1.0),而低於臨界值的那些轉換成黑色(0.0)。在另一實施例中,圖像門檻化可在運算最大值、最小值或平均值之前執行。這是指確定每個像素的單一二進制值(1或0分別對應於白色或黑色),例如,對於金屬製造步驟,每個像素位置是否存在金屬。在進一步的實施例中,可以先運算出最大、最小以及平均各像素值,然後執行圖像門檻化。
返回到圖12,為了運算代表對製程變異之設計/製程組合的敏感度或免疫力,還可能需要產生兩個附加圖像。此些是偽陽性1242,即材料沉積在矽上但未設定在原始CAD資料(即,非預期材料)中的製造圖像像素位置,以及偽陰性1243,即設定在原始CAD資料但未能在製造過程中沉積的那些輸出圖像像素位置。
偽陰性的例子發生在繪製之CAD多邊形的90度角處,其中銳角是由電路設計者繪製的,但在製造過程中會發生圓角及/或線回拉的形態,並且沉積材料的角被有效地剃去或縮短。偽陽性之例子是例如在270度角中產生額外材料,或透過擠壓產生額外材料。在一實施例中,圖14中所示的這些偽陽性與偽陰性圖像可被產生以作為後處理步驟。可以藉由從最大圖像中減去原始CAD資料圖像來運算出偽陽性圖像1401。可以藉由取最小圖像與原始CAD資料圖像的乘積(邏輯與閘)、對結果進行門檻化,以及從門檻化後之原始CAD資料圖像中減去門檻化結果來運算出偽陰性圖像1402。
為了減少後處理的負擔,在一實施例中,具有由多通道組成之輸出的CNN架構示出在圖15中。在一實施例中,前N個通道可保留給N個製程角1502中的每一者。此可透過創建由過濾深度為N的1×1卷積操作組成之輸出層來實現,其中N為製程角的數量。目的是使單個、經訓練之多輸出網路1501產生對應於每一單獨製程角1502之製造輸出的圖像。
在使用單個、經訓練之CNN1501產生多個各製程條件輸出1502之後,圖15還示出了最大圖像1504、最小圖像1505、平均圖像1506、PV帶圖像1507、偽陽性圖像1508以及偽陰性圖像1509之運算可在之後作為如相關於圖12所述的後處理1503之步驟來實現。應當理解的是,任何聚合輸出圖像或此些圖像的任意組合可透過後處理獲得,而不是由網路直接推斷。
如前所述,此些圖像可以透過最小與最大圖像以及輸入CAD資料圖像的後處理來計算出來。在圖16所示的一實施例中,此些圖像也可以由深度神經網路1601直接產生,其透過如製程角1602和最小值、最大值以及平均值圖像1610之額外輸出圖像通道的導入。PV帶、偽陰性以及偽陽性圖像1620也可以直接產生。
當最大、最小與平均圖像等由經訓練之網路直接產生時,單獨的各製程角圖像1602可能不需要由網路學習/推斷。在這種情況下,網路被訓練以直接輸出聚合圖像1610(最大、最小與平均)與圖像1620(PV帶、偽陽性、偽陰性)而不輸出各製程角圖像1602。當要考慮的製程角之數量很大時,可優先不輸出各製程角圖像1602而僅輸出剩餘的聚合圖像(以降低運算及/或GPU資源,例如記憶體)。在這種情況下,各角過濾器從CNN輸出層中移除,並且其對應的圖像在訓練過程中被移除。在一實施例中,在訓練之前,使用者可以選擇讓網路去輸出所有、一些或不輸出各角圖像,並且相應地調整神經網路的網路架構與參數。
雖然在矽上的製造形狀有很大程度取決於輸入形狀的直接位置或鄰域,但也存在長程效應,例如局部圖案密度。簡而言之,如果圖塊來自較大設計的密集填充部分,與來自相對孤立部分的相比,圖像之CAD資料圖像的製造形狀將包含一些差異。為了允許CNN模型去學習這些密度效應,實施例擴展輸入去包含多個通道。在一個這樣的實施例中,局部圖案密度可被編碼成從0.0(完全孤立)到1.0(完全被金屬包圍)的單一數字,並且產生一灰階圖像,其中所有像素被設置成相同的數字。灰階圖像的尺寸設置成與CAD資料圖塊尺寸相同,並且可表示為輸入圖像中的額外通道,就像彩色圖像通常表示為用於常規圖像處理的R、G、B通道一樣。然後擴展CNN架構以處理雙通道輸入而不是單通道輸入。在訓練過程中,網路參數將學習到灰階顏色級與輸出製造圖像上之相應效應之間的關係。
在一實施例中,輸入圖像可由兩個通道組成,其中每個通道本身可表示成灰階圖像—一個用於CAD資料,且另一個用於獲得補丁/圖塊之較大區域的低解析度圖像,其代表局部密度資訊。在一些實施例中,輸出圖像可包含多個通道,每個通道具有不同的灰階圖像(例如,表示最大圖像、最小圖像、PV帶圖像、偽陽性圖像或偽陰性圖像的通道)。在額外的實施例中,輸出圖像還可以包含額外的通道,例如每個製程角一個,其中每個各角圖像代表製程變異之特定製程角唯一組合的預期製造形狀。
在實施例中,計算待製作於基板上之圖案的方法包含輸入實體設計900、輸入一組參數給神經網路以計算待製作於基板上的圖案、產生實體設計的可能鄰域(圖7的步驟704),以及在多個可能鄰域之每一者中計算實體設計之待製作於基板上的多個圖案(步驟722)。該方法還包含以所計算之多個圖案訓練(例如,在從步驟725到實體設計702的循環中)神經網路,其中該訓練是使用運算硬體處理器來執行;以及調整該組參數(例如,在步驟725中)以減少待製作於基板上之所計算之多個圖案的製造變異。
在一些實施例中,神經網路可包含使用後處理去聚合在變異帶中的變異。神經網路可包含多個輸出通道以聚合在變異帶中的變異。在一些實施例中,方法包含計算待製作於基板上之圖案的偽陰性與偽陽性。
在一些實施例中,神經網路包含單個全卷積網路(Fully Convolutional Network,FCN)架構(例如,圖9)。FCN可包含第一編碼層、第二編碼層、一最後編碼層以及一瓶頸層,其中最後編碼層與瓶頸層均採用丟棄正規化。在一些實施例中,FCN包含第一解碼層、第二解碼層、第三解碼層以及第四解碼層,其中各解碼層分別採用來自第四編碼層、第三編碼層、第二編碼層以及第一編碼層之附加訊息的級聯。
在一些實施例中,實體設計與所計算之多個圖案均被劃分成圖塊。舉例而言,每個圖塊可包含具有128×128像素之內核與64像素寬之暈圈的256×256像素圖塊。在一些實施例中,計算待製作於基板上之圖案包含帶電粒子束模擬。在一些實施例中,計算待製作於基板上之圖案包含微影模擬720。在一些實施例中,方法包含輸入實體設計702的局部圖案密度。
設計可變性度量
變異的各種聚合圖像可用以產生純量設計可變性度量(scalar design variability metrics)。
令真陽性(True Positives,TP)是CAD設計中白色像素的數量,其代表金屬在矽製造中預計理想沉積的位置,並且令真陰性(True Negatives,TN)是在相同圖像中之黑色像素的數量。令變異帶(Variation Band,VB)為變異帶圖中白色像素的數量,其可作為因製程變異而與金屬沉積相關之不確定性的上限。
令偽陰性(False Negatives,FN)為偽陰性設計圖像中白色像素的數量,其代表在矽製造中預計理想沉積多少金屬,但其實際上因圓角、線端回拉等而未沉積。FN可以是一個度量,其作為在製造後發現之遺漏金屬之測量上的上限。
令偽陽性(False Positives,FP)為偽陽性設計圖像中之白色像素的數量,其代表在矽製造期間中有多少金屬不慎地沉積在理想預計不沉積的位置中。FP可以是一個度量,其作為在製造期間沉積的非預期材料之測量上的上限。
馬修斯相關係數(Matthews Correlation Coefficient,MCC)定義如下,並且常作為單個度量,其當使用來自混淆矩陣的TP、FP、TN、FN量測值時藉由該度量來測量分類演算法。
在此半導體製造場景中,由於本案之半導體製造應用的四個變數TP、TN、FP與FN具有不同的含意,MCC公式具有與常規用途不同的含意。在此情況中,由於MCC是預期金屬(TP)、非預期金屬(TN)、原本預期的卻不慎移除之金屬的上限(FN)以及原本非預期的卻不慎沉積之金屬的上限(FP)之數量的函數,透過此公式運算出的MCC分數可作為製程變異性將如何趨向於產生不同於預期圖像(按最初繪製的CAD資料)之矽上圖像(on-silicon image)的單個純量值量測。數值大的MCC(接近1.0)指示出CAD資料圖像與製造的矽圖像之間有良好的相關性;也就是說,對製程變異的高免疫力。數值非常小的MCC(接近0)指示出預期圖像與製造圖像之間的相關性非常小。可藉由修改製造製程來改善MCC值,以使其具有較少的變異,其中這可能是困難且昂貴的,或者藉由修改設計、或兩種方法的組合。積體裝置製造商(Integrated Device Manufactures,IDMs)可能有能力去修改需要高水準之再現性(良率)的關鍵設計之流程。
精確度(Precision)可定義為TP除以TP和FP的總和,並且召回率(Recall)可定義為TP除以TP和FN的總和。精確度與召回率可用以運算另一度量,F1。
用於從此些圖像運算F1分數的公式如下所示:
請注意,MCC值考慮了4個量(TP、TN、FP、FN),因此可被認定是比不包含TN量之F1公式更有用的度量,並且對於不平衡類問題可能會變得偏斜(其中TP明顯不同於TN)。這就是為什麼MCC通常是用於分類演算法之首選量的原因之一。
在灰階平均圖像被門檻化後,令TP2為在平均圖像中白色像素的數量。此代表設計者可能實際期望透過實際製程沉積金屬的像素之數量。設計者意識到製程為非理想的,並且在製造過程中將會出現諸如圓角的效應。然而,僅為了繪圖方便,設計者將在電路設計過程中繼續繪製具有方角的直線圖像。隨著TP作為最初繪製之(理想的)白色像素的數量,且TP2作為可被設計者更現實地預測之白色像素的數量,另外兩個量可被定義。
令VBI=VB/TP2,其為變異帶白色像素之數量對平均(實際預期的)圖像白色像素的比率。現在,這是一種設計對給定製程之變異有多敏感之更實際的量測,雖然分子VB仍包含一不確定項,即製造輸出之像素的數量為不確定的。然後藉由分母TP2對VB進行正規化,TP2為在跨製程變異中平均可實際預期金屬的像素數量。
第二個量測VBI’=VB/TP作為製造不確定性對白色像素之原始繪製數量的比率(在不切實際但理想的製造場景中的預期結果)。
有了這些定義,由設計者產生的不同設計/單元或設計候選可由經訓練之神經網路根據實施例來處理,產生它們的各種聚合製造輸出圖像,那些圖像各自的白色像素如上述進行計數,然後可以根據它們對製程變異之免疫力(MCC)或它們對製程變異之敏感性(VBI)以度量對設計隨後進行評分。
深度學習挑戰
在一深度卷積神經網路或深度學習中,計算機模型學習直接從圖像、文字或聲音執行分類或回歸任務。深度學習模型可達到最先進的準確度,在某些感知應用中有時會超過人類水平的表現。模型是藉由使用大量標記資料與包含許多層的神經網路架構來訓練。大多數深度學習方法使用神經網路架構,這就是深度學習模型為什麼常被稱為深度神經網路的原因。術語「深度」通常是指神經網路中隱藏層的數量。傳統神經網路僅包含2-3個隱藏層,而深度網路可具有多達150個。
透過使用大量標記資料與神經網路架構來訓練深度學習模型。深度學習網路的最流行類型之一為CNN架構。CNN架構以輸入資料卷積學習到的特徵,並且通常使用2D卷積層,其使得此架構非常適合處理2D資料,例如圖像。
CNN消除了手動特徵提取的需求,即移除了用於分類或預測圖像的預先識別特徵之需求。CNN藉由從圖像直接提取特徵來工作。相關特徵並非預先訓練的;它們是在網路訓練足夠大之圖像集合時學習的。這種自動特徵提取使使深度學習模型對於一般計算機視覺任務,例如物件分類以及對於諸如在本發明中之半導體製造圖像到圖像轉換任務具有高準確度。
深度學習在最近才變得有用有幾個主要原因: l  深度學習需要大量標記資料 l  深度學習需要強大的運算能力 l  深度學習是一個迭代過程
深度學習需要大量標記資料。舉例而言,無人駕駛汽車的開發需要數百萬張圖像以及數千小時的影像。在本案的情況下,取得標記資料是指收集代表待製造之實體設計的數千到數百萬張圖像的大集合以及圖7之各種運算步驟的基於圖像之輸出,例如OPC/ILT、遮罩製程模擬、基板模擬等。
一些資料可以透過專用測試晶片的實際製造來收集,但是考量到當今密度製程的遮罩組生產和製造成本,這種基於製造的資料收集方法非常昂貴。一種替代方法是用運算模擬替代製造,但考量到與圖7之任何步驟相關的巨大運算成本,這種選擇直到最近在所需運算能力方面也是非常昂貴。特別是,運算導致製造設計的遮罩形狀所需的OPC/ILT運算需要巨大的運算能力。ILT/OPC運算工具,再加上高度並行以及GPU加速的運算設計平台,現在終於可以使用運算軟體在一個時間範圍內決定全掩模板尺寸之IC設計的遮罩形狀,其使得生成足夠數量之用於深度學習的所需標記資料不再令入望而卻步。
深度學習需要強大的運算能力。高性能GPU具有並行架構,其對於深度學習是有效的。當與群集或雲端運算組合時,此可能使開發團隊能夠將深度學習網路的訓練時間從數週減少到數小時或更短,取決於深度學習神經網路架構的問題與複雜度。用於高度運算之運算的專用架構,例如圖17與18中所述之一者,可以加速深度學習對先前難以處理之問題的應用。
深度學習的一個順序流程包含:載入/預處理資料,以及擬合模型以進行預測。這種順序方法當然是合理且有幫助的,但在現實中,深度學習很少是線性的。相反地,如圖9所示,生成學習圖案的實際深度學習具有明顯的循環性質,需要不斷迭代、調整與改進。循環從輸入實體設計900開始迭代,計算遮罩圖像,然後比較圖像與透過深度學習產生之輸出圖像920。當每個過程結束時,會量測它對模型執行的影響,並調整以改善下一個循環的性能。
深度學習的實務工作者必須處理以下迭代過程: l  模型層級:擬合模型參數 l  微觀層級:調整超參數 l  巨觀層級:解決問題 l  元(Meta)層級:改進訓練/測試資料
模型層級:擬合參數
迭代發揮重要作用的第一級是在模型層級。任何模型,無論是回歸模型、決策樹或神經網路,都是由許多(有時甚至數百萬)模型參數定義。舉例而言,回歸模型由其特徵係數定義,決策樹由其分支位置定義,並且神經網路由連接其層的權重定義。在深度學習中,模型參數是透過迭代方法學習的,例如梯度下降,這是一種尋找函數之最小值的迭代方法。在深度學習中,該函數通常是損失(或成本)函數。「損失」可為量化錯誤預測之成本的度量,例如均方誤差、平均絕對誤差、交叉熵等。梯度下降計算具有給訂參數組織模型所實現的損失,然後調整那些參數以減少損失。此過程一直重複,直至無法進一步減少損失為止。
微觀層級:調整超參數
超參數是無法使用梯度下降或其他優化演算法從資料中直接學習的「高級」參數。例如,丟棄(droupt)是一種正規化方法,其近似於並行訓練大量具有不同架構的神經網路。在訓練過程中,一些層輸出貝隨機忽略或「丟棄」。此具有使該層看起來像以及對待像具有不同節點數量與至前一層之連接性的效果。實際上,在訓練過程中對層的每次更新都是使用配置層的不同「視圖」來執行。從概念上來說,丟棄中斷了網路層共同適應以校正來自先前層之錯誤的情況,從而使得模型更加穩健。超參數描述了關於必須在擬合模型參數前決定之模型的結構資訊,例如丟棄或其他正規化的形式是否應該包含在模型中、批量標準化是否應在運算層之輸出前執行、決定期(epochs)的數量(在模型參數擬合過程中使用的外部迭代)與在模型參數擬合過程中使用的特定優化器演算法以及在擬合過程中是否使用交叉驗證來驗證模型。為此些各種參數/決定中之每一者決定一個合適的值是一個迭代過程,其需要上述之模型參數擬合過程的多次迭代。
巨觀層級:解決問題
沒有一種模型架構/系列最適合每個問題。取決於種種因素,例如資料類型、問題領域、資料的稀疏性以及甚至已收集的資料量,不同模型家族會表現得比其他更好。
因此,改進給定問題之候選解決方案的一種方式是嘗試幾個不同模型家族或模型架構,例如網路本身的形狀、在卷積層中過濾器層的數量與使用之卷積核心的大小,以及是否使用跳過層技術。為此些各種參數/決定中之每一者決定一個合適的值是一個迭代過程,其需要上述之模型參數擬合與超參數調整過程的多次迭代。
改進深度學習方案的另一種方式是將多個深度學習模型組合成一集成(ensemble)。這是從擬合那些模型所需之迭代過程的直接擴展。創建一集成的常見形式是平均來自多個經訓練之模組的預測。有更多高級的方式去組合多個模型,但擬合多個模型所需的迭代是一樣的。為各種深度學習模型中的每一者決定合適的組合/集成是一個迭代過程。
元層級:改進訓練/測試資料
當涉及到機器學習時,更好的資料通常比更好的演算法增加更多價值。然而,更好的資料並不等於更多的資料。更好的資料意味著丟失的資料更少,並具有更低的量測誤差(例如,更準確的資料)。資料還需要具有代表性,避免本領域技術人員已知的問題,例如資料不平衡。獲得一組足夠乾淨、準確標記之資料的整個過程本身是一個迭代過程。在本案的情況下,迭代過程涉及在不同條件下運行圖7之各種步驟的更多模擬,確保對可能鄰域進行足夠寬的採樣等。學習過程涉及決定將出現在單元設計中的各種類型之形狀組合,例如輸入至圖7的實體設計,以允許深度學習模型在之前未接觸過的形狀組合上表現良好。
以上述過程的各種迭代訓練深度學習模型到足夠程度的準確度,在實務上需要大量的運算能力以及相關於半導體製造過程的大量資料。在半導體製造運算業的最新發展以及在專用GPU加速硬體上跑運算軟體模擬器的最新能力之前,這種深度嵌套迭代過程以深入學習待製作於諸如矽晶圓之基板上的圖案並非是容易處理的,並且人們甚至不會有動力去嘗試這種方法。
神經網路,例如CNN,需要以訓練資料進行訓練。通常,網路的容量越高(衡量其泛化看不到之資料的能力),加上它擁有的參數越多,在不過度擬合下訓練它所需的資料樣本之數量就越多。此處考慮的網路通常包含數十萬可學習的參數,其需要非常大量的訓練資料樣本。
監督訓練範式為CNN提供了大量(數十萬至數百萬)的輸入/輸出對。在本案的情況下,輸入項由一塊CAD資料組成,即代表由電路設計施繪製之實體設計的一組CAD資料,其中該組CAD資料已被光柵化並且分割成補丁或小塊。對於待製造的每一層,輸入圖像是具有特定寬度與高度的單通道圖像。輸入圖像可為二元圖像(各像素是黑色或白色)或灰階圖像,其中每個像素採用從0.0(黑色)到1.0(白色)的連續值。每對中的輸出項由在某個特定製程角製造後的相應預期圖像所組成。在一實施例中,輸出項是單通道輸出圖像,同樣包含二進制值像素或灰階(連續值)像素。目的是訓練網路能夠在僅給定輸入圖像之下推斷或預測輸出圖像。目的也是訓練網路能夠根據其以前未看過的設計輸入圖像推斷/預測出輸出圖像。
請注意,雖然足夠量之輸入圖像資料(CAD資料)的創建可能相對較快,但對於具有涉及大量運算硬體資源的前緣製程節點之實際半導體製造過程來說,代表製造結果之相應預期輸出圖像的創建是一個極其冗長的問題。可能需要使用各種運算密集型演算法來模擬CAD資料,其包含但不限於OPC與ILT以及使用校正之遮罩模型的晶圓製造模擬。此種模擬工具與模型可以與高性能運算群集(high-performance computing cluster,HPC)或運算資料平台(Computational Data Platform,CDP)之形式的專用GPU基礎硬體(dedicated GPU-based hardware)一起使用,以加速模擬。只有在運行了一系列的這種工具之後,才能得到輸出圖像。此外,當考量到製程變異時,相應之各製程角圖像的創建會增加顯著的額外成本。直到最近,半導體製程製造模擬工具,特別是ILT,才變得足夠快而能夠在實際的時間範圍內生成必要的大量資料。
圖17示出了可用以執行本案中所描述之計算的運算硬體裝置1700之一例示。運算硬體裝置1700包含一中央處理單元(CPU)1702,連接有主記憶體1704。中央處理單元1702可包含,例如,8個處理核心,從而提高該多工執行緒電腦軟體其任何一部分的效能。主記憶體1704的大小可以是,例如,64吉位元組(G-bytes)。中央處理單元1702連接到一個快速週邊組件互連(PCIe)匯流排1720。一個圖形處理單元(GPU)1714也連接到PCIe匯流排1720。在運算硬體裝置1700中,圖形處理單元1714可能會或可能不會連接到諸如影像監視器的一個圖形輸出裝置。如果沒有連接到圖形輸出裝置,圖形處理單元1714可以被純粹使用為一高速並行運算引擎。比起使用中央處理單元1702處理該所有的計算,藉由使用圖形處理單元1714處理一部分的計算,該計算軟體可以取得顯著較高的效能。中央處理單元1702藉由PCIe匯流排1720和圖形處理單元1714通訊。在其他實施例中(未示出),圖形處理單元1714可能和中央處理單元1702整合在一起,而不是被連接到PCIe匯流排1720。磁碟控制器1708也可以連接到PCIe匯流排1720,其中,例如,有兩個磁碟1710連接到磁碟控制器1708。最後,一個區域網路(LAN)控制器1712也可以被連接到PCIe匯流排1720,並提供十億位元乙太網路(Gigabit Ethernet,GbE)的連結到其他的電腦。在一些實施例中,該電腦軟體及/或設計資料是儲存在磁碟1710上。在其他實施例中,該電腦程式或該設計資料,或該電腦程式以及該設計資料兩者,可藉由GbE乙太網路從其他的電腦或檔案服務硬體來存取。
圖18為用於執行本案實施例之運算的系統之另一實施例。系統1800也可以稱為CDP,並且包含一主節點、一可選的查看節點1820、一可選的網路檔案系統1830以及支持GPU的運算節點1840。查看節點1820可能不存在或僅具有一個節點,或可能具有其他數量的節點。支持GPU的運算節點1840可包含一或多個形成群集的支持GPU的運算節點。各支持GPU的運算節點1840可包含,例如GPU、CPU、成對GPU與CPU、用於CPU的多個GPU,或GPU和CPU的其他組合。GPU及/或CPU可在單一晶片上,例如具有由該晶片上之GPU加速之CPU的GPU晶片,或具有加速CPU之GPU的CPU晶片。GPU可被另一個協同處理器替代掉。
主節點1810與查看節點1820可經由交換器與高速網路,例如網路1850、1852與1854連接到網路檔案系統1830以及支持GPU的運算節點1840。在一示例實施例中,網路1850可為56Gbps網路,網路1852可為1G bps網路,且網路1854可為管理網路。在各種實施力中,可能存在更少或更多數量的此些網路,並且可能存在諸如高速與低速之網路類型的組合。主節點1810控制CDP 1800。外部系統可以從外部網路1860連接到主節點1810。在一些實施例中,可從外部系統啟動一作業。用於作業的資料在啟動作業之前被載入到網路檔案系統1830,並且一程序用以在支持GPU的運算節點1840上分派與監控任務。作業的進度可透過圖形化介面,例如查看節點1820,或由主節點1810上的使用者進行查看。CPU使用在CPU上運行適當可執行文件的腳本執行該任務。可執行文件連接到GPU,運行各種運算任務,然後與GPU斷開連接。主節點1810還可用於禁能任何故障的支持GPU之運算節點1840,然後好像該節點不存在一樣進行運作。
雖然說明書已參照特定實施例詳細說明,應瞭解到熟知此項技術者,在瞭解前述內容後,可容易地構思此等實施例的替代、變化及等效物。此些及其他對本方法之修改與變化,在未偏離特別描述於後附申請專利範圍中之本發明標的之範圍之下,可藉由該些熟知此項技術者實施。此外,該些熟知此項技術者將瞭解到前述說明只是為了舉例,且非意欲構成限制。在未偏離本發明之範圍之下,可添加、省卻或修改說明書中的步驟。一般而言,任何顯示的流程圖僅意欲表示基本操作達到功能的一種可能的次序,且有可能有許多變化。因此,本發明標的意欲涵蓋此些落於後附申請專利範圍及其等等效物之範圍內的修改及變化。
10:電子束寫入系統 12:表面 14:電子束源(射束源) 16:電子束(束) 18:(孔)板 20:孔 22:電子束(成形束)(束) 24:模板(遮罩) 26:孔 28:圖案 30:電子束 32:載台 34:基板 38:縮小透鏡 40:電子束(帶電粒子束)(束) 42:偏轉器 210:子束 220:射束控制器 230:表面 310:投射 320:投射 330:投射 340:投射 342:成形束 344:位置 346:位置 350:投射 352:圖案 360:投射 362:圖案 364:圖案 400:帶電粒子束(曝光)系統(多重射束系統) 402:電子束源 404:電子束(射束) 406:集光器 408:孔板 410:開孔 412:子束 414:元件 416:孔板 418:開孔 420:元件 422:元件 424:表面 426:基板 428:載台 430:致動器 432:遮蔽控制器板 434:遮蔽控制器 436:子束 440:子束群(射束) 502:劑量曲線 504:臨界值 506:臨界值 514:寬度 516:寬度 522:(劑量)曲線 524:臨界值 526:臨界值 534:寬度 536:寬度 A:單元 B:單元 700:流程圖 702:實體設計圖案(實體設計) 704:步驟 706:步驟 708:步驟 710:可能遮罩設計(遮罩設計)(輸出) 716:步驟(遮罩製程) 718:可能遮罩圖像(計算遮罩圖像)(遮罩圖像)(步驟) 720:步驟(微影模擬) 722:可能(基板)圖案(步驟) 724:步驟 725:步驟 726:檔案系統 728:視埠 800:圖像 810:卷積神經網路 820:圖像 900:輸入圖像(輸入)(實體設計) 902:第一層(編碼層) 904:編碼層 906:編碼層 908:編碼層(最後編碼層) 910:瓶頸層 912:層 914:層 916:層 918:層 920:輸出圖像 1000:圖像 1001-1010:網路 1021-1030:輸出 1101:圖像 1102:圖像 1103:圖像 1104:圖像 1201-1210:單輸出網路 1211-1220:製程角 1230:後處理 1231:最大圖像 1232:最小圖像 1233:平均圖像 1241:PV帶圖像 1242:偽陽性 1243:偽陰性 1301:最大圖像 1302:最小圖像 1303:平均圖像 1304:PV帶圖像 1311:橢圓形狀 1312:橢圓形狀 1401:偽陽性圖像 1402:偽陰性圖像 1501:經過訓練之多輸出網路(經過訓練之CNN) 1502:製程角(各製程條件輸出) 1503:後處理 1504:最大圖像 1505:最小圖像 1506:平均圖像 1507:PV帶圖像 1508:偽陽性圖像 1509:偽陰性圖像 1601:深度神經網路 1602:製程角(各製程角圖像) 1610:圖像 1620:圖像 1700:運算硬體裝置 1702:中央處理單元 1704:主記憶體 1708:磁碟控制器 1710:磁碟 1712:區域網路控制器 1714:圖形處理單元 1720:PCIe匯流排 1800:系統(CDP) 1810:主節點 1820:查看節點 1830:網路檔案系統 1840:支持GPU的運算節點 1850:網路 1852:網路 1854:網路 1860:外部網路
[圖1]示出了本領域中已知的可變形電子束系統的一例示。 [圖2]示出了本領域中已知的多重射束曝光系統之電光示意圖的一例示。 [圖3A]示出了本領域中已知的矩形投射的一例示。 [圖3B]示出了本領域中已知的圓形符號投影投射的一例示。 [圖3C]示出了本領域中已知的梯形投射的一例示。 [圖3D]示出了本領域中已知的拖曳投射的一例示。 [圖3E]示出了本領域中已知的圓形圖案陣列之投射的一例示。 [圖3F]示出了本領域中已知的矩形圖案之稀疏陣列之投射的一例示。 [圖4]示出了本領域中已知的多束帶電粒子束系統的一例示。 [圖5A]示出了本領域中已知之二光阻臨界值中之每一者的經註記圖案寬度之截面劑量圖的一例示。 [圖5B]示出了本領域中已知相似於圖5A但具有更高的劑量邊緣斜率之截面劑量圖的一例示。 [圖6]示出了本領域中已知的標準單元設計之定向變化的一例示。 [圖7]示出了根據一些實施例之實體設計流程的一例示。 [圖8]示出了根據一些實施例之單輸入/輸出神經網路的一例示。 [圖9]示出了根據一些實施例之單輸入/輸出神經網路的細節。 [圖10]示出了根據一些實施例之多輸入/輸出神經網路的一例示。 [圖11]示出了根據一些實施例之輸入的實體設計、計算出的遮罩圖案以及產生的深度學習圖像的例示。 [圖12]示出了根據一些實施例之具有後處理之神經網路的一例示。 [圖13]示出了根據一些實施例之計算出的遮罩圖案以及產生的深度學習圖像的例示。 [圖14]示出了根據一些實施例之計算出的遮罩圖案以及產生的深度學習圖像的例示。 [圖15]示出了根據一些實施例之單、多角落(corner)神經網路以及後處理步驟。 [圖16]示出了根據一些實施例之具有多輸出通道之神經網路的一例示。 [圖17]與[圖18]示出了根據一些實施例之圖像處理單元(GPU)系統的示意圖。
700:流程圖
702:實體設計圖案(實體設計)
704:步驟
706:步驟
708:步驟
710:可能遮罩設計(遮罩設計)(輸出)
716:步驟(遮罩製程)
718:可能遮罩圖像(計算遮罩圖像)(遮罩圖像)(步驟)
720:步驟(微影模擬)
722:可能(基板)圖案(步驟)
724:步驟
725:步驟
726:檔案系統
728:視埠

Claims (9)

  1. 一種計算待製作於一基板上之一圖案的方法,包含: 輸入一實體設計; 輸入一組參數給一神經網路以計算待製作於該基板上的該圖案; 產生該實體設計的複數可能鄰域; 在該些可能鄰域之每一者中計算該實體設計之待製作於該基板上的複數圖案; 以所計算之該些圖案訓練該神經網路,其中該訓練是利用一運算硬體處理器來執行;以及 調整該組參數以減小待製作於該基板上的所所計算之該些圖案的製造變異。
  2. 如請求項1所述的方法,其中該神經網路包含單個全卷積網路架構。
  3. 如請求項2所述的方法,其中該全卷積網路更包含一第一編碼層、一第二編碼層、一最後編碼層與一瓶頸層,其中該最後編碼層與該瓶頸層皆採用丟棄正規化。
  4. 如請求項2所述的方法,其中該全卷積網路更包含第一解碼層、第二解碼層、第三解碼層與第四解碼層,以及其中各該解碼層分別採用來自一第四編碼層、一第三編碼層、一第二編碼層與一第一編碼層之附加訊息的級聯。
  5. 如請求項1所述的方法,其中該實體設計與所計算之該些圖案均劃分為複數圖塊。
  6. 如請求項5所述的方法,其中各該圖塊包含具有128×128像素之內核與64像素寬之暈圈的256×256像素圖塊。
  7. 如請求項1所述的方法,其中計算待製作於該基板上的該圖案包含帶電粒子束模擬。
  8. 如請求項1所述的方法,其中計算待製作於該基板上的該圖案包含微影模擬。
  9. 如請求項1所述的方法,更包含: 輸入該實體設計的一局部圖案密度。
TW112124174A 2020-10-22 2021-10-15 決定半導體或平板顯示器製造之形狀的方法與系統 TW202343159A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/949,270 2020-10-22
US16/949,270 US20220128899A1 (en) 2020-10-22 2020-10-22 Methods and systems to determine shapes for semiconductor or flat panel display fabrication

Publications (1)

Publication Number Publication Date
TW202343159A true TW202343159A (zh) 2023-11-01

Family

ID=81258309

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112124174A TW202343159A (zh) 2020-10-22 2021-10-15 決定半導體或平板顯示器製造之形狀的方法與系統
TW110138454A TWI810679B (zh) 2020-10-22 2021-10-15 決定半導體或平板顯示器製造之形狀的方法與系統

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110138454A TWI810679B (zh) 2020-10-22 2021-10-15 決定半導體或平板顯示器製造之形狀的方法與系統

Country Status (6)

Country Link
US (1) US20220128899A1 (zh)
EP (1) EP4205176A1 (zh)
JP (1) JP2023547622A (zh)
KR (1) KR20230091155A (zh)
TW (2) TW202343159A (zh)
WO (1) WO2022086825A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11797744B1 (en) * 2021-02-18 2023-10-24 Ansys Inc. Methods and systems for predicting silicon density for a metal layer of semi-conductor chip via machine learning
CN115308985B (zh) * 2022-08-22 2023-11-21 中科卓芯半导体科技(苏州)有限公司 一种用于光掩膜基版的柔性打磨控制方法及系统
CN115562191B (zh) * 2022-09-26 2024-02-27 北京能科瑞元数字技术有限公司 基于工业数字孪生的生产力中台智能推测分析方法
CN118057242A (zh) * 2022-11-18 2024-05-21 江苏维格新材料科技有限公司 激光直写方法、控制设备及激光直写系统

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1747520B1 (en) * 2004-05-07 2018-10-24 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7171637B2 (en) * 2005-01-14 2007-01-30 Intel Corporation Translation generation for a mask pattern
US20070235665A1 (en) * 2006-03-30 2007-10-11 Applied Materials, Inc. Charged particle beam system and method for manufacturing and inspecting LCD devices
US8667443B2 (en) * 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8166423B2 (en) * 2009-09-08 2012-04-24 International Business Machines Corporation Photomask design verification
JP5279745B2 (ja) * 2010-02-24 2013-09-04 株式会社東芝 マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
US8818072B2 (en) * 2010-08-25 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Rendered database image-to-inspection image optimization for inspection
JP6234998B2 (ja) * 2012-04-18 2017-11-22 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いてパターンを形成するための方法およびシステム
JP6189933B2 (ja) * 2012-04-18 2017-08-30 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
US8959463B2 (en) * 2012-11-08 2015-02-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8918745B2 (en) * 2013-03-14 2014-12-23 Globalfoundries Inc. Stitch insertion for reducing color density differences in double patterning technology (DPT)
US9430442B2 (en) * 2013-07-30 2016-08-30 Synopsys, Inc. Solving a gate-sizing optimization problem using a constraints solver
KR102253129B1 (ko) * 2014-02-07 2021-05-18 삼성전자주식회사 더블 패터닝 공정을 위한 디자인 레이아웃 디콤포지션 방법
US11264206B2 (en) * 2014-03-10 2022-03-01 D2S, Inc. Methods and systems for forming a pattern on a surface using multi-beam charged particle beam lithography
KR102048918B1 (ko) * 2014-12-18 2020-01-08 에이에스엠엘 네델란즈 비.브이. 기계 학습에 의한 피처 검색
KR102377411B1 (ko) * 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US10670973B2 (en) * 2015-05-20 2020-06-02 Asml Netherlands B.V. Coloring aware optimization
KR102395198B1 (ko) * 2015-09-22 2022-05-06 삼성전자주식회사 마스크 패턴의 보정 방법 및 이를 이용하는 레티클의 제조 방법
US9916965B2 (en) * 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US9886543B2 (en) * 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process
KR102371157B1 (ko) * 2016-05-30 2022-03-04 코벤터, 인크. 3d 가상 제조 환경에서 전기적 거동 모델링을 위한 시스템 및 방법
US11681849B2 (en) * 2016-10-24 2023-06-20 Asml Netherlands B.V. Method for optimizing a patterning device pattern
FR3068148B1 (fr) * 2017-06-27 2019-08-09 Commissariat A L'energie Atomique Et Aux Energies Alternatives Etalonnage de petits motifs elementaires en lithographie electronique a faisceau forme
US10678142B2 (en) * 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks
WO2019115426A1 (en) * 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
US11301610B2 (en) * 2017-12-22 2022-04-12 D2S, Inc. Methods for modeling of a design in reticle enhancement technology
US11257207B2 (en) * 2017-12-28 2022-02-22 Kla-Tencor Corporation Inspection of reticles using machine learning
KR20210010897A (ko) * 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. 기계 학습 기반 역 광 근접 보정 및 공정 모델 캘리브레이션
KR20230167453A (ko) * 2018-11-30 2023-12-08 에이에스엠엘 네델란즈 비.브이. 제조성에 기초한 패터닝 디바이스 패턴을 결정하기 위한 방법
WO2020114684A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
US10923318B2 (en) * 2018-12-20 2021-02-16 Fei Company Optical alignment correction using convolutional neural network evaluation of a beam image
CN113661447A (zh) * 2019-04-04 2021-11-16 Asml荷兰有限公司 用于预测衬底图像的方法和设备
JP7250642B2 (ja) * 2019-08-08 2023-04-03 株式会社日立ハイテク 荷電粒子線装置および荷電粒子線検査システム
KR20220053029A (ko) * 2019-09-05 2022-04-28 에이에스엠엘 네델란즈 비.브이. 현상 후 이미지에 기초하여 패턴의 결함이 있음을 결정하는 방법
WO2021175570A1 (en) * 2020-03-03 2021-09-10 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
US11774371B2 (en) * 2020-05-22 2023-10-03 Kla Corporation Defect size measurement using deep learning methods
KR20220014541A (ko) * 2020-07-29 2022-02-07 삼성전자주식회사 공정 근접 효과 보정 방법 및 컴퓨팅 장치
EP3951496A1 (en) * 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models

Also Published As

Publication number Publication date
TWI810679B (zh) 2023-08-01
US20220128899A1 (en) 2022-04-28
WO2022086825A1 (en) 2022-04-28
KR20230091155A (ko) 2023-06-22
JP2023547622A (ja) 2023-11-13
TW202223547A (zh) 2022-06-16
EP4205176A1 (en) 2023-07-05

Similar Documents

Publication Publication Date Title
US10909294B2 (en) Modeling of a design in reticle enhancement technology
US10101648B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
TWI810679B (zh) 決定半導體或平板顯示器製造之形狀的方法與系統
JP5749905B2 (ja) フラクチャリングまたはマスクデータ作成または近接効果補正のための方法、パターンセット形成方法、半導体素子製造方法、およびフラクチャリングまたはマスクデータ作成または近接効果補正のための装置
TWI605302B (zh) 使用帶電粒子束微影術之用於臨界尺寸一致性之方法
TWI661265B (zh) 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
US11264206B2 (en) Methods and systems for forming a pattern on a surface using multi-beam charged particle beam lithography
KR102258587B1 (ko) 하전 입자 빔 리소그래피를 이용한 치수 균일도를 위한 방법 및 시스템
US11783110B2 (en) Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US11953824B2 (en) Method for reticle enhancement technology of a design pattern to be manufactured on a substrate
US20160195805A1 (en) Method and System for Design of Enhanced Edge Slope Patterns for Charged Particle Beam Lithography
US9323140B2 (en) Method and system for forming a pattern on a reticle using charged particle beam lithography
US11921420B2 (en) Method and system for reticle enhancement technology
US20240086607A1 (en) Modeling of a design in reticle enhancement technology