TW202339118A - 鐵電裝置的形成方法及半導體裝置結構 - Google Patents

鐵電裝置的形成方法及半導體裝置結構 Download PDF

Info

Publication number
TW202339118A
TW202339118A TW112100737A TW112100737A TW202339118A TW 202339118 A TW202339118 A TW 202339118A TW 112100737 A TW112100737 A TW 112100737A TW 112100737 A TW112100737 A TW 112100737A TW 202339118 A TW202339118 A TW 202339118A
Authority
TW
Taiwan
Prior art keywords
layer
hafnium dioxide
doped hafnium
dioxide layer
ferroelectric
Prior art date
Application number
TW112100737A
Other languages
English (en)
Inventor
喬治奧斯 韋理安尼堤斯
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202339118A publication Critical patent/TW202339118A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

闡述一種鐵電裝置及其形成方法。在一些實施例中,所述方法包括在層上沈積經摻雜二氧化鉿層,且經摻雜二氧化鉿層具有第一氧空缺濃度。所述方法更包括對經摻雜二氧化鉿層執行超高真空退火製程以使第一氧空缺濃度增大至第二氧空缺濃度以及對經摻雜二氧化鉿層執行氧退火製程以使第二氧空缺濃度減小。

Description

鐵電裝置及其形成方法
許多現代電子裝置包含被配置成儲存資料的電子記憶體。電子記憶體可為揮發性記憶體或非揮發性記憶體。揮發性記憶體在其被供電時儲存資料,而非揮發性記憶體在電力被移除時仍能夠儲存資料。鐵電記憶體裝置有望成為下一代非揮發性記憶體技術的一個候選項。舉例而言,鐵電記憶體裝置包括基於電容器的鐵電隨機存取記憶體(ferroelectric random-access memory,FeRAM)及鐵電場效電晶體(ferroelectric field effect transistor,FeFET)。FeRAM裝置及FeFET裝置提供許多優點,包括快速寫入時間、高耐久性、低功耗及對輻射損壞的低敏感度。
以下揭露內容提供用於實施所提供標的物的不同特徵的諸多不同實施例或實例。以下闡述組件及排列的具體實例以簡化本揭露。當然,該些僅為實例且不旨在進行限制。舉例而言,以下說明中將第一特徵形成於第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成有附加特徵進而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可能在各種實例中重複使用參考編號及/或字母。此種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「位於…之下(beneath)」、「位於…下方(below)」、「下部的(lower)」、「位於…上方(above)」、「位於…之上(over)」、「位於…上(on)」、「頂部的(top)」、「上部的(upper)」及類似用語等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外亦囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或處於其他定向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
再者,當使用「約(about)」、「近似(approximate)」及類似用語來闡述數值或數值範圍時,所述用語旨在囊括處於包括所闡述數值的合理範圍內的數值,例如處於所闡述數值的+/-10%或熟習此項技術者所理解的其他值以內。舉例而言,用語「約5奈米」囊括自4.5奈米至5.5奈米的尺寸範圍。
闡述實例性方法及結構的一些變型。此項技術中具有通常知識者將容易地理解可預期在其他實施例的範圍內進行的其他修改。儘管方法實施例可以特定次序闡述,然而各種其他方法實施例可以任何邏輯次序執行且可包括較本文中所闡述的步驟少的步驟或較本文中所闡述的步驟多的步驟。在一些圖中,其中所示的組件或特徵的一些參考編號可被省略,以避免使其他組件或特徵模糊;此是為了易於繪示各圖起見。
圖1A是根據一些實施例的FeRAM胞元100的電路圖。如圖1A中所示,FeRAM胞元100包括電晶體104及電容器101。圖1A中所示的FeRAM胞元100是一個電晶體一個電容器(one-transistor-one-capacitor,1T1C)類型FeRAM胞元。FeRAM胞元100可為其他類型FeRAM胞元,例如兩個電晶體兩個電容器(two-transistor-two-capacitor,2T2C)FeRAM胞元。圖1B是根據一些實施例的圖1A所示FeRAM胞元100的側視剖視圖。如圖1B中所示,FeRAM胞元100包括設置於基底102之上的電晶體104。電晶體104包括設置於基底102中的汲極區104a及源極區104b。基底102可為半導體基底,例如矽晶圓。舉例而言,基底102可包含矽或化合物半導體,例如砷化鎵(GaAs)、磷化銦(InP)、矽鍺(SiGe)、碳化矽(SiC)、其他合適的半導體材料及/或其組合。基底102可經摻雜劑(例如n型摻雜劑或p型摻雜劑)摻雜。汲極區104a及源極區104b可經摻雜劑(例如n型摻雜劑或p型摻雜劑)摻雜。
閘極電極104c設置在汲極區104a與源極區104b之間的基底102之上有。閘極電極104c可包括一或多個層。舉例而言,閘極電極104c可包括一或多個功函數層(work function layer)及塊狀層(bulk layer)。在一些實施例中,功函數層包括一或多個導電性材料的層,例如由TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC形成的單個層或者由該些材料中的二或更多者形成的多個層。塊狀層可包含導電性材料,例如金屬。在一些實施例中,塊狀層包含W、Cu、Ti、Al或Co。閘極電極104c可包括附加層,例如膠黏層、障壁層、頂蓋層或任何合適的層。功函數層及附加層可為可選的。在閘極電極104c與基底102之間設置有閘極介電層104d。閘極介電層104d包含介電材料。在一些實施例中,閘極介電層104d包含高介電常數(high-k)介電材料,且在該些實施例中,閘極介電層104d可具有大於約7.0的介電常數值且可包含鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛形成的金屬氧化物或矽酸鹽及其組合。
電晶體104可為任何合適的電晶體,例如平面電晶體、鰭式場效電晶體(fin-field effect transistor,FinFET)或奈米結構電晶體(例如全環繞閘極(gate-all-around,GAA)FET、奈米片FET或奈米線FET)。
如圖1B中所示,在一些實施例中,閘極電極104c可電性連接至字元線WL(word line),源極區104b電性連接至位元線BL(bit line),汲極區104a電性連接至電容器101,且電容器101電性連接至驅動線DL(drive line)。汲極區104a可經由一或多條導電線110及一或多個導通孔108電性連接至電容器101。電容器101、一或多條導電線110及一或多個導通孔108可嵌入於介電結構106中。介電結構106及形成於介電結構106中的特徵可為內連線結構,且介電結構106包括多個金屬間介電(intermetal dielectric,IMD)層。
電容器101可為鐵電電容器(ferroelectric capacitor,FeCAP)。在一些實施例中,電容器101包括第一電極112、第二電極116及設置於第一電極112與第二電極116之間的鐵電層114。在一些實施例中,鐵電層114可為介電常數大於約3.9的高介電常數介電層。舉例而言,鐵電層114可包含高介電常數介電質,例如鉿基(hafnium-based)氧化物材料(例如二氧化鉿(HfO 2))。可使用其他合適的鐵電介電材料。在一些實施例中,鐵電層114可為經任何合適的元素(例如(舉例而言)鋯、鋁、鑭、鈦、鉭、矽、釔、鈧、釓、任何其他合適的元素或其組合)摻雜的鉿基層。
在一些實施例中,鐵電層114是具有斜方晶相(orthorhombic crystal phase)的經摻雜二氧化鉿層。經摻雜二氧化鉿層的其他晶相(例如單斜晶相(monoclinic phase)或正方晶相(tetragonal phase))可能表現不出鐵電性質。具有斜方晶相的經摻雜二氧化鉿層包含氧原子,所述氧原子可在所施加的電場下移動至兩個不同的位置,因此具有斜方晶相的經摻雜二氧化鉿層具有兩種斜方幾何形狀。所述兩種斜方幾何形狀具有互換障壁(interconversion barrier),其可藉由向其施加電場來進行切換。在一些實施例中,在氧化鉿層的晶格中添加摻雜劑原子會改變局部應力且可為氧原子提供足夠的空間來移動,進而達成鐵電行為。較大的摻雜劑使單斜結構的平面偏移,使得原子傾向於根據斜方結構進行排列。在一些實施例中,經摻雜二氧化鉿層包含摻雜劑濃度介於約40莫耳%(mole percent)至約60莫耳%之間的鋯摻雜劑。舉例而言,鐵電層114可為自Hf 0.6Zr 0.4O 2至Hf 0.4Zr 0.6O 2。在一些實施例中,鋯摻雜劑濃度處於40莫耳%至60莫耳%的範圍之外。對於其他摻雜劑(D)(例如鋁、鑭、鈦、鉭、矽、釔、鈧或釓),摻雜劑濃度可介於自約0.1莫耳%至約15莫耳%的範圍內。舉例而言,鐵電層114可為自D 0.01Hf 0.99O 2至D 0.15Hf 0.85O 2。由於鋯與鉿具有相似的電子結構且鋯與鉿的氧化物可實質上相同,因此作為摻雜劑的鋯可具有相對較高的摻雜劑濃度。在一些實施例中,斜方晶相中的結晶產生兩種狀態的混合物。然後,藉由施加電壓,斜方晶相在所述兩種狀態中的一者下被極化。
在一些實施例中,所沈積的經摻雜二氧化鉿層處於非晶狀態或局部結晶狀態,且執行退火製程以使斜方晶相的百分比增大。經摻雜二氧化鉿層是多相的,此意指經摻雜二氧化鉿層以所有可用的相(單斜的、斜方的、立方的、正方的)結晶。斜方晶相在鐵電層114中的百分比越大,鐵電效能越佳。經摻雜二氧化鉿層的鐵電性質亦可取決於摻雜劑濃度(當施加電場時,晶格畸變促進元素移動)、氧空缺濃度(當施加電場時,氧空缺可有助於在晶格中形成供元素進行移動的空間)、以及摻雜劑濃度與氧濃度之間的精細平衡。
本揭露的各種實施例提供用於形成經摻雜二氧化鉿層的方法,所述經摻雜二氧化鉿層具有高百分比(例如大於約90%、例如大於約98%或約100%)的斜方晶相。所述方法在圖4至圖8C中進行詳細闡述。
第一電極112包含導電性材料,例如W、TaN、TiN、Ti、Ru、Pt、Ir或其他合適的導電性材料。第二電極116包含導電性材料。在一些實施例中,第二電極116包含與第一電極112相同的材料。
圖2A是根據一些實施例的FeFET胞元200的電路圖。圖2B是根據一些實施例的圖2A所示FeFET胞元200的側視剖視圖。如圖2A及圖2B中所示,FeFET胞元200包括設置於基底202之上的FeFET 204。基底202可包含與基底102相同的材料。FeFET 204包括源極區204a及汲極區204b。源極區204a及汲極區204b可分別包含與源極區104b及汲極區104a相同的材料。在基底202之上設置有閘極電極204c,且閘極電極204c可包含與閘極電極104c相同的材料。在閘極電極204c與基底202之間設置有鐵電層204d。在一些實施例中,在鐵電層204d與基底202之間可設置有介面層(未示出)。鐵電層204d可包含與鐵電層114相同的材料。在FeFET 204之上設置有介電結構206,且在介電結構206中設置有字元線WL及位元線BL。在一些實施例中,字元線WL電性連接至閘極電極204c且位元線BL電性連接至源極區204a。
在一些實施例中,鐵電層204d是經摻雜二氧化鉿層。與圖1B中所示的鐵電層114相似,圖4至圖8C中所闡述的方法使鐵電層204d的斜方晶相的百分比增大,進而改善鐵電層204d的鐵電效能。
圖3是根據一些實施例的形成於多晶金屬層304上的鐵電層302的側視剖視圖。如圖3中所示,多晶金屬層304包括二個或更多個晶相304a、304b、304c,且形成於多晶金屬層304上的鐵電層302亦是多晶的。鐵電層302可包括二個或更多個晶相302a、302b、302c、302d、302e。對鐵電層302執行退火製程,以使斜方晶相的百分比增大。在退火製程之後,形成於多晶金屬層(例如多晶金屬層304)上的鐵電層302可具有小於90%(例如小於約50%,例如約20%)的斜方晶相。
圖4是根據一些實施例的形成於單晶金屬層404上的鐵電層402的側視剖視圖。如圖4中所示,單晶金屬層404包括單晶相,且形成於單晶金屬層404上的鐵電層402亦包括單晶相。在一些實施例中,鐵電層402是經摻雜二氧化鉿層,且單晶金屬層404的晶格常數(或晶格參數)a及b與經摻雜二氧化鉿層在斜方晶相中的晶格常數a及b實質上相同。單晶金屬層404可用作鐵電層402在其上面結晶的晶種層。若單晶金屬層404的晶格常數a及b與斜方的經摻雜二氧化鉿層的晶格常數實質上相同,則形成於單晶金屬層404上的鐵電層402將試圖匹配於斜方晶相。由於單晶金屬層404的晶格常數c與充當晶種的平面外的尺寸有關,因此晶格常數c對鐵電層402的結晶幾乎沒有影響。單晶金屬層404的介面性質相較於單晶金屬層404的厚度更為重要。
在一些實施例中,單晶金屬層404具有對稱的晶體結構,且晶格常數a與晶格常數b之間的角度為約90度。舉例而言,單晶金屬層404的晶體結構可為立方晶體結構、正方晶體結構、斜方晶體結構或其他合適的晶體結構。在一些實施例中,單晶金屬層404的晶體結構可為任何合適的立方結構,例如簡單立方結構、體心結構、面心結構或其他合適的結構。沈積於單晶金屬層404上的鐵電層402將試圖使立方狀二氧化鉿晶格胞元(lattice cell)與來自單晶金屬層404的晶格胞元相匹配。其不需要精確匹配,只要晶格胞元相較於單斜的二氧化鉿更接近斜方的二氧化鉿。單晶金屬層404的晶格常數a及b與鐵電層402的晶格常數a及b之間的某種失配(例如,高達約10%,例如自約5%至約10%)是可容許的。舉例而言,若單晶金屬層404的晶格常數a及b較小,則鐵電層402的晶格常數c將傾向於較大;若單晶金屬層404的晶格常數a及b較大,則鐵電層402的晶格常數c將較小;鐵電層402的胞元傾向於保持其體積。在所述兩種情形中,鐵電層402將傾向於結晶,使其晶格常數a及b與單晶金屬層404的晶格常數a及b相匹配。對於大於約10%的失配,在超過鐵電層402的特定實體厚度(例如,約10奈米)的情況下,晶格將鬆弛,因此所述層可為薄的(例如小於約10奈米)。
在一些實施例中,單晶金屬層404是NiAl層。NiAl層可具有立方晶體結構且可藉由任何合適的方法(例如物理氣相沈積(physical vapor deposition,PVD))形成。NiAl的鎳(Ni)濃度可介於自約40原子%(atomic percent)至約60原子%的範圍內,例如自約40原子%至約45原子%。鎳含量可能會影響NiAl晶體的大小及結晶程度。較低的鎳量(例如自約40原子%至約45原子%)可達成斜方結構的較佳匹配。舉例而言,在X射線繞射分析(X-ray diffraction analysis,XRD)中,峰值出現於31度至32度附近,此接近二氧化鉿的斜方峰值。在較低的鎳濃度(例如小於45原子%)下,峰值更強。NiAl是立方的,其晶格常數a與晶格常數b相等,例如介於約0.286奈米與約0.289奈米之間。
在一些實施例中,鐵電層402的晶格常數a及b可分別為單晶金屬層404的晶格常數的倍數。圖5示出根據一些實施例的圖4所示單晶金屬層及鐵電層的晶體結構。如圖5中所示,單晶金屬層404可為具有晶體結構502的NiAl層,且鐵電層402可為具有晶體結構504的經摻雜二氧化鉿層。鐵電層402的晶格常數a可為單晶金屬層404的晶格常數a’的倍數。舉例而言,NiAl的晶格常數a’及b’二者皆為約0.286奈米,且鐵電層402的晶格常數a及b可為約0.572奈米,此是晶格常數a’及b’的約兩倍。在晶格常數a及b為約0.572奈米的情況下,鐵電層402的晶體結構實質上是斜方的。
返回參照圖4,可藉由任何合適的方法(例如原子層沈積(atomic layer deposition,ALD)、PVD或化學氣相沈積(chemical vapor deposition,CVD))形成鐵電層402。在一些實施例中,鐵電層402藉由ALD形成於單晶金屬層404上。在一些實施例中,鐵電層402具有介於自約3奈米至約20奈米的範圍內(例如自約5奈米至約20奈米)的厚度。在一些實施例中,鐵電層402具有大於約20奈米的厚度或者藉由處理溫度小於約攝氏350度(例如自約攝氏250度至約攝氏300度)的製程形成,且可執行可選的退火製程以使斜方晶相的百分比增大。舉例而言,退火製程可包括在任何合適的環境(例如氧氣、氮氣或氫氣)中將鐵電層402加熱至溫度介於自約攝氏200度至約攝氏600度的範圍內達1秒至約300秒的持續時間。在一些實施例中,形成鐵電層402的製程可在升高的溫度(例如自約攝氏200度至約攝氏600度)下執行。
圖4中所示的鐵電層402可具有增加的斜方晶相,例如超過90%的斜方晶相(例如超過98%的斜方晶相)。另外,在90%的斜方晶相中,鐵電層402的80%的斜方晶相可在水平方向上排列,以有助於鐵電性。此外,鐵電層402包括介於自約5奈米至約20奈米的範圍內(例如自約10奈米至約20奈米)的晶疇(crystal domain)。
圖6A及圖6B是根據一些實施例的電容器600的側視剖視圖。在一些實施例中,如圖6A中所示,電容器600包括作為第一電極的單晶金屬層404、作為第二電極的金屬層602以及設置於單晶金屬層404與金屬層602之間的鐵電層402。在一些實施例中,金屬層602是單晶金屬層。金屬層602的金屬可與單晶金屬層404的金屬相同或不同。
在一些實施例中,如圖6B中所示,電容器600包括作為第一電極的單晶金屬層404、作為第二電極的金屬層604以及設置於單晶金屬層404與金屬層604之間的鐵電層402。在一些實施例中,金屬層604是多晶金屬層。金屬層604的金屬可與單晶金屬層404的金屬相同不同。金屬層602或金屬層604不會影響鐵電層402的晶體結構。在一些實施例中,在形成金屬層602或金屬層604之後執行可選的退火製程。圖6A及圖6B中所示的電容器600可用作圖1A及圖1B中所示的電容器101。
圖7A至圖7C示出根據一些實施例的形成鐵電層704的各個階段。如圖7A中所示,在層702上沈積鐵電層704。層702可為金屬層(例如圖1B中所示的第一電極112)或半導體層(例如圖2B中所示的基底202)。在一些實施例中,層702是圖4中所示的單晶金屬層404。在一些實施例中,層702是介電層,例如介面層。鐵電層704可為經摻雜二氧化鉿層且可藉由任何合適的方法(例如CVD、ALD或PVD)形成。鐵電層704包括氧空缺706。所沈積的鐵電層704的氧空缺706可介於自約2%至約5%的範圍內。
接下來,如圖7B中所示,對鐵電層704執行超高真空(ultra-high vacuum,UHV)退火製程,以使鐵電層704結晶且使氧空缺706的濃度增大。在一些實施例中,UHV退火製程是在介於自約攝氏20度至約攝氏450度的處理溫度下及小於10 -3托(例如自約10 -8托至約10 -3托)的處理壓力下執行。UHV退火製程使鐵電層704外部的壓力減小,因此鐵電層704中的氧原子自鐵電層704逸出。所得氧空缺706的濃度增大至約5%至約10%。氧原子的移除或額外氧空缺的形成為原子在鐵電層704內進行移動形成空間,且相較於常規退火製程,在上述UHV退火製程條件下可獲得增加的斜方晶相。在一些實施例中,斜方晶相的百分比並未增大,但由於鐵電層704中剩餘的氧原子因UHV退火製程而具有更多的空間進行移動,因此鐵電性預計會更高。
在UHV退火製程之後,鐵電層704以主晶相是斜方晶相結晶。接下來,如圖7C中所示,對鐵電層704執行可選的退火製程以使氧空缺706減小,進而改善可靠性。可在氧氣環境中在介於自約攝氏20度至約攝氏450度的範圍內的處理溫度下以及介於自約1大氣壓(atm)至約20大氣壓的範圍內的處理壓力下執行退火製程達介於自約1分鐘至約5小時的範圍內的持續時間。在自UHV退火製程結晶之後,鐵電層704的晶相實質上是穩定的,因此在可選的退火製程之後不應觀察到相變。在可選的退火製程之後,氧空缺706的濃度減小至UHV退火製程之前的水準,例如自約2%至約5%。隨著氧空缺706濃度的降低,裝置可靠性得到改善。
藉由UHV退火製程形成的鐵電層704由於斜方晶相的百分比增大及/或鐵電層704中供原子進行移動的空間更多而具有改善的鐵電性。可選的退火製程進一步改善裝置可靠性。在一些實施例中,鐵電層704可用作電容器101中的鐵電層114,且可在形成第二電極116之前執行UHV製程及可選的退火製程。在一些實施例中,層702是第一電極112且鐵電層704是鐵電層114。在一些實施例中,鐵電層704可用作FeFET 204中的鐵電層204d,且可在形成閘極電極204c之前執行UHV製程及可選的退火製程。在一些實施例中,層702是基底202(或形成於基底202上的介面層)且鐵電層704是鐵電層204d。
圖8A至圖8C示出根據一些實施例的形成鐵電層804的各個階段。如圖8A中所示,在層802上沈積鐵電層804。層802可包含與層702相同的材料。鐵電層804可為經摻雜二氧化鉿層且可藉由任何合適的方法(例如CVD、ALD或PVD)形成。鐵電層804包括氧空缺806。所沈積的鐵電層804的氧空缺806可介於約2%至約5%的範圍內。
接下來,如圖8B中所示,對鐵電層804執行離子植入製程810,以自所沈積的鐵電層804移除結晶度且在鐵電層804中提供非晶相。如上所述,在一些實施例中,所沈積的經摻雜二氧化鉿層可能局部地結晶。所沈積的經摻雜二氧化鉿層的晶相可能是主要不表現出鐵電性質的單斜晶相。離子植入製程810向所沈積的經摻雜二氧化鉿層中引入摻雜劑808,以置換經摻雜二氧化鉿層的元素,其中摻雜劑808可與經摻雜二氧化鉿層的摻雜劑不同。因此,所沈積的經摻雜二氧化鉿層的結晶度被移除。換言之,所沈積的經摻雜二氧化鉿層的結晶結構被來自離子植入製程810的摻雜劑808破壞,且所得經摻雜二氧化鉿層是非晶層。
離子植入製程810可利用介於自約1千電子伏特(keV)至約10千電子伏特的範圍內的離子能量來執行。若離子能量小於約1千電子伏特,則所沈積的經摻雜二氧化鉿層中的單斜晶體結構不會被破壞。另一方面,若離子能量大於約10千電子伏特,則會使製造成本增大但不具有顯著優勢。離子植入製程的劑量可介於自約10 13/平方公分至約10 15/平方公分的範圍內。離子植入製程810可達成淺摻雜,例如約10奈米或小於10奈米。在一些實施例中,摻雜劑808可為p型摻雜劑或n型摻雜劑,例如磷(P)、砷(As)或硼(B)。在一些實施例中,摻雜劑808可為鎵(Ga)、銻(Sb)、鍺(Ge)、矽(Si)或其他合適的摻雜劑。所沈積的經摻雜二氧化鉿層已包含摻雜劑,例如鋯、鋁、鑭、鈦、鉭、矽、釔、鈧、釓,其可與摻雜劑808不同。在一些實施例中,鐵電層804包含兩種不同的摻雜劑。舉例而言,第一摻雜劑在沈積經摻雜二氧化鉿層期間原位(in-situ)形成,且第二摻雜劑藉由在沈積經摻雜二氧化鉿層之後執行的離子植入製程而被引入至經摻雜二氧化鉿層中。
接下來,如圖8C中所示,對鐵電層804執行退火製程以形成斜方晶相。可在介於自約攝氏20度至約攝氏550度的範圍內的處理溫度下執行退火製程達介於自約1秒至約1小時的範圍內的持續時間。退火製程將非晶相改變成結晶相,具體而言是斜方晶相。在不具有使單斜晶相非晶化的離子植入製程的情況下,在退火製程之後,鐵電層804可包括單斜晶相及斜方晶相二者。因此,藉由在執行退火製程之前移除單斜晶相,所得鐵電層804是結晶的且主要包括斜方晶相。
在一些實施例中,鐵電層804可用作電容器101中的鐵電層114,可在形成第二電極116之前執行離子植入製程810,且可在形成第二電極116之前或之後執行退火製程。在一些實施例中,層802是第一電極112且鐵電層804是鐵電層114。在一些實施例中,鐵電層804可用作FeFET 204中的鐵電層204d,可在形成閘極電極204c之前執行離子植入製程810,且可在形成閘極電極204c之前或之後執行退火製程。在一些實施例中,層802是基底202(或形成於基底202上的介面層)且鐵電層804是鐵電層204d。
圖9A至圖9G是根據一些實施例的半導體裝置結構900的各個製造階段的側視剖視圖。如圖9A中所示,半導體裝置結構900包括基底902及設置於基底902上的一或多個電晶體904。基底902可為基底102且電晶體904可為圖1B中所示的同一電晶體104。每一電晶體904包括源極/汲極區906及閘極電極908,源極/汲極區906及閘極電極908可與汲極區104a、源極區104b及閘極電極104c相同。導電接觸件910電性連接至源極/汲極區906。導電接觸件910可設置於層間介電(ILD)層912中。在電晶體904之上設置內連線結構914。內連線結構914可為圖1B中所示的介電結構106。導電線916及導通孔918在內連線結構914中形成。導電線916及導通孔918可分別為圖1B中所示的導電線110及導通孔108。
接下來,如圖9B中所示,在電性連接至每一電晶體904的源極/汲極區906中的一者的導通孔918上形成導電層920。導電層920可包含與圖1B中所示的第一電極112相同的材料。在一些實施例中,導電層920是單晶金屬層,例如圖4中所示的單晶金屬層404。接下來,如圖9C中所示,在導電層920上形成鐵電層922。鐵電層922可為圖4中所示的鐵電層402。在一些實施例中,鐵電層922是圖7A至圖7C中所示的鐵電層704或圖8A至圖8C中所示的鐵電層804。接下來,如圖9D中所示,在鐵電層922上形成導電層924。導電層924可包含與圖1B所示第二電極116、圖6A所示金屬層602或圖6B所示金屬層604相同的材料。
接下來,如圖9E中所示,對導電層920、924及鐵電層922進行圖案化以形成電容器926。電容器926可為由於具有鐵電層922而具有改善的鐵電性質的FeCAP,所述FeCAP可藉由圖4至圖8C中所闡述的製程形成。接下來,如圖9F中所示,形成介電層928以嵌入電容器926。介電層928可為IMD層且是內連線結構914的一部分。電容器926可在後段製程(back-end-of-line,BEOL)製程中形成於內連線結構914中。在一些實施例中,電容器926在前段製程(front-end-of-line,FEOL)製程或中段製程(middle-of-line,MOL)製程中形成。接下來,如圖9G中所示,執行附加製程以完成內連線結構914。在內連線結構914的頂部形成多個重佈線層(redistribution layer,RDL)930且在RDL上形成接觸墊932。半導體裝置結構900包括多個FeRAM胞元(電晶體904及電容器926)。
圖10是根據替代實施例的圖9G所示半導體裝置結構900。如圖10中所示,在內連線結構914中形成有FeRAM胞元950。每一FeRAM胞元950包括電性連接至電容器926的電晶體952。每一電晶體952可為在BEOL製程中形成的薄膜電晶體(thin film transistor,TFT)。每一電晶體952包括源極/汲極區954、金屬氧化物層956、閘極介電層958及閘極電極960。源極/汲極區954可包含導電性材料,例如金屬或金屬氮化物。在一些實施例中,源極/汲極區954包含TiN、TaN、W或WN。金屬氧化物層956用作TFT的通道區。在一些實施例中,金屬氧化物層956包含金屬氧化物半導體材料,例如氧化銦鎵鋅(indium gallium zinc oxide,IGZO)、經摻雜氧化鋅、經摻雜氧化銦、經摻雜鎘或其他合適的金屬氧化物半導體材料。閘極介電層958可包含與圖1B所示閘極介電層104d相同的材料,且閘極電極960可包含與圖1B所示閘極電極104c相同的材料。電晶體952藉由導電線916及導通孔918電性連接至電容器。
圖11A至圖11F是根據一些實施例的電晶體1100的各個製造階段的側視剖視圖。如圖11A中所示,在基底1102上形成可選的介面層1104,在介面層1104上形成鐵電層1106且在鐵電層1106上形成虛設閘極1108。基底1102可包含與圖2B所示基底202相同的材料,且鐵電層1106可包含與圖7A至圖7C所示鐵電層704或圖8A至圖8C所示鐵電層804相同的材料。虛設閘極1108可包含多晶矽。接下來,如圖11B中所示,對鐵電層1106及虛設閘極1108進行圖案化(省略介面層1104)。在虛設閘極1108的側面及鐵電層1106的側面上形成閘極間隔件1110。接下來,如圖11C中所示,在虛設閘極1108的相對側上形成源極/汲極區1112。源極/汲極區1112可包含與圖2B所示源極區204a及汲極區204b相同的材料。接下來,如圖11D中所示,在源極/汲極區1112之上形成ILD層1114。在一些實施例中,在源極/汲極區1112上形成接觸蝕刻停止層(contact etch stop layer,CESL)(未示出)且在CESL上形成ILD層1114。然後移除虛設閘極1108且在鐵電層1106上形成閘極電極1116。閘極電極1116可包含與圖2B所示閘極電極204c相同的材料。
接下來,如圖11E中所示,在ILD層1114中形成導電接觸件1118。導電接觸件1118電性連接至源極/汲極區1112。在一些實施例中,在導電接觸件1118與源極/汲極區1112之間形成矽化物層(未示出)。在一些實施例中,在移除虛設閘極1108期間移除鐵電層1106,且在形成閘極電極1116之前形成另一鐵電層1120,如圖11F中所示。鐵電層1120可包含與圖7A至圖7C中的鐵電層704或圖8A至圖8C中的鐵電層804相同的材料。在圖11F中所示的實施例中,鐵電層1106可為犧牲層且可為不表現出鐵電性的高介電常數介電層。
電晶體1100是包括鐵電層1106或鐵電層1120的FeFET。鐵電層1106或鐵電層1120藉由圖7A至圖7C或圖8A至圖8C中所闡述的製程形成。鐵電層1106或鐵電層1120的鐵電性得到改善,進而改善了裝置效能及可靠性。
圖12是根據替代實施例的圖9G所示半導體裝置結構900。如圖12中所示,不再在內連線結構914中具有電容器926,而是在內連線結構914中形成FeFET胞元970。FeFET胞元970包括FeFET 972。FeFET 972包括源極/汲極區974、鐵電層976、金屬氧化物層978及閘極電極980。源極/汲極區974可包含與圖10所示源極/汲極區954相同的材料。鐵電層976可包含與圖7A至圖7C所示鐵電層704或圖8A至圖8C所示鐵電層804相同的材料。金屬氧化物層978可包含與圖10所示金屬氧化物層956相同的材料,且閘極電極980可包含與閘極電極960相同的材料。FeFET 972可為TFT。
圖13是根據替代實施例的圖12所示半導體裝置結構900。如圖13中所示,FeFET 972可與圖10中所示的電晶體952實質上相同。然而, FeFET 972包括設置於金屬氧化物層956與閘極電極960之間的鐵電層982,而不是閘極介電層958。鐵電層982可包含與圖4中的鐵電層402、圖7A至圖7C中的鐵電層704或圖8A至圖8C中的鐵電層804相同的材料。在一些實施例中,閘極電極960包含與圖4中的單晶金屬層404相同的材料。與電晶體1100相似,FeFET 972包括鐵電層976或鐵電層982。鐵電層976或鐵電層982藉由圖4、圖7A至圖7C或圖8A至圖8C中所闡述的製程形成。鐵電層976或鐵電層982的鐵電性得到改善,進而改善了裝置效能及可靠性。
由圖4、圖7A至圖7C或圖8A至圖8C中所闡述的製程形成的鐵電層具有改善的鐵電性。鐵電層可用在FeRAM、FeFET或其他合適的裝置中。
本揭露提供一種具有改善的鐵電性的鐵電層。在一些實施例中,鐵電層形成於單晶金屬層上,且鐵電層包括超過90%的斜方晶相。在一些實施例中,在沈積鐵電層之後執行UHV退火製程或離子植入製程,以使斜方晶相的百分比增大及/或使層內供原子進行移動的空間增大。一些實施例可達成優點。舉例而言,鐵電層中的改善的鐵電性可改善裝置效能及裝置可靠性。
一個實施例是一種方法。所述方法包括在層上沈積經摻雜二氧化鉿層,且經摻雜二氧化鉿層具有第一氧空缺濃度。所述方法更包括對經摻雜二氧化鉿層執行超高真空退火製程以使第一氧空缺濃度增大至第二氧空缺濃度以及對經摻雜二氧化鉿層執行氧退火製程以使第二氧空缺濃度減小。
另一實施例是一種方法。所述方法包括在層上沈積經摻雜二氧化鉿層,且使經摻雜二氧化鉿層以第一晶相局部地進行結晶。所述方法更包括對經摻雜二氧化鉿層執行離子植入製程以使經摻雜二氧化鉿層非晶化以及對經摻雜二氧化鉿層執行退火製程以使經摻雜二氧化鉿層以第二晶相進行結晶。
另外的實施例是一種半導體裝置結構。所述結構包括:電晶體,設置於基底之上;內連線結構,設置於電晶體之上;以及鐵電電容器(FeCAP),設置於內連線結構中。FeCAP包括第一金屬層,且第一金屬層是單晶金屬層。FeCAP更包括設置於第一金屬層上的鐵電層,且鐵電層包括超過90%的斜方晶相。FeCAP更包括設置於鐵電層上的第二金屬層。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的態樣。熟習此項技術者應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,此種等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、取代及變更。
100:FeRAM胞元 101, 600, 926:電容器 102, 202, 902, 1102:基底 104, 904, 952, 1100:電晶體 104a, 204b:汲極區 104b, 204a:源極區 104c, 204c, 908, 960, 980, 1116:閘極電極 104d, 958:閘極介電層 106, 206:介電結構 108, 918:導通孔 110, 916:導電線 112:第一電極 114, 204d, 302, 402, 704, 804, 922, 976, 982, 1106, 1120:鐵電層 116:第二電極 200, 950, 970:FeFET胞元 204, 972:鐵電場效電晶體(FeFET) 302a, 302b, 302c, 302d, 302e, 304a, 304b, 304c:晶相 304:多晶金屬層 404:單晶金屬層 502, 504:晶體結構 602, 604:金屬層 702, 802:層 706, 806:氧空缺 808:摻雜劑 810:離子植入製程 900:半導體裝置結構 906, 954, 974, 1112:源極/汲極區 910, 1118:導電接觸件 912, 1114:層間介電(ILD)層 914:內連線結構 920, 924:導電層 928:介電層 930:重佈線層(RDL) 932:接觸墊 956, 978:金屬氧化物層 1104:介面層 1108:虛設閘極 1110:閘極間隔件 a, a’, b, b’, c:晶格常數/晶格參數 BL:位元線 DL:驅動線 WL:字元線
藉由結合附圖閱讀以下詳細說明,會最佳地理解本揭露的態樣。應注意,根據行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A是根據一些實施例的FeRAM胞元(cell)的電路圖。 圖1B是根據一些實施例的圖1A所示FeRAM胞元的側視剖視圖。 圖2A是根據一些實施例的FeFET胞元的電路圖。 圖2B是根據一些實施例的圖2A所示FeFET胞元的側視剖視圖。 圖3是根據一些實施例的形成於多晶金屬層上的鐵電層的側視剖視圖。 圖4是根據一些實施例的形成於單晶金屬層上的鐵電層的側視剖視圖。 圖5示出根據一些實施例的圖4所示單晶金屬層及鐵電層的晶體結構。 圖6A及圖6B是根據一些實施例的電容器的側視剖視圖。 圖7A至圖7C示出根據一些實施例的形成鐵電層的各個階段。 圖8A至圖8C示出根據一些實施例的形成鐵電層的各個階段。 圖9A至圖9G是根據一些實施例的半導體裝置結構的各個製造階段的側視剖視圖。 圖10是根據替代實施例的圖9G所示半導體裝置結構。 圖11A至圖11F是根據一些實施例的電晶體的各個製造階段的側視剖視圖。 圖12是根據替代實施例的圖9G所示半導體裝置結構。 圖13是根據替代實施例的圖12所示半導體裝置結構。
702:層
704:鐵電層
706:氧空缺

Claims (20)

  1. 一種方法,包括: 在層上沈積經摻雜二氧化鉿層,其中所述經摻雜二氧化鉿層具有第一氧空缺濃度; 對所述經摻雜二氧化鉿層執行超高真空退火製程,以使所述第一氧空缺濃度增大至第二氧空缺濃度;以及 對所述經摻雜二氧化鉿層執行氧退火製程,以使所述第二氧空缺濃度減小。
  2. 如請求項1所述的方法,其中所述第一氧空缺濃度介於自約2%至約5%的範圍內。
  3. 如請求項2所述的方法,其中所述第二氧空缺濃度介於自約5%至約10%的範圍內。
  4. 如請求項1所述的方法,其中所述層是第一金屬層。
  5. 如請求項4所述的方法,更包括在所述氧退火製程之後在所述經摻雜二氧化鉿層上形成第二金屬層。
  6. 如請求項1所述的方法,其中所述層是設置於基底上的介面層。
  7. 如請求項6所述的方法,更包括: 在所述經摻雜二氧化鉿層上形成虛設閘極; 在所述虛設閘極的側面及所述經摻雜二氧化鉿層的側面上形成閘極間隔件; 在所述基底中形成源極/汲極區; 移除所述虛設閘極;以及 在所述經摻雜二氧化鉿層上形成閘極電極。
  8. 一種方法,包括: 在層上沈積經摻雜二氧化鉿層,其中使所述經摻雜二氧化鉿層以第一晶相局部地進行結晶; 對所述經摻雜二氧化鉿層執行離子植入製程,以使所述經摻雜二氧化鉿層非晶化;以及 對所述經摻雜二氧化鉿層執行退火製程,以使所述經摻雜二氧化鉿層以第二晶相進行結晶。
  9. 如請求項8所述的方法,其中所述第一晶相是單斜的且所述第二晶相是斜方的。
  10. 如請求項8所述的方法,其中在沈積所述經摻雜二氧化鉿層期間向所述經摻雜二氧化鉿層引入第一摻雜劑,且在所述離子植入製程期間向所述經摻雜二氧化鉿層引入第二摻雜劑。
  11. 如請求項10所述的方法,其中所述第一摻雜劑包括鋯、鋁、鑭、鈦、鉭、矽、釔、鈧或釓,且所述第二摻雜劑包括磷、砷、硼、鎵、銻、鍺或矽。
  12. 如請求項8所述的方法,其中所述層是第一金屬層。
  13. 如請求項12所述的方法,更包括在所述退火製程之前在所述經摻雜二氧化鉿層上形成第二金屬層。
  14. 如請求項8所述的方法,其中所述層是設置於基底上的介面層。
  15. 如請求項14所述的方法,更包括: 在所述經摻雜二氧化鉿層上形成虛設閘極; 在所述虛設閘極的側面及所述經摻雜二氧化鉿層的側面上形成閘極間隔件; 在所述基底中形成源極/汲極區; 移除所述虛設閘極;以及 在所述經摻雜二氧化鉿層上形成閘極電極。
  16. 一種半導體裝置結構,包括: 電晶體,設置於基底之上; 內連線結構,設置於所述電晶體之上;以及 鐵電電容器(FeCAP),設置於所述內連線結構中,其中所述鐵電電容器包括: 第一金屬層,其中所述第一金屬層是單晶金屬層; 鐵電層,設置於所述第一金屬層上,其中所述鐵電層包括超過90%的斜方晶相;以及 第二金屬層,設置於所述鐵電層上。
  17. 如請求項16所述的半導體裝置結構,其中所述第一金屬層是NiAl層。
  18. 如請求項17所述的半導體裝置結構,其中所述鐵電層是經摻雜二氧化鉿層。
  19. 如請求項18所述的半導體裝置結構,其中所述第二金屬層是單晶金屬層。
  20. 如請求項18所述的半導體裝置結構,其中所述第二金屬層是多晶金屬層。
TW112100737A 2022-03-15 2023-01-07 鐵電裝置的形成方法及半導體裝置結構 TW202339118A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263319842P 2022-03-15 2022-03-15
US63/319,842 2022-03-15
US17/850,429 2022-06-27
US17/850,429 US20230301114A1 (en) 2022-03-15 2022-06-27 Ferroelectric devices and methods of forming the same

Publications (1)

Publication Number Publication Date
TW202339118A true TW202339118A (zh) 2023-10-01

Family

ID=87048750

Family Applications (1)

Application Number Title Priority Date Filing Date
TW112100737A TW202339118A (zh) 2022-03-15 2023-01-07 鐵電裝置的形成方法及半導體裝置結構

Country Status (4)

Country Link
US (1) US20230301114A1 (zh)
JP (1) JP2023135612A (zh)
CN (1) CN116419575A (zh)
TW (1) TW202339118A (zh)

Also Published As

Publication number Publication date
CN116419575A (zh) 2023-07-11
US20230301114A1 (en) 2023-09-21
JP2023135612A (ja) 2023-09-28

Similar Documents

Publication Publication Date Title
US11043502B2 (en) Semiconductor devices including ferroelectric materials
US11973119B2 (en) Semiconductor device and method of manufacturing the same
KR101973248B1 (ko) 극성, 비대칭성, 및 비-중심-대칭성 강유전성 물질들, 그러한 물질들을 포함하는 메모리 셀들, 및 관련 디바이스들 및 방법들
US11848193B2 (en) Ferroelectric semiconductor device and method of manufacturing the same
US11758737B2 (en) Ferroelectric memory device and method of forming the same
US11289510B2 (en) Semiconductor device including ferroelectric film and method of manufacturing the same
CN113745327A (zh) 叠层结构体以及包括其的半导体器件、半导体设备和电子设备、和制造叠层结构体的方法
US11869766B2 (en) Seed layer for ferroelectric memory device and manufacturing method thereof
US20230354613A1 (en) Wakeup free approach to improve the ferroelectricity of feram using a stressor layer
TW202339118A (zh) 鐵電裝置的形成方法及半導體裝置結構
US20230145317A1 (en) Metal layers for increasing polarization of ferroelectric memory device
US20220384460A1 (en) Annealed seed layer to improve ferroelectric properties of memory layer
KR20240060480A (ko) 강유전성 구조물에 반강유전성을 유도하기 위한 억제층 삽입
TW202418963A (zh) 鐵電結構、積體電路與其形成方法
CN117580364A (zh) 铁电结构、集成电路与其形成方法
TW202333356A (zh) 積體晶片及其形成方法
CN116960180A (zh) 半导体器件及其制造方法