TW202318524A - 用於處理基板的方法及設備 - Google Patents

用於處理基板的方法及設備 Download PDF

Info

Publication number
TW202318524A
TW202318524A TW111136033A TW111136033A TW202318524A TW 202318524 A TW202318524 A TW 202318524A TW 111136033 A TW111136033 A TW 111136033A TW 111136033 A TW111136033 A TW 111136033A TW 202318524 A TW202318524 A TW 202318524A
Authority
TW
Taiwan
Prior art keywords
wafer
processing chamber
measurement
organic matter
vacuum
Prior art date
Application number
TW111136033A
Other languages
English (en)
Other versions
TWI835309B (zh
Inventor
穎 王
瑞萍 王
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202318524A publication Critical patent/TW202318524A/zh
Application granted granted Critical
Publication of TWI835309B publication Critical patent/TWI835309B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67132Apparatus for placing on an insulating substrate, e.g. tape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected

Abstract

本文提供用於處理基板的方法及設備。例如,用於混合接合一晶圓的方法包括以下步驟:在設置於一第一處理腔室內的該晶圓上執行一第一真空處理程序;取得該第一處理腔室內的溼度測量值或有機物質測量值之其中至少一者;將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在與該第一處理腔室不同的一第二處理腔室中自動地執行一第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。

Description

用於處理基板的方法及設備
本揭示案的實施例一般相關於方法和設備。例如,本揭示案的實施例相關於使用帶有殘餘氣體分析器(RGA)的端點偵測來進行晶圓上晶片(CoW)混合接合的方法和設備。
用於CoW混合接合的常規帶框晶圓在裝載於電漿腔室以進行表面活化時會釋放大量的釋氣,例如濕度、CO X、CO 2、H 2O、和有機物質(C XH X、增塑劑等)。釋氣會導致各種問題,可包括但不限於抽空處理緩慢、腔室基底壓力差、和腔室污染。據此,通常在電漿步驟之前使用一個或更多個脫氣處理來減緩這些問題。然而,持續時間可能會因混合運行(例如,由於CoW的KGD的「混合和接合」處理)不同傳入的帶材料、上游處理/階段條件、及/或在單個批次運行中的晶圓數量而有很大變化。
需要使用RGA及用於自動脫氣持續時間調諧的即時反饋進行在線釋氣監測和端點偵測的能力。然而,由於缺乏傳導/對流媒體,真空中的溫度測量具有挑戰性。常規的方法和設備使用非接觸式紅外光(NC-IR)感測器。例如,在通常在高真空中操作的脫氣處理中,溫度測量和控制依賴於NC-IR感測器。然而,該等感測器依賴於發射率,因此依賴於材料。因此,需要在對不同基板材料進行脫氣處理之前執行使用例如溫度標籤的迭代手動校正,這很慢,不是即時執行的,且對釋氣不太敏感。
本文提供用於處理基板的方法及設備。在一些實施例中,例如,用於混合接合一晶圓的方法包括以下步驟:在設置於一第一處理腔室內的該晶圓上執行一第一真空處理程序;取得該第一處理腔室內的溼度測量值或有機物質測量值之其中至少一者;將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在與該第一處理腔室不同的一第二處理腔室中自動地執行一第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。
根據至少一些實施例,非暫態電腦可讀取儲存媒體具有儲存於其上的指令,當由一處理器執行時,使得執行用於混合接合一晶圓的一方法。該方法包括以下步驟:在設置於一第一處理腔室內的該晶圓上執行一第一真空處理程序;取得該第一處理腔室內的溼度測量值或有機物質測量值之其中至少一者;將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在與該第一處理腔室不同的一第二處理腔室中自動地執行一第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。
根據至少一些實施例,用於混合接合一晶圓的整合工具包括:一第一處理腔室,該第一處理腔室經配置以執行一第一真空處理程序;一第二處理腔室,該第二處理腔室與該第一處理腔室不同且經配置以執行一第二真空處理程序;及一控制器,該控制器經配置以:取得該第一處理腔室及該第二處理腔室內的溼度測量值或有機物質測量值之其中至少一者;將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在該第二處理腔室中自動地執行該第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。
以下描述本揭示案的其他及進一步的實施例。
本文提供了用於處理基板的方法和設備的實施例。例如,本文描述的方法和設備經配置以用於對帶框上的切片的晶圓和晶粒將被接合到的基板進行預接合處理。例如,在至少一些實施例中,方法可包括預定/預設的臨界值(端點),臨界值可基於下游處理的需求。一個或更多個設備可用於測量/監測處理腔室(例如,脫氣處理腔室、電漿處理腔室等)中的釋氣含量,例如濕度、CO X和有機物質。在至少一些實施例中,殘餘氣體分析器(RGA)可用於在操作期間測量/監測濕度、CO X和有機物質的含量。然後可將RGA信號與預定/預設的臨界值比較,如果未達到預定的臨界值,可自動地觸發額外處理(例如,脫氣週期/持續時間)。本文所述的方法和設備能夠實現在線即時處理監測。因此,本文所述的方法和設備可以相對簡單且成本有效的方式為不同的傳入帶材料提供可靠且彈性的脫氣混合運行、改進的上游處理/階段條件、及增加的基板(晶圓)產量。
根據本揭示案的至少一些實施例,圖1是用於處理基板(例如,帶框上的切片的晶圓)的方法100的流程圖,且圖2是可用於實現方法100的工具200(或系統)。
方法100可在工具200中執行,工具200包括被配置用於以下一者或更多者的任何合適的處理腔室:物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD),例如電漿增強ALD或熱ALD(例如,無電漿形成)、脫氣程序、清潔程序、背磨程序、帶安裝程序、切片程序、紫外光程序等。可用於執行本文揭露的本發明方法的示例性處理系統可包括但不限於:可從加州聖克拉拉市的應用材料公司商購的那些處理系統。也可適當地結合本文提供的教示來使用其他處理腔室(包括來自其他製造商的那些處理腔室)。
工具200可體現在單獨的處理腔室中,可獨立配置或作為集群工具的一部分來提供該處理腔室,例如整合工具,如下面相關於圖2所述。整合工具的範例包括可從加州聖克拉拉市的應用材料公司獲得的整合工具系列。可使用其他集群工具(具有耦合到集群工具的合適處理腔室)或在其他合適處理腔室中實施本文所述的方法。例如,在一些實施例中,可在整合工具中執行本文討論的本發明方法,從而在處理步驟之間存在有限的或沒有真空中斷。
整合工具可包括真空緊密處理平台(主框)或大氣主框(AMM),真空緩衝器可連接到其(處理平台201)、工廠介面204、和系統控制器202。為了說明的目的,工具200在本文中被描述為真空緊密處理平台。處理平台201包括可操作地耦合到傳送腔室203(真空基板傳送腔室)的多個處理腔室,例如214A、214B、214C、和214D。工廠介面204藉由一個或更多個裝載閘腔室(兩個裝載閘腔室,例如圖2中所展示的206A和206B)可操作地耦合到傳送腔室203。
在一些實施例中,工廠介面204包括對接站207、工廠介面機械手238以促進一個或更多個半導體基板(晶圓)的傳送。對接站207經配置以接收一個或更多個前開口晶圓盒(FOUP,用於基板)和EFEM(用於帶框晶圓)。在圖2的實施例中展示了四個FOUP,例如載體205A、205B、205C、和205D。工廠介面機械手238經配置以經由裝載閘腔室(例如裝載閘腔室206A和206B)將基板從工廠介面204傳送到處理平台201。裝載閘腔室206A和206B之每一者具有耦合到工廠介面204的第一端口和耦合到傳送腔室203的第二端口。裝載閘腔室206A和206B耦合到將裝載閘腔室206A和206B抽空並排氣的壓力控制系統(未展示),以促進基板在傳送腔室203的真空環境和工廠介面204的實質大氣(例如大氣)環境之間通過。傳送腔室203具有設置在傳送腔室203內的真空機械手242。真空機械手242能夠在裝載閘腔室206A和206B與處理腔室214A、214B、214C、和214D之間傳送基板221。
在一些實施例中,處理腔室214A、214B、214C和214D耦合到傳送腔室203。處理腔室214A、214B、214C和214D至少包括ALD腔室、CVD腔室、PVD腔室、電子束沉積腔室及/或電鍍無電(EEP)沉積腔室。
在一些實施例中,一個或更多個可選的服務腔室(展示為服務腔室216A和216B)可耦合到傳送腔室203。服務腔室216A和216B可經配置以執行其他基板處理,例如脫氣、紫外光釋放、背磨、帶安裝混合接合、化學機械拋光(CMP)、晶圓切割、蝕刻、電漿切片、清潔(例如濕式處理)、定向、基板計量、冷卻等。
系統控制器202使用對處理腔室214A、214B、214C和214D的直接控制,或,替代地,藉由控制與處理腔室214A、214B、214C和214D及工具200相關聯的電腦(或控制器)來控制工具200的操作。在操作中,系統控制器202能夠從各個腔室和系統進行資料收集和反饋,以最佳化工具200的效能。系統控制器202一般包括中央處理單元230、記憶體234、和支援電路232。記憶體234可為具有儲存在其上的指令的非暫態電腦可讀取儲存媒體,當由處理器執行時,使得執行用於混合接合晶圓的方法,如下文更詳細描述的。中央處理單元230可為可在工業環境中使用的任何形式的通用電腦處理器。支援電路232常規地耦合到中央處理單元230且可包括快取、時鐘電路、輸入/輸出子系統、電源等。軟體例程(例如上述的處理方法)可儲存在記憶體234中,且當由中央處理單元230執行時,將中央處理單元230轉換成系統控制器202(專用電腦)。也可由位於工具200遠端的第二控制器(未展示)來儲存及/或執行軟體例程。
繼續參考圖1,最初可將一個或更多個基板、帶框晶圓等裝載進入四個載體之其中一者或更多者,例如載體205A、205B、205C、和205D。例如,在至少一些實施例中,可將帶框基板(晶圓)208裝載進入載體205A。帶框基板208可由一個或更多個合適的材料製成。例如,帶框基板(晶圓)可由聚合物材料製成(例如,在聚合物/丙烯酸材料上具有單片晶粒的帶框晶圓或塗有聚合物/介電材料的晶圓)。
替代地,可使用工具200形成帶框晶圓。例如,如圖3中所圖示,在至少一些實施例中,可將未切片的晶圓302(例如,氧化矽(Si上的SiO 2、塗有SiO 2的聚合物、砷化鎵(GaAs)等,帶有或不帶有銅(Cu)圖案)裝載進入四個載體,例如載體205A、205B、205C和205D。一旦裝載,工廠介面機械手238可將帶框基板208及/或未切片的晶圓302從工廠介面204經由例如裝載閘腔室206A來傳送到處理平台201。真空機械手242可將帶框基板208及/或未切片的晶圓302從裝載閘腔室206A傳送到處理腔室214A至214D及/或服務腔室216A和216B之其中一者或更多者。
例如,在至少一些實施例中,例如當未切片的晶圓302被裝載進入四個載體之其中一者時,真空機械手242可將帶框基板208從裝載閘腔室206A傳送到服務腔室216A和216B之其中一者,以在未切片的晶圓302上執行一個或更多個可選程序。例如,一個或更多個可選程序可包括執行背磨程序、帶安裝程序、或切片程序之其中至少一者,以將未切片的晶圓302形成為帶框晶圓(例如,包括複數個單片晶粒304、金屬框306、黏合劑層308、和有機帶層310)。在至少一些實施例中,黏合劑層308可設置在有機帶層310的頂部,在有機帶層310上設置有複數個單片晶粒304和金屬框306。替代地或額外地,還可在未切片的晶圓302上執行可選的清潔程序。
類似地,當帶框基板208被裝載進入四個載體之其中一者時,真空機械手242可將帶框基板208從裝載閘腔室206A傳送到服務腔室216A和216B之其中一者以執行帶框基板208上的一個或更多個可選程序。例如,一個或更多個可選程序可包括在帶框基板208上執行可選清潔程序。
如上所述,用於CoW混合接合的帶框基板在裝載進入電漿腔室時會釋放大量的溼度、CO X、CO 2、H 2O及/或有機物質(C XH X、增塑劑等),以用於表面活化,這可能導致各種問題,包括但不限於緩慢的抽空程序、較差的腔室基底壓力、腔室污染等。可在電漿程序之前使用脫氣程序以減緩這些問題。
據此,接下來,在步驟102處,方法100可包括在設置於第一處理腔室內的基板上執行第一真空處理程序。例如,在至少一些實施例中,真空機械手242可將帶框基板208從服務腔室216A和216B之其中一者傳送到處理腔室214A至214D之其中一者,可經配置以執行脫氣程序及/或電漿程序。
接下來,在步驟104處,方法100可包括取得第一處理腔室內的溼度測量值或有機物質測量值之其中至少一者。例如,一個或更多個設備可耦合到或設置於處理腔室214A至214D內,且經配置以取得濕度測量值或有機物質測量值。在至少一些實施例中,RGA 210可用於取得濕度測量值及/或有機物質測量值。RGA 210可經由一個或更多個耦合方法或設備(例如黏合劑、接合處理、緊固件等)可操作地耦合(附接)到處理腔室214A至214D之其中一者(例如,處理腔室214A)。RGA 210可為能夠取得濕度測量值及/或有機物質測量值的任何合適的RGA。例如,在至少一些實施例中,RGA 210可為具有合適孔口和入口配置的INFICON Transpector ®CPM 3.0 RGA。
接下來,在步驟106處,方法100包括將取得的溼度測量值或有機物質測量值之其中至少一者與預定臨界值比較。例如,可基於與複數個不同晶圓相關聯的腔室基線值或斜率上的變化之其中至少一者來決定預定臨界值。在至少一些實施例中,複數個不同的晶圓可包括在帶材料A上切片的氧化矽/矽(SiO 2/Si)晶圓、在帶材料B上切片的聚合物塗覆的Si晶圓、或在帶材料C上切片的砷化鎵(GaAs)晶圓,每一者具有預定的基線值。因此,在至少一些實施例中,當處理複數個不同基板(例如,複數個不同類型的晶圓)時,預定基線值可為複數個不同基板的所有預定基線值中的最低預定基線值。替代地或額外地,可在處理期間計算和使用複數個不同基板的所有預定基線值的平均值或中值。
接下來,在步驟108處,方法100包括以下一者:當取得的溼度測量值或有機物質測量值之其中至少一者的比較等於或小於預定臨界值時,在基板上在不同於第一處理腔室的第二處理腔室中自動地執行第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中至少一者的比較大於預定臨界值時,在基板上自動地持續執行第一真空處理程序。
例如,當濕度測量值/有機物質測量值等於或小於最低預定基線值時,結束濕度測量值/有機物質測量值的處理。類似地,相關於斜率,可基於複數個不同基板的所有預定基線值(例如,所有預定基線值的對數)來計算斜率,且當濕度測量值/有機物質測量值的計算的斜率等於或小於計算的斜率,結束濕度測量值/有機物質測量值的處理。
相反地,當濕度測量值/有機物質測量值大於最低預定基線值時,持續濕度測量值/有機物質測量值的處理(例如,週期或處理時間持續),直到濕度測量值/有機物質測量值等於或小於最低預定基線值。
接下來,真空機械手242(或用於大氣主框的大氣機械手)可將帶框基板208從處理腔室214A至214D之其中一者傳送到處理腔室214A至214D之其中另一者(例如,處理腔室214D),以執行第二真空處理程序(例如,電漿程序)或額外的真空處理程序(第n個真空處理程序),其中可重複步驟104至108。在至少一些實施例中,方法100包括可選地在第二真空處理程序或額外的真空處理程序之後在基板上執行清潔程序。
例如,在至少一些實施例中,當執行第二真空處理程序時,方法100包括在基板上執行第三真空處理程序並重複步驟104至108。在至少一些實施例中,在第三真空處理程序結束後,可執行第四真空處理程序。例如,真空機械手242可根據需要將帶框基板208傳送進出服務腔室216A和216B及/或處理腔室214A至214D。例如,在至少一些實施例中,第三真空處理程序和第四真空處理程序可分別為紫外光程序和取放(PnP)程序。
例如在至少一些實施例中,PnP程序可包括拾取一個或更多個晶粒並放置一個或更多個晶粒於先前已裝載進入工具200的基板312(例如,未切片的晶圓)上(圖3)。
類似地,可在處理平台201(例如,大氣主框和真空主框兩者)中在釋氣程序(測量)期間來執行步驟104至108。例如,在至少一些實施例中,可在任何上述真空處理程序之前或之後執行釋氣程序。
此外,在至少一些實施例中,本文所述的方法/設備可用於AMM和真空緩衝器上以偵測處理模組之間由來自晶圓的釋氣引起的交叉污染。這種釋氣可為來自例如濕式清潔模組的化學物質(例如,濕式清潔後的化學清潔和不充分乾燥)及/或來自脫氣/電漿處理的晶圓的釋氣(例如,因為晶圓可能很熱且在處理之後持續短暫釋氣)。
雖然前述內容針對本揭示案的實施例,可設計本揭示案的其他和進一步的實施例而不背離其基本範圍。
100:方法 102~108:步驟 200:工具 201:處理平台 202:系統控制器 203:傳送腔室 204:工廠介面 205A~205D:載體 206A~206B:裝載閘腔室 207:對接站 208:帶框基板(晶圓) 210:RGA 214A~214D:處理腔室 216A~216B:服務腔室 221:基板 230:CPU 232:支援電路 234:記憶體 238:工廠介面機械手 242:真空機械手 302:未切片的晶圓 304:單片晶粒 306:金屬框 308:黏合劑層 310:有機帶層 312:基板
可藉由參考在附圖中描繪的本揭示案的說明性實施例來理解上面簡要概括並在下面更詳細討論的本揭示案的實施例。然而,附圖僅圖示了本揭示案的典型實施例且因此不應被視為對範圍的限制,因為本揭示案可承認其他等效的實施例。
圖1是根據本揭示案的至少一些實施例的處理基板的方法的流程圖。
圖2是根據本揭示案的至少一些實施例的用於執行圖1的方法的系統。
圖3是根據本揭示案的至少一些實施例的圖1的方法的順序圖。
為了便於理解,儘可能地使用相同的參考數字來表示圖式共有的相同元件。圖式不是按比例繪製的,且為了清楚起見可進行簡化。一個實施例的元件和特徵可有益地併入其他實施例中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
302:未切片的晶圓
304:單片晶粒
306:金屬框
308:黏合劑層
310:有機帶層
312:基板

Claims (20)

  1. 一種用於混合接合一晶圓的方法,包括以下步驟: 在設置於一第一處理腔室內的該晶圓上執行一第一真空處理程序; 取得該第一處理腔室內的溼度測量值或有機物質測量值之其中至少一者; 將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及 進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在與該第一處理腔室不同的一第二處理腔室中自動地執行一第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。
  2. 如請求項1所述之方法,其中該預定臨界值是基於以下至少一者來決定的:與複數個不同類型的晶圓相關聯的一腔室基線值,或一斜率上的一改變。
  3. 如請求項1所述之方法,其中該等濕度測量值是針對CO X、CO 2、或H 2O之其中至少一者來取得的,及 其中該等有機物質測量值是針對C XH X或增塑劑之其中至少一者來取得的。
  4. 如請求項1所述之方法,其中取得溼度測量值或有機物質測量值之其中該至少一者的步驟是使用一殘餘氣體分析器(RGA)來執行的。
  5. 如請求項1所述之方法,其中混合接合包括晶圓上晶片的混合接合。
  6. 如請求項1所述之方法,其中該晶圓為一帶框晶圓,該帶框晶圓由經由黏合劑附接到一有機帶的單片晶粒組成。
  7. 如請求項1所述之方法,其中該第一真空處理程序為一脫氣程序,及 其中該第二真空處理程序為一電漿程序。
  8. 如請求項1所述之方法,進一步包括以下步驟:在該晶圓上執行該第一真空處理程序之前,在該晶圓上執行一背磨程序、一帶安裝程序、或一切片程序之其中至少一者。
  9. 如請求項1至8之任一項所述之方法,進一步包括以下步驟:在該晶圓上執行該背磨程序、該帶安裝程序、或該切片程序之其中該至少一者之後,在該晶圓上執行一清潔程序。
  10. 如請求項1所述之方法,進一步包括以下步驟:當執行該第二真空處理程序時,在該第二真空處理程序之後在該晶圓上執行一清潔程序。
  11. 如請求項1所述之方法,進一步包括以下步驟:當執行該第二真空處理程序時,在該晶圓上執行一第三真空處理程序;及 重複以下操作: 取得與該第一處理腔室及該第二處理腔室不同的一第三處理腔室內的溼度測量值或有機物質測量值之其中至少一者; 將取得的溼度測量值或有機物質測量值之其中該至少一者與該預定臨界值比較;及 進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較等於或小於該預定臨界值時,在與該第一處理腔室、該第二處理腔室、及該第三處理腔室不同的一第四處理腔室中自動地執行一第四真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第三真空處理程序。
  12. 如請求項1至8、10、或11之任一項所述之方法,其中該第三真空處理程序及該第四真空處理程序分別為一紫外光程序及一取放(PnP)程序。
  13. 一種非暫態電腦可讀取儲存媒體,具有儲存於其上的指令,當由一處理器執行時,使得執行用於混合接合一晶圓的一方法,該方法包括以下步驟: 在設置於一第一處理腔室內的該晶圓上執行一第一真空處理程序; 取得該第一處理腔室內的溼度測量值或有機物質測量值之其中至少一者; 將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及 進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在與該第一處理腔室不同的一第二處理腔室中自動地執行一第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。
  14. 如請求項13所述之非暫態電腦可讀取儲存媒體,其中該預定臨界值是基於以下至少一者來決定的:與複數個不同類型的晶圓相關聯的一腔室基線值,或一斜率上的一改變。
  15. 如請求項13所述之非暫態電腦可讀取儲存媒體,其中該等濕度測量值是針對CO X、CO 2、或H 2O之其中至少一者來取得的,及 其中該等有機物質測量值是針對C XH X或增塑劑之其中至少一者來取得的。
  16. 如請求項13所述之非暫態電腦可讀取儲存媒體,其中取得溼度測量值或有機物質測量值之其中該至少一者的步驟是使用一殘餘氣體分析器(RGA)來執行的。
  17. 如請求項13所述之非暫態電腦可讀取儲存媒體,其中混合接合包括晶圓上晶片的混合接合。
  18. 如請求項13所述之非暫態電腦可讀取儲存媒體,其中該晶圓為一帶框晶圓,該帶框晶圓由經由黏合劑附接到一有機帶的單片晶粒組成。
  19. 如請求項13至18之任一項所述之非暫態電腦可讀取儲存媒體,其中該第一真空處理程序為一脫氣程序,且其中該第二真空處理程序為一電漿程序。
  20. 一種用於混合接合一晶圓的整合工具,包括: 一第一處理腔室,該第一處理腔室經配置以執行一第一真空處理程序; 一第二處理腔室,該第二處理腔室與該第一處理腔室不同且經配置以執行一第二真空處理程序;及 一控制器,該控制器經配置以: 取得該第一處理腔室及該第二處理腔室內的溼度測量值或有機物質測量值之其中至少一者; 將取得的溼度測量值或有機物質測量值之其中該至少一者與一預定臨界值比較;及 進行以下一者:當取得的溼度測量值或有機物質測量值之其中該至少一者的一比較等於或小於該預定臨界值時,在該晶圓上在該第二處理腔室中自動地執行該第二真空處理程序,或,當取得的溼度測量值或有機物質測量值之其中該至少一者的該比較大於該預定臨界值時,在該晶圓上自動地持續執行該第一真空處理程序。
TW111136033A 2021-10-22 2022-09-23 用於處理基板的方法及設備 TWI835309B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/508,489 2021-10-22
US17/508,489 US11756925B2 (en) 2021-10-22 2021-10-22 Methods and apparatus for vacuum processing a substrate

Publications (2)

Publication Number Publication Date
TW202318524A true TW202318524A (zh) 2023-05-01
TWI835309B TWI835309B (zh) 2024-03-11

Family

ID=

Also Published As

Publication number Publication date
WO2023069798A1 (en) 2023-04-27
US20230129590A1 (en) 2023-04-27
US11756925B2 (en) 2023-09-12

Similar Documents

Publication Publication Date Title
US9728432B2 (en) Method of degassing
US11195734B2 (en) Dual load lock chamber
US10497557B2 (en) Integrated platform for improved wafer manufacturing quality
US10665494B2 (en) Automated apparatus to temporarily attach substrates to carriers without adhesives for processing
TWI835309B (zh) 用於處理基板的方法及設備
US11587799B2 (en) Methods and apparatus for processing a substrate
TW202318524A (zh) 用於處理基板的方法及設備
JP6857675B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
TW202338322A (zh) 用於處理基板的方法及設備
US20220258304A1 (en) Methods and apparatus for processing a substrate
TWI604536B (zh) 用以基板接合之裝置及方法
US20240038557A1 (en) Methods and apparatus for processing a substrate
US20240027295A1 (en) Method and apparatus for lamp housing crack detection
US20230416906A1 (en) Methods and apparatus for processing a substrate
US11881436B2 (en) Pre and post processing metrology apparatus
TW202414637A (zh) 用於處理基板的方法和設備
TW202412145A (zh) 燈外殼裂紋檢測方法及裝置
JP6262020B2 (ja) 基板処理装置及び半導体装置の製造方法並びにプログラム
KR20210002929A (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
KR20160065584A (ko) 기판 처리 장치 및 방법
KR20160067521A (ko) 기판 처리 장치 및 기판 처리 방법
TW201616561A (zh) 半導體生產方法