TW202316305A - 用於確定在半導體裝置虛擬製造環境中的規格界限之系統及方法 - Google Patents

用於確定在半導體裝置虛擬製造環境中的規格界限之系統及方法 Download PDF

Info

Publication number
TW202316305A
TW202316305A TW110125830A TW110125830A TW202316305A TW 202316305 A TW202316305 A TW 202316305A TW 110125830 A TW110125830 A TW 110125830A TW 110125830 A TW110125830 A TW 110125830A TW 202316305 A TW202316305 A TW 202316305A
Authority
TW
Taiwan
Prior art keywords
virtual
computing device
specification limit
data
boundaries
Prior art date
Application number
TW110125830A
Other languages
English (en)
Inventor
威廉 J 伊根
阿許曼 孔瓦爾
肯尼斯 B 格雷內爾
大衛 M 佛萊德
Original Assignee
美商科文特股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商科文特股份有限公司 filed Critical 美商科文特股份有限公司
Publication of TW202316305A publication Critical patent/TW202316305A/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種虛擬製造環境,用於半導體裝置製造,包括一分析模組,針對非常態分佈的虛擬計量資料而使用一擬合演算法來確定規格界限。

Description

用於確定在半導體裝置虛擬製造環境中的規格界限之系統及方法
相關申請案的交互參照:本申請案主張西元2020年7月17日申請的美國臨時專利申請案第63/053,052號的優先權;在此藉由引用將該申請案內容全部納入。
本案係關於非常態分佈虛擬計量資料的擬合。
積體電路(IC)實現了現代電子裝置的無數功能。為了使IC 的開發更有效率,半導體製造商將定期開發用於生產其積體電路的通用製造製程或「技術」(為了便於解釋,此處使用術語̀「技術」來指涉正在開發的半導體裝置結構的製造製程)。
整合裝置製造商(IDM)和獨立代工廠的半導體開發組織花費大量資源開發用於從晶圓製造他們銷售的晶片(IC)的整合序列的製程操作(「晶圓」是半導體材料的薄片,經常但不總是由矽晶體構成)。大部分資源係花費在製造實驗性晶圓和相關的測量、計量(「計量」是指在半導體產業中進行的特化類型的測量)及表徵結構,所有這些都是為了確保整合製程產生所欲的半導體裝置結構。這些實驗晶圓用於試錯方案,以開發用於製造裝置結構的個別製程以及開發完整的整合製程流程。由於先進技術節點製程流程的複雜性不斷增加,大部分實驗製造行程會導致負面或無效的表徵結果。這些實驗行程的持續時間長,在「製造廠(fab)」(製造環境)中持續數周到數月,而且成本高昂。半導體技術的進步,包括鰭式場效電晶體(FinFET)、三面閘極(TriGate)、高介電系數/金屬閘極(High-K/Metal-Gate)、嵌入式記憶體及先進圖案化,已顯著增加了整合半導體製造製程的複雜性。使用這種試錯實驗計量法的技術開發的成本和持續時間同時增加。
已經嘗試使用習知的機械電腦輔助設計(CAD)工具及特化技術CAD(TCAD)工具對半導體裝置結構進行建模,目的是減少花費在製造實驗晶圓的工作量。通用機械CAD工具已被發現不適用,因為它們不能自動模擬實際製造廠中發生的材料添加、移除、及修改製程。另一方面,TCAD工具是物理為基的建模平台,其模擬在擴散和植入製程期間發生的材料成分變化,但並非模擬所有在包含一整合製程流程的其他製程期間發生的材料添加和去除效應。通常,3D裝置結構係對TCAD的輸入,而不是輸出。此外,由於基於物理的製程模擬需要的資料量和計算量,TCAD模擬實際上僅限於一晶片之上的非常小的區域,通常只包含單一電晶體。在最先進的半導體製造技術中,大多數整合挑戰涉及在整合製程流程中可能相隔甚遠的製程與包含完整技術套件的多個不同裝置及電路(電晶體、電阻器、電容器、記憶體等)之間的交互作用。源於系統和隨機效應二者的結構失效通常是新製程技術節點上市時間的限制因素。因此,需要一個不同於機械CAD或TCAD的建模平台和方式來涵蓋更大的關注範圍,並以結構預測的方式對整個整合製程進行建模。
本發明的實施例提供用於半導體裝置製造的虛擬製造環境,其包括允許非常態分佈虛擬計量資料之擬合的分析模組。更特別是,該分析模組允許一用戶存取一虛擬製造環境以使用一演算法來估計非常態分佈的虛擬計量資料的極值和標準差(σ)等效閾值。利用此資訊,工程師或虛擬製造環境的其他用戶可較佳理解虛擬計量資料的分佈並設定正確的規格界限。
在一個實施例中,一種計算裝置實現的方法包含:執行針對一半導體裝置結構的一實驗設計(DOE)模擬。該模擬包含多數個虛擬製造行程,其構建該半導體裝置結構的多數個3D模型。該方法更包含:接收對一個以上虛擬計量目標參數的一選擇;及確定針對所選擇的虛擬計量目標參數的虛擬計量資料是否為常態分佈的。該方法額外包含:基於針對所選擇的虛擬計量目標參數其中一者以上的虛擬計量資料,計算一經驗分佈;及針對所選擇的虛擬計量目標參數其中一者以上,確定一上規格界限及一下規格界限。該方法更包含輸出該上規格界限及下規格界限。
在另一實施例中,一種系統包含至少一計算裝置及一顯示裝置。該至少一計算裝置係建構以生成一虛擬製造環境。該虛擬製造環境係建構以執行針對一半導體裝置結構的一實驗設計(DOE)模擬。該模擬包含多數個虛擬製造行程,其構建該半導體裝置結構的多數個3D模型。該虛擬製造環境更建構以接收對一個以上虛擬計量目標參數的一選擇,以及確定針對所選擇的虛擬計量目標參數的虛擬計量資料是否為常態分佈的。此外,該虛擬製造環境係建構以:基於針對所選擇的虛擬計量目標參數其中一者以上的虛擬計量資料,計算一經驗分佈;及針對所選擇的虛擬計量目標參數其中一者以上,確定一上規格界限及一下規格界限。該虛擬製造環境亦建構以輸出該上規格界限及下規格界限。顯示表面係與該至少一計算裝置通信,且建構以顯示在該虛擬製造環境中所生成的資料。
本發明的實施例提供了一種用於半導體裝置製造的虛擬製造環境,該環境包括允許使用針對虛擬計量資料的擬合演算法來確定規格界限的一分析模組。然而,在討論規格界限的確定及由實施例提供的其他特徵之前,首先描述可以將本發明的分析模組整合到其中的示例性3D設計環境/虛擬製造環境。 例示虛擬製造環境
半導體裝置結構的虛擬製造環境提供了一個平台,用於以比習知的試錯物理實驗所可能之更低的成本和更高的速度進行半導體製程開發。與習知的CAD 和TCAD環境相比,虛擬製造環境能夠對整合製程流程進行虛擬建模,並預測包含完整技術套件的所有裝置和電路的完整3D結構。虛擬製造可以以其最簡單的形式描述為將一整合製程序列的描述與標的設計(以2D設計資料的形式(遮罩或佈局))相結合,並生成預測自實際/物理製造行程預期的結果之3D結構模型。3D 結構模型包括構成一晶片或一晶片一部分的多層材料、植入物、擴散等的幾何準確3D形狀。虛擬製造主要以幾何方式完成,但所涉及的幾何結構由製造製程的物理特性指導。藉由於結構級別的抽象化(而不是基於物理的模擬)執行建模,可以顯著加速結構模型的構建,從而允許以電路級別區域規模的全技術建模。因此,虛擬製造環境的使用提供了製程假設的快速驗證,以及整合製程序列與2D設計資料之間複雜相互關係的可視化。
圖1描繪了適用於實踐本發明實施例的示例性虛擬製造環境1。虛擬製造環境1包括由用戶2存取的計算裝置10。計算裝置10與顯示器120通信。顯示器120可以是作為計算裝置10的一部分的顯示螢幕或者可以是獨立的顯示裝置或是與計算裝置10通信的顯示表面。計算裝置10可以是PC、膝上型電腦、平板計算裝置、伺服器、或一些其他類型的計算裝置,配備有一個或多個處理器11並且能夠支持操作虛擬製造應用程式70—3D建模引擎75、和分析模組79(下面進一步描述)。處理器可具有一個或多個核。計算裝置10還可以包括揮發性和非揮發性儲存器,例如但不限於隨機存取記憶體(RAM)12、唯讀記憶體(ROM)13、及硬碟機14。在一些情況下,計算裝置10可以附加地或替代地配置為存取遠程存儲的資料,例如在資料中心的分散式系統中。計算裝置10還可以配備有網路介面15,以能夠與其他計算裝置進行通信。應當理解,計算裝置10也可以實現為具有平行或其他組合運作的多個計算裝置的計算系統而不是單獨的計算裝置,且/或可以包括一個或多個虛擬裝置,例如由一雲端服務所主管的一個或多個虛擬機器。
計算裝置10可以存儲和執行包括3D建模引擎75的虛擬製造應用程式70。3D建模引擎75可以包括一種或多種演算法,例如演算法1(76)、演算法2(77)、及演算法3(78),用於虛擬地製造半導體裝置結構。3D建模引擎75可以接受輸入資料20以執行產生半導體裝置結構模型資料90的虛擬製造「行程(run)」。虛擬製造應用程式70和3D建模引擎75可以生成數個用戶界面和視圖,用於創建和顯示虛擬製造行程的結果。例如,虛擬製造應用程式70和3D建模引擎75可以顯示用於創建虛擬製造行程的佈局編輯器121、製程編輯器122、及虛擬製造控制台123。虛擬製造應用程式70和3D建模引擎75還可以顯示表格和圖形計量結果視圖124及3D視圖125,用於分別顯示在半導體裝置結構的虛擬製造期間虛擬製造行程的結果及由3D建模引擎75生成的3D結構模型。虛擬製造應用程式70還可包括分析模組79及NGF演算法,用於執行3D模型分析以確定規格界限,如以下進一步討論的。
輸入資料20包括2D設計資料30和製程序列40二者。製程序列40可以由多個製程步驟43、44、47、48、及49構成。如此處進一步描述的,製程序列40還可以包括一個或多個虛擬計量測量製程步驟45。製程序列40還可以包括一個或多個子序列,這些子序列包括製程步驟或虛擬計量測量製程步驟其中一者以上。 2D設計資料30包括一個或多個層,例如層1(32)、層2(34)、及層3(36),通常以工業標準佈局格式提供,例如GDS II(圖形設計系統版本2)或 OASIS(開放式圖稿系統交換標準)。
輸入資料20還可以包括材料資料庫60,該材料資料庫60包括材料類型的記錄(例如材料類型1(62)和材料類型2(64))、以及每種材料類型的特定材料。製程序列中的許多製程步驟可能涉及材料資料庫中的一種或多種材料。各個材料具有一個名稱和一些屬性,例如渲染顏色。材料資料庫可以存儲在獨立的資料結構中。材料資料庫可以具有階層,其中材料可以按類型和子類型加以群組。製程序列中的個別步驟可能涉及一個別材料或一母材類型(parent material type)。材料資料庫中的階層使參照材料資料庫的一製程序列能夠更容易地修改。例如,在半導體裝置結構的虛擬製造中,可以在製程序列的過程期間將多種類型的氧化物材料添加到結構模型。添加特定氧化物之後,後續步驟可能改變該材料。如果材料資料庫中沒有階層,並且在現有製程序列中插入了添加新型氧化物材料的步驟,則所有可能影響氧化物材料的後續步驟也必須修改以包括新型氧化物材料。使用支援階層的材料資料庫,對特定類別的材料(例如氧化物)進行操作的步驟可能僅關於母類型(parent type)而不是相同類型的材料清單。然後,如果在製程序列中插入添加新型氧化物材料的步驟,則無需修改僅涉及氧化物母類型的後續步驟。因此,階層式材料使製程序列對修改更具彈性。階層式材料的進一步好處是可以創建和重複使用僅參考母材類型的備用製程步驟及序列。
3D建模引擎75使用輸入資料20來執行由製程序列40指定的操作/步驟的序列。如以下進一步解釋的,製程序列40可以包括一個或多個虛擬計量步驟45、49,其指示應該對結構組件進行測量之虛擬製造行程期間製程序列中的一個點。可以使用先前添加到2D設計資料30中的一層的一定位器形狀來進行測量。或者,測量位置可以藉由替代手段指定,例如2D設計資料中的(x, y)坐標或在2D設計資料30中指定一位置的某些其他手段而不是通過定位器形狀的使用。在虛擬製造行程期間製程序列40的執行,生成虛擬計量資料80和3D結構模型資料90。3D結構模型資料90可用於生成半導體裝置結構的結構模型的3D視圖,其可在3D觀察器125中加以顯示。虛擬計量資料80可加以處理並在表格和圖形計量結果視圖124中呈現給用戶2。
由於對於例如半導體裝置的整合技術的成功至關重要的大數量的結構尺寸,因此找到用於製造一裝置結構的許多相互關聯的製程步驟與所創建的結構之間的關係至關重要。由於製程序列中的一個步驟產生的結構修改可能會受到序列中先前和後續步驟所影響,因此一特定步驟可能會以不明顯的方式影響結構尺寸。虛擬製造環境允許從正在創建的裝置自動提取結構測量值。測量的自動提取是藉由在製程序列中指定在製程中當測量是關鍵時的一點的虛擬計量測量步驟而加以完成。該虛擬計量測量的定位器形狀可以添加到設計資料中的一層並由虛擬計量測量步驟加以指定。來自虛擬計量測量的輸出資料可用於提供與其他建模結果或物理計量測量的定量比較。這種虛擬計量測量能力是藉由在處理序列期間在整合製程流之中的正確點處提取一臨界物理尺寸而加以提供。
提供在裝置結構中的指定位置處的虛擬計量測量資料的能力,提供相對習知實體製造廠測量技術的顯著改進。通常,實體製造中測量是在鄰近產品晶元的切割道或鋸縫之中製造的特定表徵結構上進行的。在大多數情況下,這些表徵結構需要加以設計以適應於測量技術的限制,例如光斑尺寸。因此,此表徵結構並不完全代表產品晶元上的實際結構。由於這些差異,製造中測量的用戶通常面臨從表徵結構的測量推斷產品結構上之結果的挑戰。在虛擬製造環境中,測量值可以添加到製程序列中指定點處的任何設計佈局,從而針對進行構建的虛擬結構模型上的相互關聯的製程步驟的效應提供更深入的理解。因此,消除了測量一表徵結構並推斷在一產品結構上的結果的製造中的挑戰。
圖2描繪在虛擬製造環境中設置虛擬製造行程的示例性虛擬製造控制台123。虛擬製造控制台123允許用戶針對虛擬製造的半導體裝置結構指定製程序列202及佈局(2D設計資料)204。然而,應當理解,虛擬製造控制台也可以是基於文本的腳本處理控制台,其為用戶提供輸入腳本處理命令的手段,該腳本處理命令指定所需的輸入並啟動結構模型的構建,或構建對應於在製程序列中特定步驟的一範圍之參數數值之結構模型的一集合。後一種情況係認為是虛擬實驗(下面進一步討論)。
圖3描繪在虛擬製造環境中的示例佈局編輯器。佈局編輯器121在虛擬製造控制台123中顯示由用戶指定的2D設計佈局。在佈局編輯器中,可以使用顏色來描繪設計資料中的不同層。在各層上由形狀或多邊形圍繞的區域表示在整合製程流程中的光微影步驟期間在一晶圓上的光阻塗層可能受暴露於光或受保護免於光的區域。一層或多層上的形狀可加以組合(布林運算)以形成用於光微影步驟的一遮罩。佈局編輯器121提供手段以在任何層上插入、刪除、及修改多邊形,以及在2D設計資料內將層插入、刪除或修改。一層可加以插入用於容納指示虛擬計量測量的位置的形狀或多邊形之單一目的。矩形形狀302、304、306已加以添加到一插入的層(由不同顏色指示)並標記虛擬計量測量的位置。如上所述,除了使用定位器形狀之外,還可以在虛擬製造環境中採用針對虛擬計量測量指定位置的其他方式。設計資料係與製程資料和材料資料庫結合使用以構建3D結構模型。
在佈局編輯器121中顯示的設計資料中的插入層可以包括插入的定位器形狀。 例如,一定位器形狀可以是矩形,其較長的邊指示3D結構模型中的測量方向。例如,在圖3中,第一定位器形狀302可以標記用於虛擬計量測量的雙重圖案化心軸,第二定位器形狀304可以標記用於虛擬計量測量的閘極堆疊,並且第三定位器形狀306可以標記虛擬計量測量的電晶體源極或汲極接點。
圖4描繪了虛擬製造環境中的示例性製程編輯器122。用戶在製程編輯器中定義製程序列。製程序列是為虛擬製造用戶選擇的結構而進行的製程步驟的有序清單。製程編輯器可以是文本編輯器,使得各個線或線群組對應一個製程步驟,或者是一特化的圖形用戶界面,如圖4所示。製程序列可以是階層式的,這意味著製程步驟可加以群組為數個子序列和子序列的子序列等。通常,製程序列中的各個步驟對應於製造廠中的實際步驟。例如,反應離子蝕刻操作的一子序列可能包括旋塗光阻、圖案化光阻、及執行蝕刻操作的步驟。用戶針對各個步驟或子步驟指定適合操作類型的參數。參數其中一些是對材料資料庫中的材料和2D設計資料中的層的引用。例如,沉積操作基元的參數是所沉積的材料、沉積物的標稱厚度、以及側向與垂直方向上的非等向性或生長比率。此沉積操作基元可用於對實際製程建模,例如化學氣相沉積(CVD)。類似地,蝕刻操作基元的參數是遮罩名稱(來自設計資料)、受操作影響的材料清單、及非等向性。
在製程序列中可能有數百個步驟,且製程序列可能包括數個子序列。例如,如圖4所示,製程序列410可以包括由諸如選定步驟413的多個製程步驟構成的子序列412。製程步驟可以選自可用製程步驟402的元件庫。對於選定步驟413,製程編輯器122允許用戶指定所有需要的參數420。例如,用戶可能能夠從材料資料庫404中的材料清單選擇一材料並指定製程參數406用於製程步驟 413中的材料使用。
製程序列中的一個或多個步驟可以是由用戶插入的虛擬計量步驟。 例如,在製程序列412中插入步驟4.17「測量CD」(414)(其中CD表示臨界尺寸)將導致使用之前已插入到2D設計資料中的一個或多個層上的一個或多個定位器形狀在虛擬製造行程中的那個點進行的虛擬計量測量。直接在製造序列中插入虛擬計量步驟,允許在製造製程期間在關鍵點進行虛擬計量測量。由於虛擬製造中的許多步驟在最終結構的創建中相互作用,因此在整合製程流程的不同點確定結構的幾何特性(例如橫截面尺寸和表面積)的能力對製程開發者和結構設計者非常重要。
圖5描繪了在虛擬製造環境中生成虛擬計量測量資料的示例性步驟序列。該序列開始於一用戶選擇要製造的半導體裝置結構(步驟502)。用戶可以從多組可用的設計資料檔案中進行選擇,然後在設計資料之內選擇一個矩形區域。例如,用戶可以選擇FinFET或被動電阻器或記憶體胞元。在確定/選擇要製造的結構之後,用戶在製程編輯器122中輸入一製程序列(步驟504a)並選擇預期產生所欲結構的2D設計資料(步驟504b)。選用性地,用戶可以在佈局編輯器121中創建或修改設計資料。在製程編輯器中,用戶可以在製造序列中插入一個或多個虛擬計量步驟,其在虛擬製造期間指定一點,於該點用戶會想要在發展的結構中的指定位置進行虛擬計量測量(步驟506a)。用戶可以在佈局編輯器121中顯示的2D設計資料中插入定位器形狀,其可以由虛擬計量步驟用來執行其測量(步驟506b)。定位器形狀的重要性取決於所要求的測量類型。舉例來說,矩形的長軸可以表示在結構的橫剖面上進行長度測量的範圍和方向,或者矩形本身可以指定要測量的二種材料之間接觸面積的區域。應當理解,製程編輯器中的上述二者皆可以在虛擬製造環境中在佈局編輯器中的步驟之前執行,或者反之亦然。
在將一個或多個定位器形狀添加到2D設計資料中的一個或多個層(步驟506b)並且將虛擬計量步驟添加到製程序列(506a)之後,用戶使用虛擬製造控制台123設置虛擬製造行程(步驟508)。在虛擬製造行程期間,按照3D建模引擎75指定的順序執行在製程序列40中的製程步驟。當虛擬製造到達虛擬計量步驟時,對正在製造的結構中的指定組件進行虛擬「測量」。建模引擎進行的計算取決於所請求測量的性質,並且通常與製造廠中的類似物理測量技術一致。例如,製造廠中的臨界尺寸掃描電子顯微鏡(CD-SEM)測量藉由檢測一結構的頂表面之定向的快速變化來定位側壁。類似地在虛擬計量操作中,3D建模引擎在一定位器矩形指定的區域之中提取該結構的頂表面,針對超過一閾值的斜率變化(例如5度)而沿著該頂表面與由該矩形的長軸與垂直軸的交點定義的一平面之交點訊問該表面。斜率的大變化定義一特徵部的面,例如在該結構之中一脊部的底面、頂面、及側面。已確定一特徵部的底面、頂面、及側面的位置之後,該特徵部的側面之間的距離係在由計量步驟指定的一垂直位置(底部、中間、或頂部)處加以計算。3D建模引擎在構建結構模型時生成一種或多種類型的輸出。一種類型的輸出是結構模型本身,並且可能包括其在製程序列中一個或多個點的狀態。可以在3D觀察器125中向用戶顯示3D模型(步驟512a)。3D建模引擎亦匯出虛擬計量資料(步驟510)。虛擬計量資料80可加以匯出到一自動資料分析工具以供進一步處理,或者可以透過諸如表格和圖形計量結果視圖124或其他視圖的一用戶介面加以顯示給一用戶(步驟512b)。如果受到觀察或分析的結構令人滿意(步驟513),則虛擬製造行程結束(步驟514)。如果由3D建模引擎創建的結構不令人滿意,則用戶修改製程序列和/或2D設計資料(步驟516)並且新的虛擬製造行程係加以建立(步驟508)。
圖6描繪了在虛擬製造環境中的示例性3D觀察器125。3D觀察器125可以包括用於顯示由3D建模引擎75生成的3D模型的一3D視圖畫布602。3D觀察器125可以在製程序列中顯示儲存的狀態604並且允許選擇一特定狀態606並且顯現在3D視圖畫布之中。3D觀察器提供諸如放大/縮小、旋轉、平移、橫剖面等功能。選用性地,用戶可以在3D視圖畫布602之中啟動一橫剖面視圖並使用一微型化頂視圖608操縱橫剖面的位置。
來自3D建模引擎75的另一種類型的輸出是由包括在製程序列中的虛擬計量步驟所產生的資料。圖7描繪在虛擬製造環境中由多個虛擬計量測量步驟生成的虛擬計量測量資料80的示例性顯示。虛擬計量測量資料80可以以包括2D X-Y圖和多維圖形的表格或圖形形式顯示。
在示例性虛擬製造環境中採用的技術是基於幾何的。因此,建議使用來自實體製造的實際實驗結果校準製程步驟輸入參數,以使虛擬實驗更具預測性。製程步驟的這種校準提高了包含完整技術套件的所有結構的建模準確度。校準可以從測量、計量、或對表徵結構或產品結構進行其他物理表徵方法而對個別製程步驟執行。進行校準,可以藉由將包括虛擬計量測量資料的建模結果與在實體製造廠中進行的相應測量或計量(在相應的表徵或產品結構上)進行比較,且接著調整建模參數,使得產生的虛擬製造的結構更好地匹配實體製造的結構。在適當校準建模製程參數的情況下,虛擬製造環境在整個允許的設計空間中對實體製造產生的結構變得更加具有預測性。
圖8描繪了在虛擬製造環境中校準一製程序列的示例性步驟序列。該序列包括在虛擬製造環境和相應的實體製造廠環境二者中採取的步驟。在虛擬製造環境中,用戶選擇要校準的一製程序列(用於要虛擬製造的結構)並識別相關製程參數(步驟802a)。在實體製造廠中,用戶識別一組表徵或產品結構用於在製造行程期間的測量(步驟802b)。回到虛擬製造環境,用戶在製程編輯器中輸入製程序列(步驟804a),並且定義表徵結構的2D設計資料(佈局)係從可用的2D設計資料加以選擇或為在佈局編輯器121中之目的而加以創建(步驟804b)。相同的設計資料係用於虛擬製造和實際表徵。如上所述,用戶在製程序列中插入一個或多個虛擬計量步驟(步驟806a)並將測量定位器形狀添加到2D設計資料(步驟806b)。用戶在虛擬製造控制台中設置一虛擬製造行程(步驟808),並且3D建模引擎構建3D模型,並生成和匯出虛擬計量資料(步驟812a)。與虛擬製造行程平行或偏移,實體製造環境建立表徵或產品結構(步驟810)並且在這些結構上取得製造中圖像和測量(步驟812b)。用戶可接著將3D觀察器125中生成的虛擬模型的3D視圖與實體裝置結構的製造中圖像進行比較(步驟814a)。此外,該組表徵結構測量可以與作為將虛擬計量步驟插入到製程序列中的結果而取得的虛擬計量測量進行比較(步驟814b)。在大多數情況下,這種比較是由用戶進行的,但替代地也可以由基於預定義或互動式請求準則的自動化資料分析工具進行比較。如果視圖和圖像以及虛擬和實際測量值之間存在令人滿意的一致性(步驟 815),則認為製程序列已校準(步驟 816)。然而,如果沒有滿意的一致性(步驟815),則用戶在製程編輯器中修改製程參數的數值(步驟818)並且在虛擬製造控制台中設置新的虛擬製造行程(步驟808)。接著對該序列進行迭代,直到達成令人滿意的一致性並實現校準。
應當理解,可能有可以在序列內校準的許多不同的參數。儘管上面的描述指出了在製程序列中插入虛擬計量步驟的使用以及一個以上2D定位器形狀的相關使用以進行虛擬計量測量,但是在虛擬製造環境中可以採用其他技術。例如,虛擬測量可以在製造完成之後對虛擬裝置結構進行,且接著與實體製造行程期間/之後對表徵結構進行的物理測量進行比較。
雖然構建單一結構模型可能是有價值的,但構建大量模型的虛擬製造有增加的價值。虛擬製造環境可以使用戶能夠創建和運行一虛擬實驗。在虛擬實驗中,可以探索一範圍之製程參數數值。虛擬實驗可以藉由指定一組要應用於整個製程序列中的個別製程的一組參數數值(而不是每個參數的單一數值)來建立。可以通過這種方式指定單一製程序列或多個製程序列。接著,以虛擬實驗模式執行的3D建模引擎75構建跨越製程參數集的多個模型,同時利用上述虛擬計量測量操作來提取各個變化的計量測量資料。此功能可用於模擬通常在實體製造廠環境中執行的兩種基本類型的實驗。首先,製造製程以隨機(非確定性)方式自然變化。如這裡所解釋的,用於每個虛擬製造行程的基本確定性方式仍然可以藉由進行多次行程來預測非確定性結果。虛擬實驗模式允許虛擬製造環境而在每個製程參數的整個統計變化範圍以及許多/所有製程參數的變化組合進行建模。其次,在實體製造廠中的實驗行程可能會指定一組參數,其當製造不同的晶圓時故意地改變。虛擬實驗模式藉由對一參數集的特定變化上執行多個虛擬製造行程而也允許虛擬製造環境來模擬這種類型的實驗。
在製造序列中的各個製程具有其固有的變化。了解一複雜流程中所有累積製程變化的效應是相當困難的,尤其是在考慮變化組合的統計機率時。一旦創建了虛擬實驗,製程序列基本上由製程描述中包含的數值製程參數的組合加以描述。這些參數各者可以藉由其總變異性(根據標準偏差或σ值)來表徵,且因此可以藉由高斯分佈或其他適當機率分佈上的多個點來表徵。如果虛擬實驗係加以設計和執行來檢查製程變化的所有組合(各個高斯分佈上的多個點,例如 ±3σ、±2σ、±1σ,以及各個參數的標稱值),則來自序列中的虛擬計量步驟的所得圖形和數值輸出涵蓋技術的總變異空間。儘管本實驗研究中的各個案例係由虛擬製造系統加以確定性地建模,但虛擬計量結果的集合包含統計分佈。簡單的統計分析,例如統計不相關參數之和的平方根(RSS)計算,可用於將總變異度量歸因於每個實驗案例。接著,所有的虛擬計量輸出,數值和圖形二者,都可以相對於總變異度量進行分析。
在實體製造廠的典型試錯實驗實作中,由標稱製程產生的結構測量係作為目標,且考量製程變異性係藉由指定必須在後續製程中預期的在結構測量中的總變異性的一個過大的(保守)裕度(總結構裕度)。相比之下,虛擬製造環境中的虛擬實驗可以為整合製程流程中任何點的結構測量提供總變異包絡的定量預測。結構測量的總變異包絡(而不是標稱值)接著可能成為開發目標。這種方式可以在整個整合製程流程中確保可接受的總結構裕度,而不會犧牲關鍵的結構設計目標。這種以總變異性為目標的方式可能導致與藉由以標稱製程為目標已產生的標稱結構相比更不理想(或不太美觀)的標稱中間或最終結構。然而,這種次佳的標稱製程係非關鍵的,因為總製程變異性的包絡已加以考慮在內,並且在確定整合製程流程的穩健性和良率方面更為重要。這種方式是半導體技術發展的典範轉變,從強調標稱製程到強調總製程變異性的包絡。
圖9描繪了在虛擬製造環境中的示例性步驟序列,用以設置和執行一虛擬實驗,其針對多個半導體裝置結構模型生成虛擬計量測量資料。該序列開始於用戶選擇一製程序列(其可能已經預先校準以使結果在結構上更具預測性(步驟902a)以及識別/創建2D設計資料(步驟 902b))。用戶可以選擇製程參數變異性來分析(步驟904a)及/或設計參數變異性來分析(步驟904b)。用戶在上述製程序列中插入一個或多個虛擬計量步驟(步驟906a),並將測量定位器形狀添加到2D設計資料(步驟906b)。用戶可以藉助特化的用戶介面、自動參數瀏覽器126來設置虛擬實驗(步驟908)。示例性自動參數瀏覽器係在圖10中加以描繪,並且可以顯示並允許用戶改變 待改變的製程參數1002、1004、1006以及以它們相應的不同參數數值1008來建立的3D模型清單。虛擬實驗的參數範圍可以表格格式加以特化。3D建模引擎75構建3D模型並匯出虛擬計量測量資料以供審究(步驟910)。虛擬實驗模式提供所有虛擬測量/計量操作交付的輸出資料。來自虛擬計量測量的輸出資料可加以解析並組合成有用的形式(步驟912)。
藉由這種解析和組合,可以進行後續的定量和統計分析。一獨立的輸出資料收集器模組110可用於從包括虛擬實驗的虛擬製造行程的序列收集3D模型資料和虛擬計量測量結果,並以圖形和表格格式呈現它們。圖11描繪了由虛擬製造環境中的虛擬實驗生成的虛擬計量資料的示例性表格格式顯示。在表格格式的顯示中,可以顯示在虛擬實驗1102期間收集的虛擬計量資料以及虛擬製造行程的清單1104。
圖12描繪了由虛擬製造環境中的虛擬實驗生成的虛擬計量資料的示例性2D X-Y圖形繪圖顯示。在圖10所示的示例中,顯示由於在製程序列的前面步驟中改變3個參數導致的淺溝槽隔離(STI)台階高度的總變化。每個菱形1202代表一虛擬製造行程。變化包絡1204也顯示為所描繪的結論1206,即下游製造模組必須支持STI台階高度的大約10.5 nm的總變化以實現在6σ傳入變異性範圍的穩健性。虛擬實驗結果也可以多維圖形格式顯示。
一旦已組合虛擬實驗的結果,用戶就可以核閱在3D觀察器中生成的3D模型(步驟914a)以及核閱針對各個虛擬製造行程呈現的虛擬計量測量資料和度量(步驟914b)。根據虛擬實驗的目的,用戶可以分析3D建模引擎的輸出,以開發實現所期望標稱結構模型的製程序列,俾以進一步校準製程步驟輸入參數,俾以最佳化製程序列而達成所期望的製程窗口或確定所選虛擬計量參數目標的規格界線。
針對一範圍之參數數值構建多個結構模型的3D建模引擎75的任務(包括虛擬實驗)是非常計算密集的,且因此如果在單一計算裝置上執行可能需要很長時間(許多天或數週)。為了提供虛擬製造的預期價值,虛擬實驗的模型構建必須比物理實驗快許多倍。使用當今的電腦實現這一目標需要利用所有平行化機會。3D建模引擎75使用多個核和/或處理器來執行個別的建模步驟。此外,一組中不同參數數值的結構模型是完全獨立的,且因此可以使用多個核、多個處理器、或多個系統加以平行構建。
虛擬製造環境中的3D建模引擎75可以以體素的形式表示下層結構模型。體素本質上是3D像素。每個體素都是相同大小的立方體,且可以包含一種或多種材料或不包含任何材料。熟習此技藝者將認識到,3D建模引擎75還可以以其他格式表示結構模型。例如,3D 建模引擎可以使用習知的基於NURBS的立體建模內核,例如在3D機械 CAD工具中使用的,儘管基於數位體素表示的建模操作遠比習知類比立體建模中的相應操作穩健得多。這種立體建模內核通常依賴大量的啟發式法則來處理各種幾何情況,且當啟發式法則不能正確預測一情況時,建模操作可能會失敗。導致基於NURBS的立體建模內核出現問題的半導體結構建模態樣包括由沉積製程產生的非常薄的層以及導致合併面和/或幾何形狀碎裂化之蝕刻前沿的傳播。
虛擬製造環境可以允許包括在製程序列中的多重蝕刻製程的執行,其允許3D建模引擎75對寬範圍的製程和材料特定的蝕刻行為進行建模。針對高度微縮的半導體裝置的製程流程中的圖案化操作經常使用電漿蝕刻來執行。電漿蝕刻已知有許多不同的名稱:乾式蝕刻、反應離子蝕刻(RIE)、電感耦合電漿(ICP)蝕刻等。各種操作條件和化學法使製程工程師能夠微調電漿蝕刻行為,以選擇性地實現多種不同類別材料中的不同蝕刻物理特性。這種行為靈活性對於當通過許多層材料進行圖案化時實現所需3D 結構係關鍵的。通常涉及幾種不同類型的物理法,包括但不限於:化學蝕刻、濺射、聚合材料的沉積或再沉積、靜電充電、靜電聚焦、及陰影法。這種不同範圍的物理法產生了相應範圍的蝕刻行為及對應的結構形狀。
以足夠的準確度直接模擬電漿蝕刻所涉及的物理法係極其困難且緩慢。多重蝕刻製程步驟藉由使用一組精簡的特定於蝕刻類型和受蝕刻材料的行為參數來模擬電漿蝕刻,從而避免了基於物理的模擬的困難。這允許擷取廣泛的物理蝕刻行為,而無需直接模擬蝕刻製程的物理特性。例如,可以模擬三種主要類型的蝕刻行為:等向性、推拔(taper)、及濺射。第四類型的蝕刻行為,陰影法(shadowing),也可以選用性地加以模擬。
基本(等向性)行為是由化學蝕刻加以(物理上)引起,且導致材料從可蝕刻表面上的點在所有方向上以相似的速率去除,無論可蝕刻表面的局部定向為何。基本行為可以用單一輸入參數「橫向比率」加以建模,該參數控制在橫向和垂直蝕刻速率之間的比率。例如,呈一(1.0)的橫向比值表示蝕刻速率在所有方向上都是一致的。小於一的橫向比值表示橫向(在垂直表面上)的蝕刻速率比在垂直方向(在水平表面上)的蝕刻速率慢。
推拔行為係由定向蝕刻行為和聚合物沉積的組合加以(物理上)引起。聚合物沉積是定向蝕刻製程的副作用。在蝕刻水平表面比垂直表面快得多的定向蝕刻製程期間,聚合物可能會積聚在接近垂直的表面上。在蝕刻與沈積之間的這種競爭導致推拔狀的側壁輪廓。推拔行為可以用單一輸入參數(推拔角度)加以建模。推拔角度描述沉積與蝕刻速率係平衡的臨界角度。選用性的第二參數,即橫向比率,具有與上面針對基本行為定義的相同的含義。
濺射行為是指藉由利用高能離子轟擊的材料的直接物理去除,並導致突出邊緣(凸邊緣)以及在某些情況下的角隅之偏好性去除。濺射可以用兩個參數加以建模:最大濺射良率的角度、以及相對於垂直蝕刻速率的濺射速率。
陰影法是指由局部高度變化引起的定向離子通量的減少,有效地降低了某些結構的蝕刻速率。在某些情況下,這種效應可能是顯著的,導致整個胞元的不同蝕刻速率。陰影法可以使用單一參數來建模以描述高能離子相對於垂直軸的入射角度。
為了對多材料、多物理法蝕刻進行建模,上述輸入參數必須形成進入虛擬製造環境中合適的數值建模演算法。數值建模演算法包括單一材料和多材料速度函數以及表面演變技術。單一材料速度函數將蝕刻速度定義為局部表面定向(即表面法線方向)的函數,且係根據經驗加以決定以產生所欲的蝕刻行為。另要注意,單一材料速度函數可能會結合多種類型的蝕刻行為;例如,推拔蝕刻和濺射蝕刻都包括與基本(等向性)蝕刻相關聯的參數。多材料速度函數是多個單一材料速度函數的組合,且將局部蝕刻速度計算為局部表面定向與局部材料類型二者的函數。蝕刻比率參數定義了可蝕刻材料的相對蝕刻速率,且是單一材料速度的倍增因子。
定義速度函數後,可以使用合適的表面演變技術在三個維度上定位和發展可蝕刻表面的位置。根據藉由評估速度函數所確定的局部純量速度,可蝕刻表面在其局部法線方向上徑移或移動。純量速度必須在可蝕刻表面上的感興趣點處計算,並且必須隨著可蝕刻表面幾何形狀的演變而定期重新計算。
多種不同類型的表面演變技術可藉由數值演算法加以利用來模擬在虛擬製造環境中的多重蝕刻製程。移動表面可以使用任何合適的數值空間離散化來表示。顯性界面追蹤方法(explicit front tracking method)可加以使用:示例包括串列方法(string method)、點線方法(2D)、及多邊形表面(3D)。 也可以使用替代的隱性表面表示,例如距離場、流體體積、或體素。可以使用任何合適的時間相依數值技術來及時推進該移動表面。
選擇性磊晶製程可以包括在用於虛擬製造半導體裝置結構的製程序列中。選擇性磊晶製程將在半導體裝置結構的晶體基板表面之上的晶體材料層的磊晶生長加以虛擬地建模。選擇性磊晶廣泛用於當代半導體製程流程,通常用於對電晶體渠道施加機械應力以提高性能。磊晶生長的一個關鍵特徵是它對晶體方向的相依性。半導體裝置通常製造在單晶矽晶圓上;即,原子排列在重複的晶格結構中的矽材料,該重複的晶格結構在晶圓的大部分上是連續的。矽晶體結構是非等向性的(即非在所有方向上對稱),且矽表面在幾個特定的晶體方向上更穩定。這些方向由主要晶面家族加以定義,使用其米勒指數識別為<100>、<110>、及 <111>,並且對生長特性的影響最大。藉由改變磊晶製程中的壓力、溫度、及化學前體,工程師可以控制三個主要平面的相對生長速率。次要平面上的生長速率,例如 <211>、<311>、<411>,也有所不同,但通常對確定磊晶生長結構的最終形狀沒有影響。
虛擬製造環境可以使用表面演變演算法來對磊晶生長建模。發生磊晶生長的表面(生長表面)係根據純量徑移速度(scalar advection speed)加以徑移或移動。生長速率是基於局部表面法線方向及固定輸入參數而在選定點處加以計算,在距離與時間上都是局部的,並且沿其法線方向移動該表面。生長的表面可以使用任何合適的數位空間離散化加以表示。顯性界面追蹤方法可加以使用:示例包括串列方法、點線方法(2D)、及多邊形表面(3D)。也可以使用替代的隱性表面表示,例如距離函數、流體體積、或體素。可以使用任何合適的時間相依數值技術來及時推進該移動表面。
虛擬製造環境中的選擇性磊晶製程利用三個主要平面家族<100>、<110>、及 <111>的生長速率作為固定輸入參數。這些輸入參數定義了與其相關聯平面任何一者對齊的表面的生長速率。進一步的輸入參數可以包括在相鄰非晶體材料之上的生長速率。當計算磊晶生長速率時,也可以考慮在3D建模坐標系統與晶圓的晶格之間的關係。3D建模坐標系統通常使用與2D設計資料相同的X軸和Y軸,且Z軸通常垂直於晶圓表面。也可以採用替代坐標系統。在一真實晶圓上,晶格的定向係由在其他方面呈圓形的晶圓之邊緣上的「平坦部(flat)」或「凹口」表示。凹口可用作一參考部以相對於晶格在所欲方向上定向2D設計資料。指定凹口(或平坦部)類型和方向的輸入參數可以定義晶格的定向以及晶圓相對於2D設計資料的相關聯晶面。需要注意的是,這種關係可以描述為在3D模型坐標系統與晶格坐標系統之間的坐標變換。
使用主要平面家族的生長速率且知道晶格的定向,可以計算生長表面上任何地方的磊晶生長速率。具有與主要平面方向對齊的法線方向之生長表面區域係加以分派該主要平面的速度。對於與主要平面方向不對齊的生長表面區域,必須藉由在相鄰主要平面方向之間進行內插來找到合適的速度。此外,在晶體材料邊界處的磊晶生長行為也可能是重要的。磊晶生長通常在非晶材料已經加以沉積和圖案化的幾個先前處理步驟之後進行。這些非晶體材料可能與晶體材料相鄰,且因此非常接近磊晶生長。非晶體相鄰材料的示例是二氧化矽、矽氮化物、或半導體處理中常見的任何其他材料。在某些情況下,磊晶生長沿著相鄰的非晶體材料而緩慢蔓延(附 生(overgrowth)),但在其他情況下則不會。附生行為可以使用固定輸入參數進行建模,此等固定輸入參數定義了發生附生的相鄰材料集合(附生材料),以及生長表面沿著附生材料蔓延的速度。附生速度改變了在附生材料表面處的磊晶生長速率,使得生長表面以指定速度沿著附生材料移動。此外,生長表面沿著附生材料移動的速度可以取決於在附生材料表面與生長表面之間的角度。如果兩個表面之間的角度大於閾值角度,則可以忽略附生速度。
設計規則檢查(DRC)或光學規則檢查(ORC)可以在虛擬製造環境中執行。DRC和ORC通常由特化的軟體對2D設計資料執行,作為準備2D設計資料以轉換為微影遮罩的過程的一部分。執行此類檢查是為了識別佈局中的錯誤,這些錯誤會導致無法正常運行或運行不良的晶片。此等檢查係在添加對光學效應的補償(例如光學鄰近校正(OPC))之後加以執行。典型的設計規則(在設計手冊中發布並在DRC模板中編碼)是簡單的2D準則,其旨在防止本質上基本3D的問題。然而,隨著半導體製程技術的日益複雜,設計手冊已經發展成數千頁的文件,其中包含數以千計的2D設計規則需要編碼和解釋。在許多情況下,單一3D故障機制/問題可以驅動數百條2D設計規則。那些2D設計規則的開發需要關於整合製程流程和產生的結構的3D性質進行重要假設。
2D DRC是從相對簡單的計算加以開發,這可能會導致過於保守的設計。例如,考慮確保金屬互連層上的線路與下面的通孔之間的最小接觸面積所需的2D設計規則。 通孔是在兩個互連層(也稱為金屬層)之間的垂直導電連接件,或在互連層與例如電晶體、電阻器、或電容器之裝置之間的垂直連接件。
需要許多額外的2D DRC以滿足以3D表示非常簡單的準則:在金屬線與通孔之間的接觸面積必須超過指定的閾值數值。當考慮到多種製造變異性會影響接觸面積時,2D DRC情況變得更加複雜,包括在微影步驟期間曝光過度或曝光不足、遮罩的定位不準、通孔層的平坦化(藉由化學機械拋光(CMP))、以及電漿蝕刻產生的側壁推拔。在驅動2D DRC的簡單算式中包含所有這些統計變異性是不可行的,因此DRC係比防止製造變化所需的更嚴格。這些過於嚴格的2D DRC可能會導致次優的設計而浪費晶元上的面積。
與2D DRC環境相比,虛擬製造環境可以直接以3D執行檢查,例如最小線寬、在特徵部之間的最小空間、及最小接觸面積,而不用對從2D到3D的轉化進行假設。直接以3D執行的檢查在此處稱為「3D DRC」。3D DRC 的一個好處是所需的檢查數量明顯少於2D 環境中所需的數量。因此,與二維檢查相比,檢查更加穩健且易於開發。此外,使用更小的3D規則集合,虛擬製造環境可以檢查製程參數中的統計變化範圍。
應當理解,3D-DRC係不同於也可以在虛擬製造環境中執行的虛擬測量/計量操作。虛擬測量計量操作模擬在製造廠中的實際測量和計量操作,由此指定測量位置並輸出諸如距離值或面積的度量。另一方面,對於3D DRC,幾何準則係加以指定,並且準則的位置與數值係所期望的。也就是說,位置是3D DRC操作的輸出而不是輸入。例如,虛擬計量操作可以指定在2D設計資料中由一定位器指示的特定位置處氧化物膜厚度測量,而最小層厚度的3D DRC可以要求3D模型中氧化物膜厚度小於指定的閾值數值之任何(一個或多個)位置。接著,可以在3D結構模型中搜尋滿足指定最小尺寸準則的位置。類似地,3D DRC也可能導致搜尋結構模型以查看是否滿足最大尺寸準則。因此,這種類型的3D DRC提供了虛擬測量/計量操作無法提供的用於識別意外故障原因的好處。
3D DRC的示例包含: 電網隔離:找到選定導體之間的最短距離。導體是可能由一種或多種導電材料構成的一塊體(「塊體」是3D結構模型之內的離散體積區域(技術上,3-流形)。塊體可以由單一材料或多種材料構成); 最小間距:找出在一群選定塊體中任何一對之間的最短距離; 最小線寬,找到通過一群選定塊體中任何塊體的最短距離; 最小層厚度,找到通過包含一材料層的塊體集合之中任何塊體的最短距離; 最小接觸面積:找出在選定塊體的任何配對之間的最小接觸面積。
可以基於構成材料、電導率、或其他特性來選擇塊體。可以藉由指定閾值數值來擴展每個3D DRC檢查。例如,針對最小線寬檢查指定閾值數值,生成最小線寬小於閾值數值的位置清單。熟習此技藝者將認識到可以定義這種性質的其他檢查。 分析模組
在一個實施例中,虛擬製造環境包括一分析模組。分析模組係設計以模擬半導體製程整合者遇到的用例中的工作流程。半導體製程整合者遇到並由分析模組處理的示例性用例可包括但不限於關鍵參數識別、製程模型校準、可變性分析、製程窗口最佳化、及從虛擬計量資料的規格界線之確定。在關鍵參數識別中,分析模組可以找到對結果(校準、缺陷模式等)影響最大的製程步驟/參數。在製程模型校準中,可以調整製程參數以使3D模型匹配來自實體製造廠的測量,例如但不限於透射電子顯微鏡(TEM)資料或一製程目標。在變異性分析中,分析模組可以幫助用戶分析和理解針對一組虛擬3D模型所獲得的計量資料的變異性,例如但不限於,估計結構或電氣參數的變異性以供規格界限設定。在製程窗口最佳化中,分析模組可以在虛擬製造環境中分析和顯示資訊,以幫助用戶了解POR的良率對參數標稱數值和窗口大小的敏感性,並幫助用戶在調整參數標稱值和從POR開始的允許範圍時評估良率改善情況。下面進一步討論從虛擬計量資料確定規格界限。
在一個實施例中,分析模組係加以整合進虛擬製造環境中,從而導致藉由第三方統計解決方案不可得的改進的和新的功能。在一個實施例中,UI和演算法可以按用例加以組織並且遵循左側UI針對各個用例的逐步流程。這種設計可以有力地指導用戶(其可能缺乏統計訓練)執行正確的分析步驟,俾使他們避免分析中的錯誤。分析模組還可包括統計分析引擎,其採用一組分析演算法來正確分析各個特定用例。分析的結果可以以多種格式提供和/或顯示給用戶或第三方軟體。
對分析模組的輸入可包括但不限於分析類型的選擇,其可按用例而組織(例如,識別關鍵參數、最佳化、校準、可變性分析、製程窗口最佳化等)。額外的示例性輸入可以包括感興趣的製程參數(例如,指定為標稱數值和/或範圍)和感興趣的目標(例如,計量數值、結構搜尋、DTC檢查、電氣分析數值)。在一個實施例中,一輸入值可以是對3D模型檔案的引用。在一些實施例中,分析模組可以執行行程清單生成以建立一實驗性的實驗設計(DOE)(例如,篩選D.O.E.、全因子D.O.E.、蒙地卡羅模擬),接著進行行程清單執行,並且可以利用叢集計算以增加在執行期間的效率。來自執行的輸出可能包括異常值偵測和統計分析結果,例如確定參數顯著性/排名。輸出還可能包括探察圖表(例如,二變量圖、響應表面)和間接最佳化。在一個實施例中,結果還可加以匯出到第三方工具以供進一步分析。
D.O.E.是一種計算參數設定的特定組合下的實驗數量的方法,俾使以更少的實驗工作獲得更多資訊。 蒙地卡羅模擬是一個 D.O.E. 選項,其允許使用常態分佈或均勻分佈之參數設定的隨機生成。在一個實施例中,UI允許用戶輸入對於常態分佈參數的平均數和標準差、或均勻分佈參數的最小值和最大值,且隨機值係相應地生成。作為D.O.E.的參數,用戶還可以輸入所欲的虛擬製造行程的次數。 使用 NGF 演算法的規格界限確定
由於用於表示在虛擬製造環境中產生的3D模型的基於體素的表示,在一些虛擬製造環境中從虛擬製造行程生成的虛擬計量資料在分佈上可能是高斯或非高斯分佈的。然而,非高斯資料係未由慣例的高斯平均數及σ限制而正確描述,且因此在那些情況下,使用高斯擬合方法從虛擬計量資料所估計的規格界限(虛擬計量目標的可允許測量範圍)經常是不正確的。本發明的實施例提供了一種非高斯擬合(NGF)演算法,該演算法使工程師或其他用戶能夠在虛擬製造環境中使用分析軟體來估計非常態分佈的資料的極值和σ等效閾值。因此,虛擬製造環境的工程師或其他用戶可以更好地理解虛擬計量資料的分佈並為目標參數設定正確的規格界限。
使用基於體素的內部資料表示的虛擬製造環境產生具有不同類型分佈的虛擬計量資料。這些分佈的範圍可以從高斯分佈(可視化為傳統的鐘形曲線)到高度非高斯分佈(非常離散、不對稱、及聚集的)。在虛擬製造環境中針對半導體裝置的虛擬製造所選擇的3D模型解析度會影響但不完全控制非高斯行為的程度。
一些虛擬製造環境當前實施測試以確定虛擬計量資料是否遵循高斯(常態)分佈。示例性測試包括在資料直方圖上的高斯機率密度函數(pdf)疊覆、分位數-分位數圖、及Lilliefors測試。例如,圖13中描述的資料(其與FinCD_mid 計量目標相關)係根據三個測試而(大致)高斯分佈的。圖13描繪在資料直方圖1302上的高斯pdf疊覆。資料是對稱的且呈丘形的,像高斯分佈一樣,並且包含少量離散化(200個中的186個數值是唯一的)。還顯示的是,顯示常態性程度的分位數-分位數圖1304、及顯示其他相關資訊的圖表1306。圖表1306中描繪的表格總結了關於所選計量的統計行為的重要資訊(在這種情況下:FinCD_Mid)。例如,它從樣本資料大小(n)開始,然後是估計的平均數和標準差以及它們的信賴區間,且還藉由給出常態性測試的p值來顯示計量資料可以描述為常態分佈的程度(該數值越高,資料就越能更有力地描述為常態分佈)。隨後,圖表1306還記錄了對應於從0.5%到99.5%的百分比的某些特定σ數值處的計量數值。當分佈測試指示虛擬計量資料分佈為高斯分佈時,則可使用平均數和標準差來描述資料並設定±1、±2、±3、±4 σ規格界限。
然而,如果根據應用測試的結果由虛擬製造環境產生的虛擬計量資料係以非高斯方式分佈,則虛擬製造環境的工程師或其他用戶通常面臨問題。 雖然一些虛擬製造環境可能會生成一個非高斯分佈資料的百分位表,這在一定程度上有幫助,但這樣的表格不能用於準確估計資料的外限(±3或±4σ,最大值/最小值)。
為了更全面地解釋這個問題,圖14描繪了由高度非高斯分佈的虛擬製造環境產生的虛擬計量測量的側壁資料集1402的直方圖的示例。資料集有一些離散化(197個中只有154個唯一數值),是不對稱的(具有較低值的長尾,以及較高值的短尾),並且具有清晰的資料數值團塊。假設常態分佈並嘗試分析此類資料的習知演算法提供了不準確的結果。例如,習知用於資料分析的一些演算法包括將多個加權高斯密度擬合到資料的高斯混合模型、將非高斯的核密度擬合到資料的核平滑化(Kernel Smoothing),以及柏拉圖尾(Pareto Tail),其將經驗累積分佈函數(ECDF)僅擬合至資料的中間部並且使用長尾柏拉圖分佈來擬合資料的極值。這些習知的演算法對側壁產生不良的擬合,或者由於其潛在假設而無法收斂。更特別是,此等演算法假設資料是連續的(不是離散的),並且資料分佈實際上是將來自多個連續的已知分佈的數值加在一起的結果。例如,GMM 將多個加權高斯函數相加,KS將多個加權非高斯核相加,且柏拉圖尾方法假設資料分佈的尾部遵循柏拉圖分佈。
不幸的是,依據基於體素表示的3D模型的虛擬計量資料不一定遵循任何已知分佈。最重要的是,由於它們的假設,所有這些方法都不能很好地估計工程師最感興趣的資料外限(±3 或 ±4σ,最大值/最小值)的行為。應當理解,能夠在計量資料的外限處確定額外資訊對用戶提供了放寬所關心的製程參數範圍的機會。該額外資訊允許用戶更精確地實現可靠的配方(壓力、功率、電流頻率等參數的特定組合和數值,其必須加以調整以在晶圓上實現某些所欲的結構),其中對工具精確度的控制是難以實現或者製程參數範圍非常嚴格。
本發明的實施例提供了在虛擬製造環境之內利用非高斯擬合(NGF)演算法的一種非參數方式,其處理非高斯資料而不對資料的分佈做出任何假設。例如,這樣的演算法可以使用經驗分佈並使用經驗分佈的分析來估計極值。
非高斯分佈資料的直方圖可以提供群體極值的建議。圖15顯示圖14的側壁資料的直方圖,具有資料的包圍外廓1502。外廓1502的端部建議了對群體極值的合理估計。更特別是,右尾/上限顯示急劇下降,而左尾/下限表明較平緩的下降。本示例中描述的NGF演算法針對虛擬計量資料使用稱為經驗累積分佈函數(ECDF)的經驗分佈。例如,觀察到,可以針對這樣的ECDF計算諸如信賴邊界的分析,並且那些置信界限表現出與包含外廓相似的行為,外廓的擴展表明對目標參數極值的合理估計。
在一個實施例中,可以根據以下算式(也稱為Greenwood公式)計算每個ECDF的信賴區間:
Figure 02_image001
𝐶𝐼(𝑍(𝑡))=在𝑍(𝑡)的信賴區間 𝑍(𝑡) = 經驗累積密度函數(ECDF)上的各個點
Figure 02_image003
(𝑍(𝑡))= 使用𝛼信賴率(%)所計算的𝑍(𝑡)處的估計變異數
Figure 02_image005
Figure 02_image007
n = 總觀察量(ecdf中的總唯一數值) r = 各個數值出現的次數(對於超過一的非唯一數值)
Figure 02_image009
= Kaplan-Meier 估計量
例如,圖16顯示圖14的側壁資料的ECDF 1602的繪圖,其具有99%的信賴邊界1604。在右尾部,下信賴邊界急劇向上移動。在左尾部,上信賴限邊界逐漸向下移動。這類似於圖15中描繪的外廓的直方圖中出現的模式,其中右尾部有一個陡峭的下降,而左尾部有一些延伸。在一個實施例中,在繪製的ECDF 1602尾部的信賴邊界1604係使用線性回歸加以外推1702,如圖17中概念性所示。±3 或 ±4 σ點和最大/最小極值(或尾部中的另一數值)可以使用線性回歸模型進行估計。應該注意的是,對於非高斯分佈上的中位數、±1 σ、及 ±2 σ點,使用原始資料或ECDF的線性內插仍然可能提供有用的答案,前提是資料點的數量相當大(200+)。然而,通常對資料外限(±3 或 ±4 σ和最大/最小極值)的行為的行為更感興趣。在進一步的實施例中,其他形式的預測模型可以用於擴展,例如二次或樣條回歸而不是線性回歸模型。
在一個實施例中,NGF演算法執行以下序列來預測±3σ規格界限:
1. 計算目標參數的ECDF上各個資料點的99%信賴邊界。
2. 對於右尾部:
a. 確定右尾部的哪些信賴邊界集合包含上+3 σ機率(0.99865)。
b. 取那些集合中的下信賴邊界並將它們用作自變數(忽略 NaN)。該集合中的實際資料數值成為因變數。
c. 計算帶有偏位值的線性回歸。
d. 預測對應於所選輸入機率的資料數值,例如,估計的 +3 σ 是從作為自變數的0.99865的輸入加以預測。估計的群體最大值是從輸入1加以計算。
3. 對於左尾部:
a. 確定左尾部的哪些信賴邊界集合包含下-3σ機率(0.0013499)。
b. 取那些集合中的上信賴邊界並將它們用作自變數(忽略 NaN)。該集合中的實際資料數值成為因變數。
c. 計算帶有偏位值的線性回歸。
d. 預測對應於所選輸入機率的資料數值,例如,估計群體 -3σ是從作為自變數的0.0013499的輸入加以預測的。估計的群體最小值是從輸入0加以計算。
在示例性實施例中,在圖18中顯示對於圖14的側壁資料集應用NGF演算法的示例結果。在測量資料樣本中的最小和最大1807數值分別為4.24和7.48。包圍外廓表明左尾部1804應進一步延伸,而右尾部1806應僅略微延伸。來自NGF的估計極值1808為4.01和7.55,與繪製時的視覺預期相符。圖18還描繪了具有由虛擬製造環境中的分析模組所計算的分佈上的所有點(中位數、±1、±2、±3、估計的極值)的表格1810。
在另一個實施例中,信賴邊界的不同α位準(參見上面的Greenwood公式)可選擇為編程預設值,或者藉由通過虛擬製造環境中提供的用戶介面的用戶供應的輸入來選擇。α數值控制無法正確預測特定數量的可接受百分比。例如,如果α數值保持在5%的百分比,這意味著在100個預測中,錯誤預測5個是可以接受的。
在示例性實施例中,NGF演算法的使用因此以演算法擬合資料尾部的方式提供了非參數方法,無論尾部分佈是否遵循已知的、定義的分佈。不像習知方法那樣試圖對尾部強加一固定分佈,NGF演算法使用ECDF上的信賴邊界作為擬合資料分佈尾部形狀的一種方式。
圖19描繪了在示例性實施例中用於使用NGF演算法確定規格界限的一步驟序列。該序列以在虛擬製造環境中執行的D.O.E.模擬開始,以創建半導體裝置結構的3D模型(步驟1902)。虛擬製造環境接收虛擬計量目標參數的選擇(步驟1904)。確定所選擇的虛擬計量目標參數的虛擬計量資料是否遵循常態分佈(即,虛擬計量資料是以常態還是非常態方式分佈)(步驟1906)。在一個實施例中,由分析模組以編程方式確定所選擇虛擬計量目標參數的虛擬計量資料分佈的常態性。在另一個實施例中,分析模組通過圖形用戶介面向用戶提供資料,並且用戶在分析資料之後通過圖形用戶介面確定和指示常態分佈的缺乏。當虛擬計量資料沒有以常態方式分佈時,分析模組基於所選擇虛擬計量目標參數其中一個或多個的虛擬計量資料而計算經驗分佈(步驟1908)。NGF演算法可用於確定一個或多個選定的虛擬計量目標參數的上規格界限和下規格界限(步驟1910)。接著,可以輸出所確定的上規格界限和下規格界限(步驟1912)。例如,確定的上規格界限和下規格界限可以通過提供的圖形用戶介面而顯示給用戶或者加以匯出以供存儲或進一步分析。
在一個實施例中,虛擬製造環境提供使用戶能夠輸入DOE選項的圖形用戶介面。在執行DOE之後,虛擬製造環境可以提供圖形用戶介面,該介面使用戶能夠針對由DOE中的虛擬製造行程所產生的虛擬計量資料而選擇目標參數。例如,圖20描繪由示例性實施例中的虛擬製造環境提供的圖形用戶介面2002,其允許選擇與在虛擬製造行程期間所執行的製程序列中的各別製程步驟相關聯的虛擬計量目標。如圖所示,已選擇目標參數側壁角度2010、Trench_CD 2012、GapCD_Top 2014、FinCD_Top 2016、FinCD_Mid 2018、及FinCD_Bot 2020進行分析。圖形用戶介面可以允許進行對所選參數的常態性測試(參見圖13中的示例性結果)。如果常態性測試的結果指示資料不與常態分佈擬合,則接著分析模組可以使用NGF演算法來估計來自所選目標參數的虛擬計量資料的計算ECDF的信賴邊界的極值。
圖21描繪在示例性實施例中的圖形用戶介面,其使用戶能夠選擇多個目標參數以同時顯示相關聯的ECDF的繪圖。例如,可選擇清單2102可由虛擬製造環境提供,其使用戶能夠選擇多個目標參數。如圖所示,顯示FinCD_Top 2104 和sidewall_angle(側壁_角度)2106 的ECDF的繪圖。如前所述,各個ECDF的信賴邊界可以由NGF演算法加以計算並使用,以估計最小/最大極值,如圖17所示。
本發明的部分或全部實施例可以提供為一個或多個電腦可讀程式或碼,其體現在一個或多個非暫態媒體之上或之中。媒體可以是但不限於硬碟、光碟、   數位影音光碟、快閃記憶體、PROM、RAM、ROM、或磁帶。 一般而言,電腦可讀程式或碼可以以任何計算語言加以實現。
由於在不偏離本發明的範圍的情況下可以進行某些改變,因此以上說明中包含的或隨附圖式中顯示的所有內容都旨在解釋為說明性的而不是以字面意義解釋的。所屬技術領域具有通常知識者將認識到,在不偏離本發明的範圍的情況下,可以改變圖示中所示的步驟序列和架構,並且這裡包含的圖示是本發明的多種可能描述的單一示例。
本發明的示例實施例的前述描述提供了說明和描述,但並非旨在窮舉或將本發明限制為所揭露的精確形式。根據上述教示,修改和變化是可能的,或者可以從本發明的實踐中獲得。 例如,雖然已經描述了一系列動作,但是在符合本發明原理的其他實施方式中可以修改動作的順序。 此外,可以平行執行非想依動作。
1:虛擬製造環境 2:用戶 10:計算裝置 11:處理器 12:隨機存取記憶體(RAM) 13:唯讀記憶體(ROM) 14:硬碟機 15:網路介面 20:輸入資料 30:2D設計資料 32:層1 34:層2 36:層3 40:製程序列 43,44,47,48,49:步驟 45:虛擬計量測量製程步驟 60:材料資料庫 62:材料類型1 64:材料類型2 70:虛擬製造應用程式 75:3D建模引擎 76:演算法1 77:演算法2 78:演算法3 79:分析模組 80:虛擬計量資料 90:3D結構模型資料 110:輸出資料收集器模組 120:顯示器 121:佈局編輯器 122:製程編輯器 123:虛擬製造控制台 124:表格和圖形計量結果視圖 125:3D觀察器 126:自動參數瀏覽器 202:製程序列 204:佈局(2D設計資料) 302,304,306:形狀 402:製程步驟 404:材料資料庫 406:製程參數 410:製程序列 412:子序列 413:步驟 420:參數 602:3D視圖畫布 604:儲存的狀態 608:微型化頂視圖 1302:資料直方圖 1304:分位數-分位數圖 1306:圖表 1402:側壁資料集 1502:外廓 1602:ECDF 1604:信賴邊界 2002:圖形用戶介面
包含在本案中並構成其一部分的隨附圖式,描繪本發明的一個或多個實施例,並且與說明書一起協助解釋本發明。在圖式中:
圖1描繪了適用於實踐本發明實施例的示例性虛擬製造環境;
圖2描繪在虛擬製造環境中的示例性虛擬製造控制台;
圖3描繪在虛擬製造環境中的示例佈局編輯器;
圖4描繪了虛擬製造環境中的示例性製程編輯器;
圖5描繪了在虛擬製造環境中執行的示例性步驟序列,用以生成虛擬計量測量資料;
圖6描繪了在虛擬製造環境中的示例性3D觀察器;
圖7描繪在虛擬製造環境中的虛擬計量測量資料的示例性顯示;
圖8描繪了在虛擬製造環境中校準一製程序列的在虛擬製造環境中所執行的示例性步驟序列;
圖9描繪了示例性步驟序列,用以設置和執行一虛擬實驗,在虛擬製造環境中針對多個半導體裝置結構模型而生成虛擬計量測量資料;
圖10描繪一例示參數瀏覽器視圖,用以針對在虛擬製造環境中的虛擬實驗而提供製程參數;
圖11描繪了在虛擬製造環境中的虛擬實驗中所生成的虛擬計量資料的示例性表格格式顯示;
圖12描繪了在虛擬製造環境中的虛擬實驗中所生成的虛擬計量資料的示例性圖形顯示;
圖13描繪來自虛擬製造環境中的測試的例示輸出,以確定虛擬計量資料是否遵循高斯(常態)分佈;
圖14描繪了非高斯分佈的資料集的直方圖;
圖15描繪圖14的側壁資料的直方圖,具有資料的包圍外廓;
圖16顯示圖14的側壁資料的ECDF的繪圖,其具有99%的信賴邊界;
圖17描繪在一例示實施例中使用線性回歸之信賴邊界的外推;
圖18顯示在示例性實施例中對於圖14的側壁資料集應用NGF演算法的示例結果;
圖19描繪了在示例性實施例中用於使用非高斯擬合演算法確定規格界限的一系列的步驟;
圖20描繪由示例性實施例中的虛擬製造環境提供的圖形用戶介面,其允許虛擬計量目標的選擇;及
圖21描繪在示例性實施例中的圖形用戶介面,其使用戶能夠選擇多個目標參數以同時顯示相關聯的ECDF的繪圖。

Claims (27)

  1. 一種非暫態電腦可讀媒體,儲存計算裝置可執行指令,該等指令當執行時使配備有一個以上處理器的至少一計算裝置: 執行針對一半導體裝置結構的一實驗設計(DOE)模擬,該模擬包含多數個虛擬製造行程,該多數個虛擬製造行程構建該半導體裝置結構的多數個3D模型; 接收對一個以上虛擬計量目標參數的一選擇; 確定針對所選擇的虛擬計量目標參數的虛擬計量資料是否為常態分佈的; 基於針對所選擇的虛擬計量目標參數其中一者以上的虛擬計量資料,計算一經驗分佈; 針對所選擇的虛擬計量目標參數其中一者以上,確定上規格界限及下規格界限;及 輸出該上規格界限及下規格界限。
  2. 如請求項1之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 在針對所選擇的虛擬計量目標參數其中一者以上的該經驗分佈中針對多個點確定信賴邊界,其中針對一個以上的虛擬計量目標參數的該上規格界限及該下規格界限係基於各別的信賴邊界而加以確定。
  3. 如請求項2之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 使用一個以上回歸模型,從該等信賴邊界外推該上規格界限及該下規格界限。
  4. 如請求項3之非暫態電腦可讀媒體,其中該一個以上回歸模型包含一線性回歸模型。
  5. 如請求項3之非暫態電腦可讀媒體,其中該一個以上回歸模型包含一樣條回歸模型。
  6. 如請求項1之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 輸出該上規格界限及該下規格界限作為匯出資料。
  7. 如請求項1之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 輸出該上規格界限及該下規格界限於一圖形用戶介面上。
  8. 如請求項1之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 識別含有一選定正標準差(σ)機率的第一組信賴邊界; 識別含有一選定負σ機率的第二組信賴邊界; 使用該第一組信賴邊界而執行第一回歸,以確定針對該目標參數的上規格界限;及 使用該第二組信賴邊界而執行第二回歸,以確定針對該目標參數的下規格界限。
  9. 如請求項8之非暫態電腦可讀媒體,其中該第一回歸使用在該第一組信賴邊界之中的下信賴邊界作為一自變數,且該第二回歸使用在該第二組信賴邊界之中的上信賴邊界作為一自變數。
  10. 如請求項1之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 輸出針對所選擇的虛擬計量目標參數的虛擬計量資料的分析;及 接收 指示針對所選擇的虛擬計量目標參數的虛擬計量資料未遵循常態分佈的用戶輸入。
  11. 如請求項1之非暫態電腦可讀媒體,其中該上規格界限或下規格界限係一標準差數值或一極值其中至少一者。
  12. 如請求項1之非暫態電腦可讀媒體,其中信賴邊界的至少一組係以至少0.99的α數值加以計算。
  13. 如請求項1之非暫態電腦可讀媒體,其中該等指令當執行時更使該至少一計算裝置: 對針對一個以上所選擇的虛擬計量目標參數的該經驗分佈及信賴邊界進行繪圖;及 在一圖形用戶介面上顯示該繪圖。
  14. 一種計算裝置實現的方法,該計算裝置包含一個以上處理器,該方法包含: 執行針對一半導體裝置結構的一實驗設計(DOE)模擬,該模擬包含多數個虛擬製造行程,該多數個虛擬製造行程構建該半導體裝置結構的多數個3D模型; 接收對一個以上虛擬計量目標參數的一選擇; 確定針對所選擇的虛擬計量目標參數的虛擬計量資料是否為常態分佈的; 基於針對所選擇的虛擬計量目標參數其中一者以上的虛擬計量資料,計算一經驗分佈; 針對所選擇的虛擬計量目標參數其中一者以上,確定上規格界限及下規格界限;及 輸出該上規格界限及下規格界限。
  15. 如請求項14之計算裝置實現的方法,更包含: 在針對所選擇的虛擬計量目標參數其中一者以上的該經驗分佈中針對多個點確定信賴邊界,其中針對一個以上的虛擬計量目標參數的該上規格界限及該下規格界限係基於各別的信賴邊界而加以確定。
  16. 如請求項15之計算裝置實現的方法,更包含: 使用一個以上回歸模型,從該等信賴邊界外推該上規格界限及該下規格界限。
  17. 如請求項16之計算裝置實現的方法,其中該一個以上回歸模型包含一線性回歸模型。
  18. 如請求項16之計算裝置實現的方法,其中該一個以上回歸模型包含一樣條回歸模型。
  19. 如請求項14之計算裝置實現的方法,更包含: 輸出該上規格界限及該下規格界限作為匯出資料。
  20. 如請求項14之計算裝置實現的方法,更包含: 輸出該上規格界限及該下規格界限於一圖形用戶介面上。
  21. 如請求項14之計算裝置實現的方法,更包含: 識別含有一選定正標準差(σ)機率的第一組信賴邊界; 識別含有一選定負σ機率的第二組信賴邊界; 使用該第一組信賴邊界而執行第一回歸,以確定針對該目標參數的上規格界限;及 使用該第二組信賴邊界而執行第二回歸,以確定針對該目標參數的下規格界限。
  22. 如請求項21之計算裝置實現的方法,其中該第一回歸使用在該第一組信賴邊界之中的下信賴邊界作為一自變數,且該第二回歸使用在該第二組信賴邊界之中的上信賴邊界作為一自變數。
  23. 如請求項14之計算裝置實現的方法,更包含: 輸出針對所選擇的虛擬計量目標參數的虛擬計量資料的分析;及 接收 指示針對所選擇的虛擬計量目標參數的虛擬計量資料未遵循常態分佈的用戶輸入。
  24. 如請求項14之計算裝置實現的方法,其中該上規格界限或下規格界限係一標準差數值或一極值其中至少一者。
  25. 如請求項14之計算裝置實現的方法,其中信賴邊界的至少一組係以至少0.99的α數值加以計算。
  26. 如請求項14之計算裝置實現的方法,更包含: 對針對一個以上所選擇的虛擬計量目標參數的該經驗分佈及信賴邊界進行繪圖;及 在一圖形用戶介面上顯示該繪圖。
  27. 一種計算系統,包含: 至少一計算裝置,配備有一個以上處理器且建構以生成一虛擬製造環境,該虛擬製造環境係建構以: 執行針對一半導體裝置結構的一實驗設計(DOE)模擬,該模擬包含多數個虛擬製造行程,該多數個虛擬製造行程構建該半導體裝置結構的多數個3D模型, 接收對一個以上虛擬計量目標參數的一選擇, 確定針對所選擇的虛擬計量目標參數的虛擬計量資料是否為常態分佈的, 基於針對所選擇的虛擬計量目標參數其中一者以上的虛擬計量資料,計算一經驗分佈, 針對所選擇的虛擬計量目標參數其中一者以上,確定上規格界限及下規格界限,及 輸出該上規格界限及下規格界限;及 一顯示表面,與該至少一計算裝置通信,該顯示表面係建構以顯示在該虛擬製造環境中所生成的資料。
TW110125830A 2020-07-17 2021-07-14 用於確定在半導體裝置虛擬製造環境中的規格界限之系統及方法 TW202316305A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063053052P 2020-07-17 2020-07-17
US63/053,052 2020-07-17

Publications (1)

Publication Number Publication Date
TW202316305A true TW202316305A (zh) 2023-04-16

Family

ID=79554286

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110125830A TW202316305A (zh) 2020-07-17 2021-07-14 用於確定在半導體裝置虛擬製造環境中的規格界限之系統及方法

Country Status (4)

Country Link
US (1) US20230252211A1 (zh)
KR (1) KR20230042056A (zh)
TW (1) TW202316305A (zh)
WO (1) WO2022015897A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08107050A (ja) * 1994-09-30 1996-04-23 Sony Corp 半導体プロセス工程における断面形状のシミュレーション方法
JP2002110489A (ja) * 2000-09-26 2002-04-12 Toshiba Corp 半導体装置の回路特性のシミュレータ、及び、シミュレート方法
JP2008112383A (ja) * 2006-10-31 2008-05-15 Fujitsu Ltd 半導体集積回路設計方法、および設計プログラム
JP2010165827A (ja) * 2009-01-15 2010-07-29 Renesas Electronics Corp 半導体装置の設計方法、及び、設計プログラム
US11144701B2 (en) * 2017-06-18 2021-10-12 Coventor, Inc. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment

Also Published As

Publication number Publication date
WO2022015897A1 (en) 2022-01-20
US20230252211A1 (en) 2023-08-10
WO2022015897A9 (en) 2022-03-10
KR20230042056A (ko) 2023-03-27

Similar Documents

Publication Publication Date Title
JP7266138B2 (ja) 仮想半導体デバイス製作環境においてプロセスモデル較正を実行するためのシステムと方法
US20210319162A1 (en) System and method for predictive 3-d virtual fabrication
TWI628552B (zh) 用於三維虛擬製造環境中的設計規則檢查之非暫態電腦可讀媒體、方法及系統
US20200356711A1 (en) System and method for process window optimization in a virtual semiconductor device fabrication environment
TWI616765B (zh) 於三維虛擬製造環境中使用材料特定行爲參數之多蝕刻製程
TWI601025B (zh) 於三維虛擬製造環境中將磊晶生長模型化之系統與方法
TW202316305A (zh) 用於確定在半導體裝置虛擬製造環境中的規格界限之系統及方法