TW202301024A - Method and system of cleaning surface of reticle - Google Patents

Method and system of cleaning surface of reticle Download PDF

Info

Publication number
TW202301024A
TW202301024A TW110128970A TW110128970A TW202301024A TW 202301024 A TW202301024 A TW 202301024A TW 110128970 A TW110128970 A TW 110128970A TW 110128970 A TW110128970 A TW 110128970A TW 202301024 A TW202301024 A TW 202301024A
Authority
TW
Taiwan
Prior art keywords
reticle
exposure device
mask
light source
photomask
Prior art date
Application number
TW110128970A
Other languages
Chinese (zh)
Other versions
TWI807381B (en
Inventor
廖啟宏
施柏銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202301024A publication Critical patent/TW202301024A/en
Application granted granted Critical
Publication of TWI807381B publication Critical patent/TWI807381B/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning

Abstract

A method of cleaning a surface of a reticle includes retrieving a reticle from a reticle library and transferring the reticle to a first exposure device. The surface of the reticle is cleaned in the first exposure device by irradiating the surface of the reticle with an extreme ultraviolet (EUV) radiation for a predetermined irradiation time. After the cleaning, the reticle is transferred to a second exposure device for lithography operation.

Description

減少汙染物分解對遮罩缺陷的影響Reduce Contaminant Breakdown Effects on Masking Defects

在積體電路(integrated circuit,IC)設計期間,在基板上產生積體電路的多個圖案,用於積體電路製程的不同步驟。可透過將遮罩(mask)的多個佈局圖案投影(例如成像)在晶圓的光阻層上來產生所述多個圖案。微影製程將遮罩的所述多個佈局圖案轉移至晶圓的光阻層,使得蝕刻、佈植或其他步驟僅施加於晶圓的多個預定義區域。一般而言,當不使用光罩(reticles)時,在真空條件下將光罩(例如遮罩)儲存在光罩庫中。During integrated circuit (IC) design, multiple patterns of the IC are generated on the substrate for different steps of the IC process. The plurality of layout patterns may be generated by projecting (eg, imaging) a plurality of layout patterns of a mask onto a photoresist layer of the wafer. The lithography process transfers the layout patterns of the mask to the photoresist layer of the wafer such that etching, implantation or other steps are only applied to predefined areas of the wafer. Generally, reticles (eg, masks) are stored in a reticle library under vacuum conditions when the reticles are not in use.

以下的揭露提供了許多不同的實施例或例子,以實施所提供標的的不同特徵。以下描述之構件與安排的特定例子,以簡化本揭露。當然,這些僅僅是例子而不是用以限制本揭露。例如,在說明中,第一特徵形成在第二特徵之上方或之上,這可能包含第一特徵與第二特徵以直接接觸的方式形成的實施例,這也可以包含額外特徵可能形成在第一特徵與第二特徵之間的實施例,這使得第一特徵與第二特徵可能沒有直接接觸。此外,本揭露可能會在各種例子中重複參考數字及/或文字。此重複是為了簡明與清晰的目的,但本身並非用以指定所討論的各種實施例及/或架構之間的關係。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are just examples and not intended to limit the present disclosure. For example, in the illustration, a first feature is formed on or over a second feature, which may include embodiments where the first feature is formed in direct contact with the second feature, which may also include that additional features may be formed on the second feature. An embodiment between a feature and a second feature such that the first feature may not be in direct contact with the second feature. In addition, this disclosure may repeat reference numerals and/or text in various instances. This repetition is for the purposes of brevity and clarity, and is not intended, in itself, to specify a relationship between the various embodiments and/or architectures discussed.

再者,在此可能會使用空間相對用語,例如「底下(beneath)」、「下方(below)」、「較低(lower)」、「上方(above)」、「較高(upper)」等等,以方便說明如圖式所繪示之一元件或一特徵與另一(另一些)元件或特徵之關係。這些空間上相對的用語除了涵蓋在圖式中所繪示的方向,也欲涵蓋裝置在使用或操作中不同的方向。設備可能以不同方式定位(例如旋轉90度或在其他方位上),而在此所使用的空間上相對的描述同樣也可以有相對應的解釋。再者,「由...所製成(being made of)」之用語可解讀為「包含(comprising)」或「由...組成(consisting of)」的意思。在本揭露中,「A、B與C之一」之用語解讀為「A、B和/或C」(即A,B,C,A及B,A及C,B及C,或A、B及C),且除非另有說明,否則不解讀為來自A的一種元件、來自B的一種元件以及來自C的一種元件。Furthermore, spatial relative terms may be used here, such as "beneath", "below", "lower", "above", "upper", etc. etc., to facilitate the description of the relationship between one element or a feature and another (other) elements or features as shown in the drawings. These spatially relative terms are intended to cover different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be positioned in different ways (eg, rotated 90 degrees or at other orientations), and the spatially relative descriptions used herein should likewise be construed accordingly. Furthermore, the term "being made of" can be interpreted as "comprising" or "consisting of". In this disclosure, the term "one of A, B, and C" is interpreted as "A, B, and/or C" (that is, A, B, C, A and B, A and C, B and C, or A, B, and/or C) B and C), and unless otherwise stated, are not to be read as one element from A, one element from B, and one element from C.

在一些實施例中,光罩(reticles)儲存在光罩庫(reticle library)中,且將光罩庫保持在真空條件下以避免在光罩上沉積顆粒(particles)與碳氫化合物汙染物(hydrocarbon contamination)。然而,當在微影製程期間使用光罩時,顆粒與碳氫化合物汙染物可能會累積(build up)在光罩上。顆粒與碳氫化合物汙染物可能會損害在晶圓的光阻層上產生的圖案中的臨界尺寸(critical dimension,CD)均勻性(uniformity)。在一些實施例中,從光罩庫擷取光罩之後,以溶劑清潔光罩表面的顆粒與碳氫化合物汙染物。在一些實施例中,在將光罩儲存於光罩庫之前,以溶劑清潔光罩表面的顆粒與碳氫化合物汙染物。如果在儲存光罩之前清潔光罩,以溶劑清潔光罩可能會在光罩庫中引入其他顆粒。如果在從光罩庫擷取光罩之後清潔光罩,以溶劑清潔光罩可能會在微影系統的曝光裝置中引入其他顆粒。此外,以溶劑清潔光罩可能會導致微影製程的長時間延遲。因此,在一些實施例中,光罩係離線(offline)清潔的。從光罩庫擷取光罩,清潔擷取到的光罩,並將光罩再次儲存到光罩庫中。In some embodiments, reticles are stored in a reticle library, and the reticle library is maintained under vacuum conditions to avoid deposition of particles and hydrocarbon contaminants ( Hydrocarbon contamination). However, when the photomask is used during the lithography process, particle and hydrocarbon contamination may build up on the photomask. Particle and hydrocarbon contamination may compromise critical dimension (CD) uniformity in patterns produced on the photoresist layer of the wafer. In some embodiments, after the reticle is retrieved from the reticle library, the surface of the reticle is cleaned of particles and hydrocarbon contamination with a solvent. In some embodiments, the surface of the reticle is cleaned of particles and hydrocarbon contaminants with a solvent prior to storing the reticle in the reticle library. Solvent cleaning the reticle may introduce additional particles into the reticle library if the reticle is cleaned prior to storage. If the reticle is cleaned after it has been retrieved from the reticle library, cleaning the reticle with a solvent may introduce additional particles into the exposure device of the lithography system. In addition, cleaning the photomask with solvents may cause long delays in the lithography process. Thus, in some embodiments, the reticle is cleaned offline. Retrieve the reticle from the reticle library, clean the retrieved reticle, and store the reticle in the reticle library again.

當從光罩庫擷取光罩且光罩用於微影製程時,顆粒和/或碳氫化合物汙染物可能會累積在遮罩上,這可能會使遮罩劣化(degrade)且可能會導致晶圓的臨界尺寸(critical dimension,CD)的不均勻。因此,希望能在執行微影製程之前清潔光罩。在一些實施例中,微影系統包括兩個曝光裝置。兩個曝光裝置之一者用於將光罩投影在晶圓的光阻層上,以圖案化晶圓。兩個曝光裝置之另一者具有單獨的輻射源(例如極紫外(extreme ultraviolet,EUV)輻射源),兩個曝光裝置之另一者用於照射(irradiating)光罩的表面層。在一些實施例中,照射的能量用於分解沉積在光罩的表面層上的顆粒或碳氫化合物汙染物層。此外,光罩的表面層受到的照射越多,顆粒或碳氫化合物汙染物層分解的越多。在一些實施例中,光罩為反射光罩(reflective reticle),長時間照射光罩的表面層不僅會造成微影製程之延遲,額外的照射還可能會損壞光罩的各層。光罩的各層(例如反射光罩的反射層) 之損壞可能會損壞臨界尺寸(critical dimension,CD)的均勻性。因此,希望照射光罩的表面層以減少或消除光罩的表面層上的顆粒與碳氫化合物汙染物對光罩的表面層的影響,同時又不損壞光罩的結構(例如反射結構)。因此,希望在損壞光罩結構之前照射光罩的表面層以得到臨界尺寸的均勻性(critical dimension uniformity,CDU)的最佳改善。When a mask is retrieved from a mask library and the mask is used in the lithography process, particles and/or hydrocarbon contamination may accumulate on the mask, which may degrade the mask and may cause The critical dimension (CD) of the wafer is not uniform. Therefore, it is desirable to be able to clean the photomask before performing the lithography process. In some embodiments, the lithography system includes two exposure devices. One of the two exposure devices is used to project a mask on the photoresist layer of the wafer to pattern the wafer. The other of the two exposure devices has a separate radiation source (such as an extreme ultraviolet (EUV) radiation source), and the other of the two exposure devices is used for irradiating the surface layer of the mask. In some embodiments, the energy of the irradiation is used to break down the layer of particulate or hydrocarbon contamination deposited on the surface layer of the reticle. In addition, the more the surface layer of the reticle is exposed to radiation, the more the particle or hydrocarbon contamination layer breaks down. In some embodiments, the photomask is a reflective reticle. Irradiating the surface layer of the photomask for a long time will not only delay the lithography process, but also may damage the layers of the photomask. Damage to the layers of the reticle, such as the reflective layer of the reflective reticle, may damage critical dimension (CD) uniformity. Accordingly, it is desirable to irradiate the surface layer of the reticle to reduce or eliminate the effect of particulate and hydrocarbon contamination on the surface layer of the reticle without damaging the structure (eg, reflective structure) of the reticle. Therefore, it is desirable to irradiate the surface layer of the reticle before damaging the reticle structure to obtain the best improvement in critical dimension uniformity (CDU).

第1圖為在半導體基板上產生光阻圖案的製程流程150。在一些實施例中,藉由第8圖的控制系統800和/或第10A圖至第10B圖的電腦系統1000來執行製程流程150。於光阻塗佈操作102,光阻材料的光阻層設置(例如塗佈)在基板(例如工件的晶圓)的頂面上。如第5B圖所示,光阻層15設置在半導體基板10上。於施加後烘烤(post application bake,PAB)操作104執行施加後烘烤(PAB),且烘烤包含光阻層15的半導體基板10以在光阻材料中驅出(drive out)溶劑且固化半導體基板10的頂部上的光阻層15。FIG. 1 is a process flow 150 for producing a photoresist pattern on a semiconductor substrate. In some embodiments, the process flow 150 is performed by the control system 800 of FIG. 8 and/or the computer system 1000 of FIGS. 10A-10B . In photoresist coating operation 102 , a photoresist layer of photoresist material is disposed (eg, coated) on a top surface of a substrate (eg, a workpiece wafer). As shown in FIG. 5B , the photoresist layer 15 is disposed on the semiconductor substrate 10 . A post application bake (PAB) is performed in a post application bake (PAB) operation 104, and the semiconductor substrate 10 including the photoresist layer 15 is baked to drive out the solvent in the photoresist material and cure A photoresist layer 15 on top of the semiconductor substrate 10 .

在本揭露的實施例中,「遮罩(mask)」、「光遮罩(photomask)」、「光罩(reticle)」之用語可互換使用。此外,「阻劑(resist)」、「光阻(photo resist)」之用語可互換使用。於遮罩擷取操作105,從光罩庫擷取光罩。於第2圖的敘述中更詳細地描述遮罩擷取操作105。藉由遮罩載入(mask load)與曝光操作108將所擷取的光罩載入至曝光裝置,於第4圖中描述之。遮罩載入與曝光操作108還使用輻射源的光化輻射將遮罩投影至半導體基板10的光阻層15上。在一些實施例中,藉由來自極紫外(EUV)光源的極紫外(EUV)輻射將遮罩上的佈局圖案投影至光阻層15上,以在半導體基板10上產生光阻層15中的光阻圖案。於曝光後烘烤(post exposure bake,PEB)操作110,在晶圓上執行曝光後烘烤(PEB),其中在暴露於光化輻射之後以及在顯影操作112中的顯影之前,進一步地烘烤光阻層。藉由向光阻層15施加顯影劑溶液,以顯影光阻層的光阻材料。對於正型(positive tone)光阻材料,於顯影操作112,藉由施加顯影劑溶液來顯影暴露區域,接著移除顯影區域且剩餘區域產生光阻層15的光阻圖案。對於負型(negative tone)光阻材料,於顯影操作112,藉由施加顯影劑溶液來顯影未暴露區域,隨後移除顯影區域且剩餘區域產生光阻層15的光阻圖案。遮罩於第5A圖中描述之。In the embodiments of the present disclosure, the terms "mask", "photomask" and "reticle" may be used interchangeably. In addition, the terms "resist" and "photo resist" can be used interchangeably. In mask extraction operation 105, a mask is extracted from a mask library. The mask extraction operation 105 is described in more detail in the description of FIG. 2 . The captured reticle is loaded into the exposure device by mask load and exposure operation 108 , depicted in FIG. 4 . The mask loading and exposing operation 108 also projects the mask onto the photoresist layer 15 of the semiconductor substrate 10 using actinic radiation from a radiation source. In some embodiments, the layout pattern on the mask is projected onto the photoresist layer 15 by means of extreme ultraviolet (EUV) radiation from an extreme ultraviolet (EUV) light source to generate the pattern in the photoresist layer 15 on the semiconductor substrate 10. photoresist pattern. In a post exposure bake (PEB) operation 110, a post exposure bake (PEB) is performed on the wafer, wherein after exposure to actinic radiation and prior to development in a development operation 112, further baking photoresist layer. By applying a developer solution to the photoresist layer 15, the photoresist material of the photoresist layer is developed. For a positive tone photoresist material, in a developing operation 112 , the exposed areas are developed by applying a developer solution, then the developed areas are removed and a photoresist pattern of the photoresist layer 15 is produced in the remaining areas. For negative tone photoresist materials, in developing operation 112 , the unexposed areas are developed by applying a developer solution, then the developed areas are removed and the remaining areas generate a photoresist pattern of the photoresist layer 15 . The mask is depicted in Figure 5A.

第2圖為用於在不同位置之間轉移光罩的快速交換裝置(rapid exchange device,RED)200。快速交換裝置(RED)200在光罩庫202、第一曝光裝置212及第二曝光裝置214之間轉移光罩。快速交換裝置(RED)200包括具有機械手臂的機器人裝置206。機械手臂包括第一可動區段204與第二可動區段208。第二可動區段208圍繞第一樞軸點205旋轉。第一可動區段204圍繞機器人裝置206內的第二樞軸點(圖未示)旋轉,且第一可動區段204還移動第一樞軸點與第二可動區段。機器人裝置206可使第一可動區段204與第二可動區段208圍繞各自的樞軸點旋轉,以將機械手臂延伸至光罩庫202、第一曝光裝置212或第二曝光裝置214。在一些實施例中,將機器人裝置206、光罩庫202、第一曝光裝置212及第二曝光裝置214保持在真空環境下。FIG. 2 shows a rapid exchange device (RED) 200 for transferring reticles between different locations. The rapid exchange device (RED) 200 transfers the reticle between the reticle library 202 , the first exposure unit 212 and the second exposure unit 214 . Rapid exchange device (RED) 200 includes a robotic device 206 having a robotic arm. The robot arm includes a first movable section 204 and a second movable section 208 . The second movable section 208 rotates about the first pivot point 205 . The first movable section 204 rotates around a second pivot point (not shown) in the robot device 206 , and the first movable section 204 also moves the first pivot point and the second movable section. The robot device 206 can rotate the first movable section 204 and the second movable section 208 around respective pivot points to extend the robot arm to the mask library 202 , the first exposure device 212 or the second exposure device 214 . In some embodiments, the robotic apparatus 206, the reticle library 202, the first exposure apparatus 212, and the second exposure apparatus 214 are maintained in a vacuum environment.

快速交換裝置(RED)200還包括快速交換裝置(RED)控制器240,快速交換裝置(RED)控制器240耦接至光罩庫202、機器人裝置206、第一曝光裝置212及第二曝光裝置214。在一些實施例中,快速交換裝置(RED)控制器240命令機器人裝置206以從光罩庫202擷取光罩且將光罩載入至第一曝光裝置212或第二曝光裝置214。在一些實施例中,快速交換裝置(RED)控制器240命令機器人裝置206以從第一曝光裝置212或第二曝光裝置214擷取光罩且將光罩載入至其他曝光裝置。在一些實施例中,快速交換裝置(RED)控制器240命令光罩庫202以釋放要擷取的光罩之一。在一些實施例中,快速交換裝置(RED)控制器240命令機器人裝置206以在第一曝光裝置212或第二曝光裝置214的遮罩台(mask table)上載入光罩。第一曝光裝置212與第二曝光裝置214於第4圖、第5B圖與第7A圖中描述之。The rapid exchange device (RED) 200 also includes a rapid exchange device (RED) controller 240, and the rapid exchange device (RED) controller 240 is coupled to the mask library 202, the robot device 206, the first exposure device 212 and the second exposure device 214. In some embodiments, a rapid exchange device (RED) controller 240 commands the robotic device 206 to retrieve a mask from the mask library 202 and load the mask into the first exposure device 212 or the second exposure device 214 . In some embodiments, the rapid exchange device (RED) controller 240 commands the robotic device 206 to retrieve the reticle from the first exposure device 212 or the second exposure device 214 and load the reticle to the other exposure device. In some embodiments, rapid exchange device (RED) controller 240 commands reticle library 202 to release one of the reticles to be retrieved. In some embodiments, a rapid exchange device (RED) controller 240 commands the robotic device 206 to load a mask on the mask table of the first exposure device 212 or the second exposure device 214 . The first exposure device 212 and the second exposure device 214 are depicted in Fig. 4, Fig. 5B and Fig. 7A.

第3圖為根據本揭露的一些實施例之在半導體基板上產生光阻圖案的製程流程300。製程流程300包括第1圖的製程流程150的光阻塗佈操作102、施加後烘烤(PAB)操作104、曝光後烘烤(PEB)操作110與顯影操作112。此外,製程流程300包括由第2圖的快速交換裝置(RED)200執行的遮罩擷取操作105。於遮罩擷取操作105,第2圖的快速交換裝置(RED)控制器240命令機器人裝置206與光罩庫202。回應於來自快速交換裝置(RED)控制器240的命令,光罩庫202釋放光罩且機器人裝置206的機械手臂延伸入光罩庫202以擷取經釋放的光罩。製程流程300還包括遮罩載入(mask load)與清潔操作106。於遮罩載入(mask load)與清潔操作106,快速交換裝置(RED)控制器240命令機器人裝置206以在第一曝光裝置212中載入經釋放的光罩。此外,在第一曝光裝置212中載入光罩之後,快速交換裝置(RED)控制器240命令第一曝光裝置212的輻射源(例如極紫外(EUV)光源)以輻射源的輻射在一預定時間量中照射光罩的表面,以清潔光罩的表面的。在一些實施例中,輻射源為極紫外(EUV)光源或具有合適波長的其他光源,其可分解碳氫化合物汙染物層與顆粒。在一些實施例中,光罩的表面為輻射進入光罩之反射光罩的表面區。在一些實施例中,作為清潔操作的至少一部份且照射光罩的表面,在虛置(dummy)晶圓上投影光罩的佈局圖案。並且,於遮罩載入(mask load)與曝光操作108,於第一曝光裝置212中載入的光罩轉移至第二曝光裝置214,且第二曝光裝置214的輻射源將光罩的佈局圖案投影在基板的光阻層(例如第5B圖的基板10的光阻層15)上以產生光阻圖案。FIG. 3 is a process flow 300 for producing a photoresist pattern on a semiconductor substrate according to some embodiments of the present disclosure. The process flow 300 includes the photoresist coating operation 102 , the post application bake (PAB) operation 104 , the post exposure bake (PEB) operation 110 and the development operation 112 of the process flow 150 of FIG. 1 . Additionally, the process flow 300 includes a mask capture operation 105 performed by the rapid exchange device (RED) 200 of FIG. 2 . During the mask capture operation 105 , the RED controller 240 of FIG. 2 commands the robot 206 and the mask library 202 . In response to a command from a rapid exchange device (RED) controller 240, the mask library 202 releases the mask and the robotic arm of the robotic device 206 extends into the mask library 202 to retrieve the released mask. The process flow 300 also includes a mask load and clean operation 106 . During the mask load and clean operation 106 , the rapid exchange device (RED) controller 240 commands the robotic device 206 to load the released reticle in the first exposure device 212 . In addition, after the reticle is loaded in the first exposure device 212, the rapid exchange device (RED) controller 240 commands the radiation source (such as an extreme ultraviolet (EUV) light source) of the first exposure device 212 to emit radiation from the radiation source at a predetermined The amount of time to irradiate the surface of the reticle to clean the surface of the reticle. In some embodiments, the radiation source is an extreme ultraviolet (EUV) light source or other light source having a suitable wavelength that breaks down hydrocarbon contaminant layers and particles. In some embodiments, the surface of the reticle is a surface region of the reticle that reflects radiation into the reticle. In some embodiments, as at least part of the cleaning operation and irradiating the surface of the reticle, the layout pattern of the reticle is projected on a dummy wafer. And, in the mask loading (mask load) and exposure operation 108, the reticle loaded in the first exposure device 212 is transferred to the second exposure device 214, and the radiation source of the second exposure device 214 changes the layout of the reticle The pattern is projected onto a photoresist layer of the substrate (eg, photoresist layer 15 of substrate 10 of FIG. 5B ) to generate a photoresist pattern.

第4圖為用於在晶圓上產生光阻圖案的曝光裝置400的示意圖。與第二曝光裝置214一致的曝光裝置400示出以來自輻射源100(例如極紫外(EUV)輻射源)的輻射輻射29的圖案化光束來曝光塗佈有光阻的基板。在一些實施例中,曝光裝置400為積體電路微影工具,例如步進器(stepper)、掃描器(scanner)、步進及掃描系統(step and scan system)、直接寫入系統(direct write system)、使用接觸和/或接近遮罩(contact and/or proximity mask)的裝置等,積體電路微影工具例如提供光學系統的一或多個光學元件205a、205b,而以極紫外(EUV)光輻射的光束來照射圖案化光學元件(例如光罩,例如反射遮罩205c),以產生光學系統的圖案化光束以及一或多個縮小(reduction)投影光學元件205d、205e,上述光學系統用於將上述圖案化光束投影至目標半導體基板210上。目標半導體基板210與第5B圖的半導體基板一致。在一些實施例中,與第5B圖的光阻層15一致的光阻層設置在目標半導體基板210上。可提供機械組件(未示出)以在目標半導體基板210與圖案化光學元件(例如反射遮罩205c)之間產生受控制的相對運動。藉由受控制的相對運動,圖案化基板的不同晶粒(dice)。在一些實施例中,曝光裝置400為極紫外微影(EUV lithography,EUVL)曝光裝置。如進一步所示,第4圖的極紫外微影(EUVL)曝光裝置還包括極紫外(EUV)輻射源100以照射目標半導體基板210。在一些實施例中,因為氣體分子會吸收極紫外(EUV)光,所以用於極紫外(EUV)微影圖案化的微影系統處於真空環境以避免極紫外(EUV)強度損失。在一些實施例中,以曝光裝置400內的壓力感測器408來感測曝光裝置400內的壓力,且曝光裝置400內的壓力受控於耦接至曝光裝置400的真空壓力控制器406。在一些實施例中,反射遮罩205c與以下關於第5A圖所描述的光罩80一致。在一些實施例中,真空壓力控制器406包含於第2圖的快速交換裝置(RED)控制器240之中。FIG. 4 is a schematic diagram of an exposure apparatus 400 for producing photoresist patterns on a wafer. Exposure apparatus 400 , identical to second exposure apparatus 214 , is shown exposing a photoresist-coated substrate with a patterned beam of radiation 29 from radiation source 100 , such as an extreme ultraviolet (EUV) radiation source. In some embodiments, the exposure device 400 is an integrated circuit lithography tool, such as a stepper, a scanner, a step and scan system, a direct write system, etc. system), use contact and/or proximity mask (contact and/or proximity mask) devices, etc., integrated circuit lithography tools, for example, provide one or more optical elements 205a, 205b of the optical system, and use extreme ultraviolet (EUV ) beam of optical radiation to illuminate a patterned optical element (e.g., a mask, such as reflective mask 205c) to produce a patterned beam of optical system and one or more reduction projection optical elements 205d, 205e, the optical system It is used to project the patterned light beam onto the target semiconductor substrate 210 . The target semiconductor substrate 210 corresponds to the semiconductor substrate of FIG. 5B. In some embodiments, a photoresist layer consistent with the photoresist layer 15 of FIG. 5B is disposed on the target semiconductor substrate 210 . A mechanical assembly (not shown) may be provided to create controlled relative motion between the target semiconductor substrate 210 and the patterned optical element (eg, reflective mask 205c). By controlled relative motion, different dice of the substrate are patterned. In some embodiments, the exposure device 400 is an extreme ultraviolet lithography (EUV lithography, EUVL) exposure device. As further shown, the extreme ultraviolet lithography (EUVL) exposure apparatus of FIG. 4 further includes an extreme ultraviolet (EUV) radiation source 100 to irradiate a target semiconductor substrate 210 . In some embodiments, since gas molecules absorb EUV light, the lithography system used for EUV lithographic patterning is in a vacuum environment to avoid loss of EUV intensity. In some embodiments, the pressure in the exposure device 400 is sensed by a pressure sensor 408 in the exposure device 400 , and the pressure in the exposure device 400 is controlled by a vacuum pressure controller 406 coupled to the exposure device 400 . In some embodiments, reflective mask 205c is identical to reticle 80 described below with respect to FIG. 5A. In some embodiments, the vacuum pressure controller 406 is included in the rapid exchange device (RED) controller 240 of FIG. 2 .

在一些實施例中,目標半導體基板210為虛置(dummy)晶圓且曝光裝置400與第一曝光裝置212一致。如同關於第2圖所描述的,從光罩庫200所擷取的光罩作為反射遮罩205c載入至曝光裝置400。在一些實施例中,第4圖的半導體基板210為虛置(dummy)晶圓且於預定時間量以輻射源100的輻射照射反射遮罩205c以清潔光罩表面的顆粒和/或碳氫化合物汙染物。In some embodiments, the target semiconductor substrate 210 is a dummy wafer and the exposure device 400 is identical to the first exposure device 212 . As described with respect to FIG. 2 , the reticle retrieved from the reticle library 200 is loaded into the exposure device 400 as the reflective mask 205c. In some embodiments, the semiconductor substrate 210 of FIG. 4 is a dummy wafer and irradiates the reflective mask 205c with radiation from the radiation source 100 for a predetermined amount of time to clean particles and/or hydrocarbons on the surface of the mask. pollutants.

第5A圖至第5B圖為反射光罩結構80的剖視圖以及將反射光罩結構80投影在曝光裝置中的半導體裝置34上。第5A圖示出反射光罩結構80(例如反射遮罩)的剖視圖500。如上所述,「遮罩(mask)」、「光遮罩(photomask)」、「光罩(reticle)」之用語可互換使用。反射光罩結構80與第4圖的反射遮罩205c一致,且反射光罩結構80用於第4圖的曝光結構400中。如第5A圖所示,反射光罩結構80包含基板30,基板30具有適合的結構,例如低溫膨脹材料或熔融石英。在各種示例中,材料包含摻雜二氧化矽(SiO 2)的二氧化鈦(TiO 2)或具有低溫膨脹特性的其他適合材料。遮罩包括沉積在基板30上的多個反射層(ML)35。多個反射層(ML)35包括多個薄膜對(薄膜37與薄膜39),例如鉬-矽(molybdenum-silicon,Mo/Si)薄膜對(例如在每個薄膜對中,在矽層之上或之下的鉬層)。或者,多個反射層(ML)35可包括鉬-鈹(molybdenum-beryllium,Mo/Be)薄膜對,或可配置為高度反射極紫外(EUV)光的其他適合材料。遮罩也可包括設置在反射層(ML)上之用於保護的覆蓋層40,例如釕(ruthenium,Ru)。遮罩還包括沉積在反射層(ML)上的吸收層45,例如鉭氮化硼(tantalum boron nitride,TaBN)層。圖案化吸收層45以定義用於積體電路的層之佈局圖案55。或者,其他反射層可沉積在反射層(ML)上,且圖案化其他反射層以定義積體電路的層,從而形成極紫外(EUV)相移遮罩。 5A-5B are cross-sectional views of the reflective mask structure 80 and the projection of the reflective mask structure 80 on the semiconductor device 34 in the exposure apparatus. FIG. 5A shows a cross-sectional view 500 of a reflective mask structure 80 (eg, a reflective mask). As mentioned above, the terms "mask", "photomask", and "reticle" are used interchangeably. The reflective mask structure 80 is consistent with the reflective mask 205c of FIG. 4, and the reflective mask structure 80 is used in the exposure structure 400 of FIG. As shown in FIG. 5A, the reflective mask structure 80 includes a substrate 30 having a suitable structure, such as low temperature expansion material or fused silica. In various examples, the material includes titanium dioxide (TiO 2 ) doped with silicon dioxide (SiO 2 ) or other suitable material with low temperature expansion properties. The mask includes a plurality of reflective layers (ML) 35 deposited on a substrate 30 . A plurality of reflective layers (ML) 35 includes a plurality of film pairs (film 37 and film 39), such as molybdenum-silicon (molybdenum-silicon, Mo/Si) film pairs (for example, in each film pair, on the silicon layer or molybdenum layer below). Alternatively, the plurality of reflective layers (ML) 35 may include molybdenum-beryllium (Mo/Be) thin film pairs, or other suitable materials that may be configured to be highly reflective to extreme ultraviolet (EUV) light. The mask may also include a protective cover layer 40 such as ruthenium (Ru) disposed on the reflective layer (ML). The mask also includes an absorber layer 45 deposited on the reflective layer (ML), such as a tantalum boron nitride (TaBN) layer. The absorber layer 45 is patterned to define a layout pattern 55 for the layers of the integrated circuit. Alternatively, other reflective layers may be deposited on the reflective layer (ML) and patterned to define the layers of the integrated circuit, forming an extreme ultraviolet (EUV) phase shift mask.

第5B圖示出將設置在半導體裝置上的光阻層暴露於輻射。第5B圖是與第4圖一致之用於將反射遮罩投影到基板上的簡化圖。第5A圖也示出包含光阻層15的半導體裝置34,光阻層15設置在半導體基板10上,且半導體基板10與第4圖的半導體基板210一致。第5B圖還示出源自極紫外(EUV)光源(例如第4圖的極紫外(EUV)光源)的輻射束50。輻射束50被引導至光罩80,例如反射光遮罩,其中,從反射光遮罩80反射的反射束50’入射在半導體裝置34的光阻層15上。反射束50’的入射角為角度A,其相對於垂直於半導體基板10的頂面的線302定義。在一些實施例中,與第4圖的半導體基板210一致的半導體基板10安裝於載台560,載台560耦接至載台控制器565且受控於載台控制器565,載台控制器565用於移動半導體裝置34且用於暴露半導體裝置34的不同位置。在一些實施例中,如所述的,第5B圖的曝光配置550為部分的第4圖的曝光裝置400。Figure 5B illustrates exposing a photoresist layer disposed on a semiconductor device to radiation. FIG. 5B is a simplified diagram consistent with FIG. 4 for projecting a reflective mask onto a substrate. FIG. 5A also shows a semiconductor device 34 including a photoresist layer 15 disposed on a semiconductor substrate 10 that is identical to the semiconductor substrate 210 in FIG. 4 . FIG. 5B also shows a radiation beam 50 originating from an extreme ultraviolet (EUV) source, such as that of FIG. 4 . Radiation beam 50 is directed to a photomask 80, such as a reflective photomask, wherein reflected beam 50' The angle of incidence of the reflected beam 50' is an angle A defined relative to a line 302 perpendicular to the top surface of the semiconductor substrate 10. In some embodiments, the semiconductor substrate 10 consistent with the semiconductor substrate 210 of FIG. 4 is mounted on a stage 560, and the stage 560 is coupled to and controlled by a stage controller 565. The stage controller 565 is used to move the semiconductor device 34 and to expose different positions of the semiconductor device 34 . In some embodiments, the exposure configuration 550 of FIG. 5B is a portion of the exposure apparatus 400 of FIG. 4, as described.

第6圖為設置在半導體基板10上的光阻圖案的檢查系統600。第6圖示出載台660上的半導體裝置34且載台660耦接至載台控制器665且受控於載台控制器665。如同關於第2圖所描述的,在於第一曝光裝置212處清潔光罩後,光罩作為反射光罩205c而載入到曝光裝置400。在一些實施例中,第4圖的半導體基板210為第5B圖的半導體裝置34,且以輻射源100的輻射束照射反射遮罩205c以將反射遮罩205c的佈局圖案投影到半導體裝置34的光阻層15上,以產生光阻層15中的光阻圖案。在一些實施例中,在曝光之前,於施加後烘烤(PAB)操作104烘烤包含光阻層15的基板10,以驅出光阻材料中的溶劑且固化光阻層15。在一些實施例中,在曝光之後,在光阻層15上執行曝光後烘烤(PEB)操作110。在一些實施例中,在曝光後烘烤(PEB)操作110之後,施加顯影操作112至光阻層15以產生光阻層15中的光阻圖案。FIG. 6 is an inspection system 600 for a photoresist pattern disposed on a semiconductor substrate 10 . FIG. 6 shows the semiconductor device 34 on the stage 660 and the stage 660 is coupled to and controlled by the stage controller 665 . As described with respect to FIG. 2 , after cleaning the mask at the first exposure device 212 , the mask is loaded into the exposure device 400 as reflective mask 205c. In some embodiments, the semiconductor substrate 210 in FIG. 4 is the semiconductor device 34 in FIG. 5B, and the reflective mask 205c is irradiated with the radiation beam of the radiation source 100 to project the layout pattern of the reflective mask 205c onto the semiconductor device 34. on the photoresist layer 15 to generate a photoresist pattern in the photoresist layer 15 . In some embodiments, prior to exposure, the substrate 10 including the photoresist layer 15 is baked in a post application bake (PAB) operation 104 to drive out solvent in the photoresist material and cure the photoresist layer 15 . In some embodiments, after exposure, a post-exposure bake (PEB) operation 110 is performed on photoresist layer 15 . In some embodiments, after the post-exposure bake (PEB) operation 110 , a developing operation 112 is applied to the photoresist layer 15 to generate a photoresist pattern in the photoresist layer 15 .

第6圖也示出掃描成像裝置635,其產生用於掃描光阻層15的頂面之聚焦束619且產生光阻層15的頂面處的光阻圖案的影像。此外,第6圖示出產生均勻束617的掃描成像裝置635與透鏡634,均勻束617用於成像光阻層15的頂面且產生光阻層15的頂面上的光阻圖案的影像。此外,掃描成像裝置635耦接至包含影像處理單元633的分析器模組630以接收且處理光阻層15的頂面的經產生的影像。在一些實施例中,檢查光阻層15的頂面上的光阻圖案之經產生的影像。在一些實施例中,分析器模組630的影像處理單元633在光阻層15的頂面的經產生的影像上執行一或多個影像處理和/或影像辨識演算法,且決定在光阻層15中產生的光阻圖案的臨界尺寸(critical dimension,CD)量測。在一些實施例中,聚焦束619與均勻束617為光束。在一些實施例中,聚焦束619為電子束。在一些實施例中,如前所述,半導體裝置34放置於載台660上且載台660的載台控制器665相對於掃描成像裝置635移動半導體裝置34。在一些實施例中,載台控制器665協調掃描成像裝置635以及放置在載台660上的半導體裝置34的移動,且載台控制器665使掃描成像裝置635能夠在半導體裝置34的不同位置處捕捉設置在半導體裝置34上的光阻層15的經顯影的光阻圖案的一或多個影像。FIG. 6 also shows a scanning imaging device 635 that produces a focused beam 619 for scanning the top surface of the photoresist layer 15 and produces an image of the photoresist pattern at the top surface of the photoresist layer 15 . In addition, FIG. 6 shows a scanning imaging device 635 and a lens 634 generating a uniform beam 617 for imaging the top surface of the photoresist layer 15 and generating an image of the photoresist pattern on the top surface of the photoresist layer 15 . In addition, the scanning imaging device 635 is coupled to the analyzer module 630 including the image processing unit 633 to receive and process the generated image of the top surface of the photoresist layer 15 . In some embodiments, the generated image of the photoresist pattern on the top surface of the photoresist layer 15 is inspected. In some embodiments, the image processing unit 633 of the analyzer module 630 performs one or more image processing and/or image recognition algorithms on the generated image of the top surface of the photoresist layer 15 and determines the The critical dimension (CD) of the photoresist pattern produced in layer 15 is measured. In some embodiments, focused beam 619 and uniform beam 617 are light beams. In some embodiments, focused beam 619 is an electron beam. In some embodiments, as described above, the semiconductor device 34 is placed on the stage 660 and the stage controller 665 of the stage 660 moves the semiconductor device 34 relative to the scanning imaging device 635 . In some embodiments, the stage controller 665 coordinates the movement of the scanning imaging device 635 and the semiconductor device 34 placed on the stage 660, and the stage controller 665 enables the scanning imaging device 635 to be positioned at different locations on the semiconductor device 34. One or more images of the developed photoresist pattern of the photoresist layer 15 disposed on the semiconductor device 34 are captured.

在一些實施例中,分析器模組630或分析器模組630的影像處理單元633也決定光阻層15的經顯影的光阻圖案的臨界尺寸均勻性(CD uniformity,CDU)。如果臨界尺寸均勻性(CDU)滿足預定標準,例如如果臨界尺寸均勻性(CDU)優於百分之一,則分析器模組630決定從光罩的表面清潔的顆粒和/或碳氫化合物汙染物的預定時間量是足夠的,例如是可接受的。然而,如果臨界尺寸均勻性(CDU)不滿足預定標準,則分析器模組630決定從光罩的表面清潔的顆粒和/或碳氫化合物汙染物的預定時間量是不足的,例如是不可接受的,且應增加預定時間量。在一些實施例中,分析器模組逐漸增加預定時間量,例如,預定時間量以例如約2%至約10%之間的幅度逐步增加。在增加預定時間量的各步之後,測量臨界尺寸均勻性(CDU)且如果臨界尺寸均勻性(CDU)滿足預定標準,則不繼續增加預定時間量且將預定時間量確定為臨界尺寸均勻性(CDU)滿足預定標準的時間量。在一些實施例中,預定時間量取決於遮罩的佈局圖案的細節以及遮罩的佈局圖案中是否存在特定形狀或特徵。In some embodiments, the analyzer module 630 or the image processing unit 633 of the analyzer module 630 also determines the critical dimension uniformity (CD uniformity, CDU) of the developed photoresist pattern of the photoresist layer 15 . Analyzer module 630 determines particle and/or hydrocarbon contamination from the surface of the reticle if the critical dimension uniformity (CDU) meets predetermined criteria, for example, if the critical dimension uniformity (CDU) is better than one percent A predetermined amount of time is sufficient, such as acceptable. However, if the critical dimension uniformity (CDU) does not meet the predetermined criteria, the analyzer module 630 determines that the predetermined amount of time for particle and/or hydrocarbon contamination to be cleaned from the surface of the reticle is insufficient, e.g., unacceptable , and should increase the scheduled amount of time. In some embodiments, the analyzer module increases the predetermined amount of time incrementally, eg, the predetermined amount of time is increased in increments of, for example, between about 2% and about 10%. After each step of increasing the predetermined amount of time, the critical dimension uniformity (CDU) is measured and if the critical dimension uniformity (CDU) meets the predetermined criteria, the predetermined amount of time is not continued to be increased and the predetermined amount of time is determined to be the critical dimension uniformity ( CDU) the amount of time that a predetermined criterion is met. In some embodiments, the predetermined amount of time depends on the details of the mask's layout pattern and whether particular shapes or features are present in the mask's layout pattern.

在一些實施例中,分析器模組630決定從光罩的表面清潔的顆粒和/或碳氫化合物汙染物的預定時間量是可接受的,然而,預定時間量可多於滿足預定標準的時間。 在一些實施例中,分析器模組630逐漸減少預定時間量,例如,預定時間量以例如約2%至約10%之間的幅度逐步減少。在減少預定時間量的各步之後,測量臨界尺寸均勻性(CDU),當在該步之臨界尺寸均勻性(CDU)不滿足預定標準時,不繼續減少預定時間量且將預定時間量確定為導致臨界尺寸均勻性(CDU)不滿足預定標準的立即減少之前的時間量。在一些實施例中,所決定的預定時間量以預定百分比(例如在大約0.5%到大約1.5%之間)增加,以增加可靠性。In some embodiments, the analyzer module 630 determines that a predetermined amount of time for particle and/or hydrocarbon contamination to be cleaned from the surface of the reticle is acceptable, however, the predetermined amount of time may be more than the time to meet the predetermined criteria . In some embodiments, the analyzer module 630 gradually reduces the predetermined amount of time, for example, the predetermined amount of time is gradually reduced by, for example, between about 2% and about 10%. After each step of reducing the predetermined amount of time, the critical dimension uniformity (CDU) is measured, and when the critical dimension uniformity (CDU) at this step does not meet the predetermined criteria, the predetermined amount of time is not continued to be reduced and the predetermined amount of time is determined to result in The amount of time before the critical dimension uniformity (CDU) does not meet the predetermined criteria is immediately reduced. In some embodiments, the determined predetermined amount of time is increased by a predetermined percentage (eg, between about 0.5% and about 1.5%) to increase reliability.

第7A圖至第7F圖為根據本揭露的一些實施例之用於測量來自反射光罩的反射投影光的曝光裝置。第7A圖至第7F圖相似於示出以輻射束50照射反射光罩80的第5圖。光源705與第4圖的輻射源100一致,且反射光罩80與第4圖的反射遮罩205c一致。然而,與曝光裝置400相反,反射束50’不引導至基板但與曝光裝置212一致,反射束50’引導至光偵測器系統710以偵測來自反射光罩80的投影影像,例如經投影的佈局圖案。經偵測的影像被傳送到用於分析的分析器模組630。與第5B圖一致,反射束50’的入射角為角度A,其相對於垂直於表面706的線302定義。7A-7F are exposure apparatuses for measuring reflected projection light from a reflective mask according to some embodiments of the present disclosure. FIGS. 7A-7F are similar to FIG. 5 which shows radiation beam 50 illuminating reflective mask 80 . The light source 705 is consistent with the radiation source 100 in FIG. 4 , and the reflective mask 80 is consistent with the reflective mask 205c in FIG. 4 . However, in contrast to exposure apparatus 400 , where reflected beam 50 ′ is not directed to the substrate but coincides with exposure apparatus 212 , reflected beam 50 ′ is directed to photodetector system 710 to detect the projected image from reflective reticle 80 , e.g., projected layout pattern. The detected images are sent to the analyzer module 630 for analysis. Consistent with FIG. 5B, the angle of incidence of the reflected beam 50'

如第7A圖、第7B圖、第7C圖所示,顆粒702位於光罩(輻射束50進入的光罩80)的表面706上。顆粒702可能會在微影期間劣化投影影像且可能會影響臨界尺寸(critical dimension,CD)均勻性。在一些實施例中,光源705為極紫外(EUV)光源且光源705以極紫外(EUV)輻射照射顆粒702以使顆粒702分解。如同第7A圖至第7B圖的進展以及第7B圖至第7C圖的進展所示,粒子702分解並變小。在一些實施例中,以輻射束50照射光罩80並由影像偵測器系統於預定時間量持續捕捉光罩80的投影影像,使得顆粒702不影響臨界尺寸均勻性(CD uniformity,CDU)且臨界尺寸均勻性(CDU)滿足閥值臨界尺寸均勻性(CDU)。As shown in Figures 7A, 7B, and 7C, particles 702 are located on a surface 706 of the reticle (reticle 80 into which radiation beam 50 enters). Particles 702 may degrade the projected image during lithography and may affect critical dimension (CD) uniformity. In some embodiments, the light source 705 is an extreme ultraviolet (EUV) light source and the light source 705 irradiates the particles 702 with extreme ultraviolet (EUV) radiation to decompose the particles 702 . As shown in the progression of Figures 7A to 7B and the progression of Figures 7B to 7C, the particles 702 break down and become smaller. In some embodiments, the reticle 80 is illuminated with the radiation beam 50 and the projected image of the reticle 80 is continuously captured by the image detector system for a predetermined amount of time such that the particles 702 do not affect CD uniformity (CDU) and Critical Dimension Uniformity (CDU) Satisfy the threshold Critical Dimension Uniformity (CDU).

如第7D圖至第7E圖所示,在光罩(輻射束50進入的光罩80)的表面706上沉積包含碳氫化合物汙染物的汙染物層704。汙染物層704可能會劣化微影期間的投影影像且可能會影響臨界尺寸(CD)均勻性。在一些實施例中,以極紫外(EUV)輻射照射汙染物層704以使汙染物層704分解。如同第7D圖至第7E圖的進展,汙染物層704被分解且變薄。在一些實施例中,以輻射束50照射光罩80並由影像偵測器系統於預定時間量持續捕捉光罩80的投影影像,使得汙染物層704不影響臨界尺寸均勻性(CD uniformity,CDU),且在3奈米半導體節點的3奈米製程中,臨界尺寸均勻性(CDU)保持在大約1%至2%的閥值內。As shown in FIGS. 7D-7E, a contaminant layer 704 comprising hydrocarbon contaminants is deposited on a surface 706 of the reticle (reticle 80 into which the radiation beam 50 enters). The contamination layer 704 may degrade the projected image during lithography and may affect critical dimension (CD) uniformity. In some embodiments, the contamination layer 704 is irradiated with extreme ultraviolet (EUV) radiation to decompose the contamination layer 704 . As in the progression of Figures 7D to 7E, the contamination layer 704 is decomposed and thinned. In some embodiments, the reticle 80 is illuminated with the radiation beam 50 and the projected image of the reticle 80 is continuously captured by the image detector system for a predetermined amount of time such that the contamination layer 704 does not affect CD uniformity (CDU). ), and critical dimension uniformity (CDU) remains within a threshold of about 1% to 2% in the 3nm process at the 3nm semiconductor node.

在一些實施例中,由影像偵測器系統710所捕捉的光罩80的投影影像另外由影像偵測器系統710連續地掃描。掃描提供光罩80的整個投影影像在不同時間實例的偵測點。在一些實施例中,光罩80的掃描投影影像提供整個投影影像的時間變化以及投影影像在特定時間實例的空間變化。第7F圖示出了光罩80的經捕捉的投影影像的一個特定點在座標732上的強度在時間座標734上的時間變化。如第7F圖所示,特定點的反射強度隨時間增加,直到強度曲線在水平S1處飽和且不再進一步增加的時間T1。曲線738表示在特定點處存在顆粒或存在碳氫化合物污染,且由輻射束50在特定點上的照射使顆粒分解並增加反射光強度。在一些實施例中,時間T1是清潔光罩80的表面706所需的預定時間量,且進一步照射光罩80不會改善反射光強度。在一些實施例中,當曲線738在預定時間內飽和且曲線738在預定時間內的增加小於閥值時,例如,曲線738在5秒內的增加小於百分之一,停止清潔且到達時間T1。在一些實施例中,時間T1介於約50秒至約100秒之間。在一些實施例中,曲線738是針對光罩80的表面上的多個點構建的,時間T1是針對多個點的測量,且最終T1被確定為所測量的時間T1s的最大值。In some embodiments, the projected image of reticle 80 captured by image detector system 710 is additionally scanned continuously by image detector system 710 . Scanning provides detection points of the entire projected image of the reticle 80 at different time instances. In some embodiments, the scanned projected image of the reticle 80 provides temporal variation of the entire projected image as well as spatial variation of the projected image at a particular time instance. FIG. 7F shows the temporal variation of the intensity at coordinate 732 at time coordinate 734 of a particular point in the captured projected image of reticle 80 . As shown in Figure 7F, the reflected intensity at a particular point increases with time until time T1 at which the intensity curve saturates at level S1 and does not increase further. Curve 738 represents the presence of particles or the presence of hydrocarbon contamination at a particular point, and the irradiation of the radiation beam 50 at the particular point breaks down the particles and increases the reflected light intensity. In some embodiments, time T1 is a predetermined amount of time required to clean surface 706 of reticle 80 and further irradiating reticle 80 does not improve reflected light intensity. In some embodiments, when the curve 738 is saturated within a predetermined time and the increase of the curve 738 within the predetermined time is less than a threshold value, for example, the increase of the curve 738 within 5 seconds is less than one percent, the cleaning is stopped and the time T1 is reached. . In some embodiments, time T1 is between about 50 seconds and about 100 seconds. In some embodiments, curve 738 is constructed for multiple points on the surface of reticle 80, time T1 is measured for multiple points, and final T1 is determined as the maximum value of measured times T1s.

第8圖為根據本揭露的一些實施例之控制系統800用於清潔光罩且用於在半導體基板上投影經清潔的光罩的佈局圖案。控制系統800包含彼此耦接的分析器模組830與主控制器840。在一些實施例中,控制系統800包含第6圖的載台控制器665、第7A圖的影像偵測器系統710、第2圖的快速交換裝置(RED)控制器240、第6圖的掃描成像裝置635、以及第4圖的真空壓力控制器406。在一些實施例中,主控制器840控制且耦接至載台控制器665、影像偵測器系統710、快速交換裝置(RED)控制器240、掃描成像裝置635、以及真空壓力控制器406。在一些實施例中,主控制器840直接地耦接至掃描成像裝置635,或者是,主控制器840透過分析器模組830耦接至掃描成像裝置635。FIG. 8 is a layout pattern of a control system 800 for cleaning a reticle and for projecting the cleaned reticle on a semiconductor substrate according to some embodiments of the present disclosure. The control system 800 includes an analyzer module 830 and a main controller 840 coupled to each other. In some embodiments, the control system 800 includes the stage controller 665 of FIG. 6, the image detector system 710 of FIG. 7A, the rapid exchange device (RED) controller 240 of FIG. The imaging device 635, and the vacuum pressure controller 406 of FIG. 4 . In some embodiments, main controller 840 controls and is coupled to stage controller 665 , image detector system 710 , rapid exchange device (RED) controller 240 , scanning imaging device 635 , and vacuum pressure controller 406 . In some embodiments, the main controller 840 is directly coupled to the scanning imaging device 635 , or the main controller 840 is coupled to the scanning imaging device 635 through the analyzer module 830 .

在一些實施例中,分析器模組830包含第6圖的分析器模組630,或者是,分析器模組830與第6圖的分析器模組630一致。在一些實施例中,主控制器840透過分析器模組830命令掃瞄系統635捕捉在半導體基板上的光阻圖案的影像且確定(例如測量)設置在半導體基板上的光阻圖案的臨界尺寸均勻性(CDU)。如上所述,分析器模組830基於所測量的臨界尺寸均勻性(CDU)來確定光罩的表面是否被清潔。在一些實施例中,主控制器840命令載台控制器665移動載台660以捕捉設置在半導體基板上之不同位置處的光阻圖案的一或多個影像。在一些實施例中,主控制器840命令真空壓力控制器406保持第一曝光裝置212與第二曝光裝置214內部的真空環境並保持光罩庫202內部的真空環境。在一些實施例中,主控制器840命令快速交換裝置(RED)控制器240在第一曝光裝置212中清潔光罩的表面,並將清潔後的光罩載入到第二曝光裝置214,並將光罩的佈局圖案投影到基板的光阻層上。在一些實施例中,主控制器840命令影像偵測器系統710在光罩的清潔期間從光罩捕捉反射影像並將所捕捉的反射影像傳輸到用於分析的分析器模組830。In some embodiments, the analyzer module 830 includes the analyzer module 630 in FIG. 6 , or the analyzer module 830 is the same as the analyzer module 630 in FIG. 6 . In some embodiments, the main controller 840 commands the scanning system 635 through the analyzer module 830 to capture an image of the photoresist pattern on the semiconductor substrate and determine (for example, measure) the CD of the photoresist pattern disposed on the semiconductor substrate Uniformity (CDU). As described above, the analyzer module 830 determines whether the surface of the reticle is cleaned based on the measured critical dimension uniformity (CDU). In some embodiments, the main controller 840 instructs the stage controller 665 to move the stage 660 to capture one or more images of the photoresist pattern disposed at different locations on the semiconductor substrate. In some embodiments, the main controller 840 commands the vacuum pressure controller 406 to maintain the vacuum environment inside the first exposure device 212 and the second exposure device 214 and to maintain the vacuum environment inside the reticle library 202 . In some embodiments, the master controller 840 commands the rapid exchange device (RED) controller 240 to clean the surface of the reticle in the first exposure unit 212, load the cleaned reticle into the second exposure unit 214, and Project the layout pattern of the photomask onto the photoresist layer of the substrate. In some embodiments, the main controller 840 commands the image detector system 710 to capture reflected images from the reticle during cleaning of the reticle and transmit the captured reflected images to the analyzer module 830 for analysis.

在一些實施例中,分析器模組830包括資料探勘模組818、機器學習模組816、以及神經網路模組814。如前所述,在一些實施例中,分析器模組830包括影像處理單元633,且影像處理單元633包括資料探勘模組818、機器學習模組816、以及神經網路模組814。在一些實施例中,資料探勘模組818、機器學習模組816或神經網路模組814連續地掃描所捕捉的反射影像、確定對應於來自光罩的不同位置的反射光的資料、分析數據、且即時地確定資料的變化以確定光罩的表面何時清除顆粒和/或碳氫化合物污染物。In some embodiments, the analyzer module 830 includes a data mining module 818 , a machine learning module 816 , and a neural network module 814 . As mentioned above, in some embodiments, the analyzer module 830 includes an image processing unit 633 , and the image processing unit 633 includes a data mining module 818 , a machine learning module 816 , and a neural network module 814 . In some embodiments, the data mining module 818, machine learning module 816, or neural network module 814 continuously scans the captured reflection images, determines data corresponding to reflected light from different locations on the reticle, and analyzes the data , and instantly determine changes in data to determine when the surface of the reticle is cleared of particulate and/or hydrocarbon contamination.

第9圖為根據本揭露的一些實施例之用於清潔光罩且用於在半導體基板上投影經清潔的光罩的佈局圖案之示例製程900的流程圖。製程900或製程900的一部分可由第2圖的系統執行。在一些實施例中,製程900或製程900的一部分由以下參照第10A圖與第10B圖所描述的電腦系統1000執行和/或控制。在一些實施例中,製程900或製程900的一部分由上述的第8圖的系統800執行。製程900包括操作S910,其從光罩庫中擷取光罩庫中的光罩並將該光罩轉移到第一曝光裝置。如第2圖所示,機器人裝置206的機械臂從光罩庫202中擷取光罩。在擷取光罩之後,機械臂將光罩轉移到第一曝光裝置212。FIG. 9 is a flowchart of an example process 900 for cleaning a reticle and for projecting a layout pattern of the cleaned reticle on a semiconductor substrate, according to some embodiments of the present disclosure. Process 900 or a portion of process 900 may be performed by the system of FIG. 2 . In some embodiments, process 900 or a portion of process 900 is performed and/or controlled by computer system 1000 as described below with reference to FIGS. 10A and 10B . In some embodiments, process 900 or a portion of process 900 is performed by system 800 of FIG. 8 described above. The process 900 includes operation S910 of retrieving a mask in the mask library from the mask library and transferring the mask to a first exposure device. As shown in FIG. 2 , the robotic arm of the robotic device 206 picks up the mask from the mask library 202 . After picking up the mask, the robotic arm transfers the mask to the first exposure device 212 .

於操作S920,在第一曝光裝置中以極紫外(EUV)輻射源的極紫外(EUV)輻射在預定時間量照射光罩的表面來清潔光罩的表面。如第7A圖至第7C圖所示,在第一曝光裝置212中清潔光罩80的表面的顆粒702。如第7D圖與第7E圖所示,清潔光罩80的表面的碳氫化合物污染物704。In operation S920, the surface of the reticle is cleaned by irradiating the surface of the reticle with extreme ultraviolet (EUV) radiation from an extreme ultraviolet (EUV) radiation source for a predetermined amount of time in a first exposure device. As shown in FIGS. 7A to 7C , the particles 702 on the surface of the photomask 80 are cleaned in the first exposure device 212 . As shown in FIGS. 7D and 7E , the surface of the photomask 80 is cleaned of hydrocarbon contamination 704 .

於操作S930,在清潔之後,將光罩從第一曝光裝置轉移至用於微影操作的第二曝光裝置。如第2圖所示,在清潔光罩之後,將光罩從第一曝光裝置212轉移至第二曝光裝置214。藉由機器人裝置206的機械手臂來轉移光罩。於第二曝光裝置中使用光罩的佈局圖案來執行微影操作。In operation S930, after cleaning, the photomask is transferred from the first exposure device to a second exposure device for lithography. As shown in FIG. 2 , after cleaning the reticle, the reticle is transferred from the first exposure unit 212 to the second exposure unit 214 . The mask is transferred by the robotic arm of the robotic device 206 . The lithography operation is performed in the second exposure device using the layout pattern of the mask.

於操作S940,於第二曝光裝置中將光罩的佈局圖案投影至晶圓的光阻層上。如第4圖或第5B圖所示,將各個反射遮罩205c或80的佈局圖案投影至各自半導體基板210或10的光阻層上。In operation S940, the layout pattern of the photomask is projected onto the photoresist layer of the wafer in the second exposure device. As shown in FIG. 4 or FIG. 5B , the layout pattern of each reflective mask 205 c or 80 is projected onto the photoresist layer of the respective semiconductor substrate 210 or 10 .

第10A圖至第10B圖為根據本揭露的一些實施例之用於清潔光罩且用於在半導體基板上投影經清潔的光罩的佈局圖案之裝置。在一些實施例中,使用電腦系統1000來執行第8圖的模組的功能,其包含主控制器840、分析器模組830或630、載台控制器665、快速交換裝置(RED)控制器240、真空壓力控制器406、以及分析器模組630的影像處理單元633。在一些實施例中,使用電腦系統1000來執行第9圖的製程900。10A-10B are apparatuses for cleaning a reticle and for projecting a layout pattern of the cleaned reticle on a semiconductor substrate according to some embodiments of the present disclosure. In some embodiments, the computer system 1000 is used to perform the functions of the modules of FIG. 8, which includes a main controller 840, an analyzer module 830 or 630, a carrier controller 665, and a rapid exchange device (RED) controller. 240 , the vacuum pressure controller 406 , and the image processing unit 633 of the analyzer module 630 . In some embodiments, the computer system 1000 is used to perform the process 900 of FIG. 9 .

第10A圖為執行用於清潔光罩以及投影經清潔的光罩的佈局圖案的裝置的功能之電腦系統的示意圖。上述實施例的全部或部分製程、方法和/或操作可以使用電腦硬體與在其上執行的電腦程式來實現。在第10A圖中,電腦系統1000配備有電腦1001,電腦1001包括光碟唯讀記憶體(例如,CD-ROM或DVD-ROM)驅動器1005與磁碟驅動器1006、鍵盤1002、滑鼠1003以及螢幕顯示器1004。FIG. 10A is a schematic diagram of a computer system performing the functions of an apparatus for cleaning a reticle and projecting a layout pattern of the cleaned reticle. All or part of the processes, methods and/or operations of the above-mentioned embodiments may be implemented using computer hardware and computer programs executed thereon. In FIG. 10A, a computer system 1000 is equipped with a computer 1001 including a compact disc read-only memory (eg, CD-ROM or DVD-ROM) drive 1005 and disk drive 1006, a keyboard 1002, a mouse 1003, and a screen display. 1004.

第10B圖為示出電腦系統1000的內部配置的示意圖。在第10B圖中,除了光碟驅動器1005與磁碟驅動器1006之外,電腦1001還具有一個或多個處理器,例如:微處理單元(micro processing unit,MPU)1011、唯讀記憶體(read only memory,ROM)1012、隨機存取記憶體(random access memory,RAM)1013、硬碟1014以及匯流排1015。唯讀記憶體(ROM)1012儲存諸如啟動(boot up)程式之類的程式。隨機存取存儲器(RAM) 1013連接到微處理單元(MPU)1011,隨機存取存儲器(RAM)1013暫存應用程式的命令且提供暫存區。硬碟1014儲存應用程式、系統程式和資料。匯流排1015連接微處理單元(MPU)1011、唯讀記憶體(ROM)1012、等等。應注意的是,電腦1001可包括用於提供連接到區域網路(local area network,LAN)的網路卡(圖未示)。FIG. 10B is a schematic diagram showing the internal configuration of the computer system 1000 . In Figure 10B, in addition to the optical disc drive 1005 and the magnetic disc drive 1006, the computer 1001 also has one or more processors, for example: a micro processing unit (micro processing unit, MPU) 1011, a read only memory (read only memory (ROM) 1012 , random access memory (random access memory, RAM) 1013 , hard disk 1014 and bus 1015 . A read only memory (ROM) 1012 stores programs such as a boot up program. A random access memory (RAM) 1013 is connected to the micro processing unit (MPU) 1011, and the random access memory (RAM) 1013 temporarily stores commands of application programs and provides a temporary storage area. The hard disk 1014 stores application programs, system programs and data. A bus 1015 connects a micro processing unit (MPU) 1011, a read only memory (ROM) 1012, and the like. It should be noted that the computer 1001 may include a network card (not shown) for providing connection to a local area network (LAN).

用於使電腦系統1000執行上述實施例中的清潔光罩與投影經清潔後的光罩的佈局圖案的功能的程式可儲存在光碟1021或磁碟1022且傳輸至硬碟1014,上述之光碟1021或磁碟1022插入至光碟驅動器1005或磁碟驅動器1006。或者,上述程式可通過網路(圖未示)傳輸到電腦1001且儲存在硬碟1014中。在執行時,程式載入到隨機存取存儲器(RAM)1013中。上述程式可從光碟1021或磁碟1022載入,上述程式也可直接從網路載入。上述程式不一定必須包括例如操作系統(operating system,OS)或第三方程式來使電腦901執行上述實施例中用於清潔光罩與投影經清潔後的光罩的佈局圖案的控制系統的功能。上述程式可只包括一個命令部分,以在受控模式下調用(call)適當的功能(模組)並獲得想要的結果。The program for enabling the computer system 1000 to execute the functions of cleaning the mask and projecting the layout pattern of the cleaned mask in the above embodiment can be stored in the optical disc 1021 or the magnetic disk 1022 and transmitted to the hard disk 1014, the above-mentioned optical disc 1021 Or the disk 1022 is inserted into the optical disk drive 1005 or the disk drive 1006 . Alternatively, the above programs can be transmitted to the computer 1001 through a network (not shown) and stored in the hard disk 1014 . At execution time, the program is loaded into random access memory (RAM) 1013 . The above-mentioned program can be loaded from the optical disc 1021 or the magnetic disk 1022, and the above-mentioned program can also be directly loaded from the network. The above programs do not necessarily include, for example, an operating system (OS) or a third-party program to enable the computer 901 to execute the functions of the control system for cleaning the mask and projecting the layout pattern of the cleaned mask in the above embodiments. The above program may only include a command part to call the appropriate function (module) in a controlled mode and obtain the desired result.

本揭露的一個態樣涉及一種方法,包括:從光罩庫擷取光罩;將光罩轉移至第一曝光裝置;在第一曝光裝置中以第一極紫外輻射在預定照射時間照射光罩的表面來清潔光罩的表面;及在清潔光罩的表面之後,將光罩轉移至用於微影操作的第二曝光裝置。在一些實施例中,所述方法更包括:將第一曝光裝置、第二曝光裝置與光罩庫保持在真空環境下。在一些實施例中,光罩係從光罩庫所擷取,且藉由快速交換裝置的機械手臂來將光罩轉移至第一曝光裝置;所述方法更包括:藉由快速交換裝置的機械手臂來將光罩從第一曝光裝置轉移至第二曝光裝置。在一些實施例中,所述方法更包括:藉由第二極紫外輻射將光罩的佈局圖案投影至晶圓的光阻層上;及顯影光阻層以在晶圓上產生光阻圖案。在一些實施例中,第一極紫外輻射係由第一極紫外光源所產生,第二極紫外輻射係由不同於第一極紫外光源的第二極紫外光源所產生。在一些實施例中,所述方法更包括:對晶圓的表面進行成像以在晶圓上產生光阻圖案的影像;分析光阻圖案的影像以決定光阻圖案的臨界尺寸均勻性;及如果臨界尺寸均勻性不滿足閥值臨界尺寸均勻性,則增加預定照射時間。在一些實施例中,所述方法更包括:重複進行清潔光罩的表面、將光罩的佈局圖案投影至晶圓的光阻層上、顯影光阻層、對晶圓的表面進行成像、分析光阻圖案的影像、以及增加照射時間,直到臨界尺寸均勻性滿足閥值臨界尺寸均勻性;及將預定照射時間調整為對應閥值臨界尺寸均勻性的照射時間。One aspect of the present disclosure relates to a method comprising: retrieving a reticle from a reticle library; transferring the reticle to a first exposure device; irradiating the reticle with a first EUV radiation for a predetermined exposure time in the first exposure device cleaning the surface of the photomask; and after cleaning the surface of the photomask, transferring the photomask to a second exposure device for lithography. In some embodiments, the method further includes: maintaining the first exposure device, the second exposure device and the mask library in a vacuum environment. In some embodiments, the mask is retrieved from the mask library, and the mask is transferred to the first exposure device by the robot arm of the quick exchange device; the method further includes: by the robot of the quick exchange device Arm to transfer the reticle from the first exposure unit to the second exposure unit. In some embodiments, the method further includes: projecting the layout pattern of the mask onto the photoresist layer of the wafer by the second EUV radiation; and developing the photoresist layer to generate the photoresist pattern on the wafer. In some embodiments, the first EUV radiation is generated by a first EUV light source and the second EUV radiation is generated by a second EUV light source different from the first EUV light source. In some embodiments, the method further includes: imaging the surface of the wafer to generate an image of the photoresist pattern on the wafer; analyzing the image of the photoresist pattern to determine CDU of the photoresist pattern; and if If the critical dimension uniformity does not meet the threshold critical dimension uniformity, the predetermined irradiation time is increased. In some embodiments, the method further includes: repeatedly cleaning the surface of the photomask, projecting the layout pattern of the photomask onto the photoresist layer of the wafer, developing the photoresist layer, imaging the surface of the wafer, analyzing image of the photoresist pattern, and increasing the irradiation time until the CDU meets the threshold CDU; and adjusting the predetermined irradiation time to the irradiation time corresponding to the threshold CDU.

本揭露的另一個態樣涉及一種方法,包括:在第一曝光裝置中以第一極紫外光源的極紫外輻射在照射時間照射光罩的表面來清潔光罩的表面;在清潔光罩的表面之後,將光罩從第一曝光裝置轉移至用於微影操作的第二曝光裝置;及使用第二極紫外光源的極紫外輻射在第二曝光裝置中將光罩的佈局圖案投影至晶圓的光阻層上。在一些實施例中,所述方法更包括:將光罩的佈局圖案投影至晶圓的光阻層上之後,顯影光阻層以在晶圓上產生光阻圖案。在一些實施例中,上述光罩為反射光罩,所述方法更包括:在第一曝光裝置處以極紫外光束照射光罩的整個表面來清潔光罩的表面;從光罩的整個表面將反射光聚焦至影像偵測器上以產生偵測反射影像;在照射時間之期間監控偵測反射影像;及當在特定時間量中偵測反射影像的每個點的增加低於閥值時,則停止清潔光罩的表面。在一些實施例中,所述方法更包括:藉由連續地取樣影像偵測器的偵測反射影像來連續地掃描光罩的整個表面,以在清潔光罩的表面之期間在第一曝光裝置處產生偵測掃描訊號,其中每次的偵測掃描訊號對應至光罩的表面上的位置;在照射時間之期間監控每個位置的偵測掃描訊號;及當在特定時間量中每個位置的偵測掃描訊號的增加低於閥值時,則停止清潔光罩的表面。在一些實施例中,所述方法更包括:藉由圖案辨識演算法、資料探勘演算法及神經網路演算法之其中一者來分析偵測掃描訊號以決定需要清潔之光罩的表面的複數個對應位置。在一些實施例中,第一曝光裝置的第一極紫外光源產生13.5奈米的極紫外輻射。在一些實施例中,所述方法更包括:將第一曝光裝置與第二曝光裝置保持在真空環境下。Another aspect of the present disclosure relates to a method, comprising: cleaning the surface of the reticle by irradiating the surface of the reticle with EUV radiation from a first EUV light source during the irradiation time in a first exposure device; cleaning the surface of the reticle Thereafter, transferring the reticle from the first exposure device to a second exposure device for lithography; and projecting the layout pattern of the reticle onto the wafer in the second exposure device using EUV radiation from a second EUV light source on the photoresist layer. In some embodiments, the method further includes: after projecting the layout pattern of the photomask onto the photoresist layer of the wafer, developing the photoresist layer to generate a photoresist pattern on the wafer. In some embodiments, the above-mentioned photomask is a reflective photomask, and the method further includes: cleaning the surface of the photomask by irradiating the entire surface of the photomask with an extreme ultraviolet beam at the first exposure device; focusing light onto the image detector to produce a detected reflected image; monitoring the detected reflected image during an illumination time; and when the increase in each point of the detected reflected image is below a threshold for a specified amount of time, then Stop cleaning the surface of the reticle. In some embodiments, the method further includes continuously scanning the entire surface of the reticle by continuously sampling the detected reflection images of the image detector to clean the surface of the reticle during the first exposure device A detection scan signal is generated where each detection scan signal corresponds to a position on the surface of the reticle; the detection scan signal at each position is monitored during the exposure time; and when each position is within a specified amount of time When the increase of the detection scan signal is lower than the threshold value, the cleaning of the surface of the mask is stopped. In some embodiments, the method further includes: analyzing the detection scan signal by one of a pattern recognition algorithm, a data mining algorithm, and a neural network algorithm to determine a plurality of surfaces of the reticle that need to be cleaned. Corresponding position. In some embodiments, the first EUV light source of the first exposure device produces EUV radiation at 13.5 nm. In some embodiments, the method further includes: maintaining the first exposure device and the second exposure device in a vacuum environment.

本揭露的又一個態樣涉及一種系統,包括:主控制器、耦接至主控制器的分析器模組、具有可延伸機械手臂的快速交換裝置、第一曝光裝置與第二曝光裝置。第一曝光裝置包括第一光罩載台與第一極紫外光源。第一光罩載台用以固持光罩。第二曝光裝置包括第二光罩載台、第二極紫外光源、載台與光學系統。載台用以固持晶圓。主控制器用以命令第一極紫外光源開啟,第一極紫外光源開啟以由第一極紫外光源照射極紫外輻射,且第一極紫外光源開啟以在第一曝光裝置的第一光罩載台中以第一極紫外光源的極紫外輻射在預定照射時間照射光罩的表面來清潔光罩的表面。在清潔光罩的表面之後,主控制器用以命令快速交換裝置藉由可延伸機械手臂將光罩從第一曝光裝置轉移至用於微影操作的第二曝光裝置的第二光罩載台。將光罩從第一曝光裝置轉移至第二曝光裝置的第二光罩載台之後,主控制器用以命令第二極紫外光源開啟,第二極紫外光源開啟以由第二極紫外光源照射極紫外輻射,且第二極紫外光源開啟以透過光學系統將光罩的佈局投影至晶圓的光阻層上。在一些實施例中,所述系統更包括顯影系統,用以在將光罩的佈局投影至晶圓的光阻層上之後顯影光阻層且用以在晶圓上產生光阻圖案。在一些實施例中,上述第二曝光裝置還包括成像裝置,安裝於載台之上,其中,回應於來自主控制器的命令,成像裝置用以在晶圓的表面上擷取經顯影後的光阻圖案的影像且用以將所擷取的影像傳輸到分析器模組;其中分析器模組用以決定在晶圓上的光阻圖案的臨界尺寸均勻性。在一些實施例中,所述系統更包括光罩庫與壓力控制器,光罩庫用以固持複數個光罩,壓力控制器耦接至主控制器,壓力控制器用以在真空環境下保持第一曝光裝置、第二曝光裝置與光罩庫的壓力。在一些實施例中,所述系統更包括光罩庫,其中以極紫外輻射照射光罩的表面之前,主控制器用以傳送命令至快速交換裝置以從光罩庫擷取光罩且用以將光罩轉移至第一曝光裝置。在一些實施例中,第一極紫外光源與第二極紫外光源具有13.5奈米的波長。Yet another aspect of the present disclosure relates to a system including: a main controller, an analyzer module coupled to the main controller, a rapid exchange device with an extendable robot arm, a first exposure device and a second exposure device. The first exposure device includes a first photomask stage and a first extreme ultraviolet light source. The first photomask carrier is used for holding the photomask. The second exposure device includes a second mask stage, a second EUV light source, a stage and an optical system. The stage is used to hold the wafer. The main controller is used to command the first EUV light source to be turned on, the first EUV light source to be turned on to irradiate EUV radiation by the first EUV light source, and the first EUV light source to be turned on to be in the first photomask stage of the first exposure device The surface of the photomask is cleaned by irradiating the surface of the photomask with EUV radiation from the first EUV light source for a predetermined irradiation time. After cleaning the surface of the photomask, the main controller is used to command the fast exchange device to transfer the photomask from the first exposure device to the second photomask stage of the second exposure device for lithography operation by means of the extendable robot arm. After the photomask is transferred from the first exposure device to the second photomask stage of the second exposure device, the main controller is used to command the second EUV light source to be turned on, and the second EUV light source is turned on to irradiate the electrode by the second EUV light source. and the second EUV light source is turned on to project the layout of the mask onto the photoresist layer of the wafer through the optical system. In some embodiments, the system further includes a development system for developing the photoresist layer after projecting the layout of the photomask onto the photoresist layer of the wafer and for generating a photoresist pattern on the wafer. In some embodiments, the above-mentioned second exposure device further includes an imaging device installed on the stage, wherein, in response to a command from the main controller, the imaging device is used to capture the developed light on the surface of the wafer The image of the resist pattern is used to transmit the captured image to the analyzer module; wherein the analyzer module is used to determine the CD uniformity of the photoresist pattern on the wafer. In some embodiments, the system further includes a photomask library and a pressure controller. The photomask library is used to hold a plurality of photomasks. The pressure controller is coupled to the main controller. The pressure controller is used to maintain the first photomask in a vacuum environment. The pressure of the first exposure device, the second exposure device and the mask library. In some embodiments, the system further includes a mask library, wherein before irradiating the surface of the mask with EUV radiation, the main controller is configured to send a command to the fast exchange device to retrieve the mask from the mask library and to transfer The photomask is transferred to the first exposure device. In some embodiments, the first EUV light source and the second EUV light source have a wavelength of 13.5 nm.

如上述實施例所述,藉由極紫外(EUV)輻射來分解沉積在光罩的表面上的顆粒以及分解沉積在光罩的表面上的碳氫化合物層,以清潔光罩的表面。不以溶劑而藉由使用極紫外(EUV)輻射來清潔光罩的表面上的顆粒與碳氫化合物層。As described in the above embodiments, the surface of the photomask is cleaned by using extreme ultraviolet (EUV) radiation to decompose the particles deposited on the surface of the photomask and to decompose the hydrocarbon layer deposited on the surface of the photomask. The particle and hydrocarbon layers on the surface of the reticle are cleaned without solvents by using extreme ultraviolet (EUV) radiation.

以上概述了數個實施例的特徵,因此熟習此技藝者可以更了解本揭露的態樣。熟習此技藝者應了解到,其可輕易地把本揭露當作基礎來設計或修改其他的製程與結構,藉此實現和在此所介紹的這些實施例相同的目標及/或達到相同的優點。熟習此技藝者也應可明白,這些等效的建構並未脫離本揭露的精神與範圍,並且他們可以在不脫離本揭露精神與範圍的前提下做各種的改變、替換與變動。The features of several embodiments are outlined above, so those skilled in the art can better understand aspects of the present disclosure. Those skilled in the art should appreciate that they can easily use this disclosure as a basis to design or modify other processes and structures to achieve the same goals and/or achieve the same advantages as the embodiments described herein. . Those skilled in the art should also understand that these equivalent constructions do not depart from the spirit and scope of the present disclosure, and they can make various changes, substitutions and changes without departing from the spirit and scope of the present disclosure.

10:半導體基板 15:光阻層 29:輻射 30:基板 34:半導體裝置 35:反射層 37,39:薄膜 40:覆蓋層 45:吸收層 50:輻射束 50’:反射束 55:佈局圖案 80:光罩 100:輻射源 102:光阻塗佈操作 104:施加後烘烤(PAB)操作 105:遮罩擷取操作 106:遮罩載入與清潔操作 108:遮罩載入與曝光操作 110:曝光後烘烤(PEB)操作 112:顯影操作 150,300:製程流程 200:快速交換裝置(RED) 202:光罩庫 204:第一可動區段 205:第一樞軸點 205a,205b:光學元件 205c:反射遮罩 205d,205e:縮小投影光學元件 206:機器人裝置 208:第二可動區段 210:目標半導體基板 212:第一曝光裝置 214:第二曝光裝置 240:快速交換裝置(RED)控制器 302:線 400:曝光裝置 406:真空壓力控制器 408:壓力感測器 500:剖視圖 550:曝光配置 560,660:載台 565,665:載台控制器 600:檢查系統 617:均勻束 619:聚焦束 630,830:分析器模組 633:影像處理單元 634:透鏡 635:掃描成像裝置 702:顆粒 704:汙染物層 705:光源 706:表面 710:影像偵測器系統 732:座標 734:時間座標 738:曲線 800:控制系統 814:神經網路模組 816:機器學習模組 818:資料探勘模組 840:主控制器 900:製程 1000:電腦系統 1001:電腦 1002:鍵盤 1003:滑鼠 1004:螢幕顯示器 1005:光碟驅動器 1006:磁碟驅動器 1011:微處理單元 1012:唯讀記憶體 1013:隨機存取記憶體 1014:硬碟 1015:匯流排 1021:光碟 1022:磁碟 A:角度 S1:水平 S910,S920,S930,S940:操作 T1:時間 10: Semiconductor substrate 15: Photoresist layer 29: Radiation 30: Substrate 34: Semiconductor device 35: reflective layer 37,39: film 40: Overlay 45: Absorbent layer 50:Radiation Beam 50': reflected beam 55: Layout pattern 80: mask 100: radiation source 102: Photoresist Coating Operation 104: Post-apply bake (PAB) operation 105: Mask extraction operation 106: Mask loading and cleaning operations 108: Mask loading and exposure operation 110: Post Exposure Baking (PEB) Operation 112: Developing operation 150,300: Process flow 200: Rapid exchange device (RED) 202: Mask library 204: the first movable section 205: First Pivot Point 205a, 205b: optical components 205c: Reflection mask 205d, 205e: Reduced projection optics 206:Robot device 208: the second movable section 210: Target semiconductor substrate 212: The first exposure device 214: The second exposure device 240: Rapid exchange device (RED) controller 302: line 400: exposure device 406: Vacuum pressure controller 408: Pressure sensor 500: Cutaway view 550:Exposure configuration 560,660: carrier 565,665: Stage controllers 600: Check system 617: uniform beam 619:Focused Beam 630,830: Analyzer modules 633: Image processing unit 634: lens 635: Scanning imaging device 702: particles 704: Pollutant layer 705: light source 706: surface 710: Image detector system 732: Coordinates 734: time coordinates 738: curve 800: Control system 814:Neural network module 816:Machine Learning Module 818:Data Mining Module 840: main controller 900: Process 1000: computer system 1001: computer 1002: keyboard 1003: mouse 1004: screen display 1005: CD drive 1006:Disk drive 1011: Microprocessing unit 1012: ROM 1013: random access memory 1014: hard disk 1015: busbar 1021:CD 1022: Disk A: Angle S1: Horizontal S910, S920, S930, S940: Operation T1: time

結合附圖,根據以下詳細描述可以最好地理解本揭示內容的各態樣。注意,根據行業中的標準實務,各種特徵未按比例繪製。實際上,為了討論清楚起見,各種特徵的尺寸可任意增加或減小。 第1圖為在半導體基板上產生光阻圖案的製程流程。 第2圖為用於在不同位置之間轉移光罩的快速交換裝置。 第3圖為根據本揭露的一些實施例之在半導體基板上產生光阻圖案的製程流程。 第4圖為用於在晶圓上產生光阻圖案的曝光裝置的示意圖。 第5A圖至第5B圖為反射光罩結構的剖視圖以及將反射光罩結構投影在曝光裝置中的半導體裝置上。 第6圖為設置在半導體基板上的光阻圖案的檢查系統。 第7A圖至第7F圖為根據本揭露的一些實施例之用於測量來自反射光罩的反射投影光的曝光裝置。 第8圖為根據本揭露的一些實施例之控制系統用於清潔光罩且用於在半導體基板上投影經清潔的光罩的佈局圖案。 第9圖為根據本揭露的一些實施例之用於清潔光罩且用於在半導體基板上投影經清潔的光罩的佈局圖案之示例製程的流程圖。 第10A圖至第10B圖為根據本揭露的一些實施例之用於清潔光罩且用於在半導體基板上投影經清潔的光罩的佈局圖案之裝置。 Aspects of the present disclosure are best understood from the following detailed description, taken in conjunction with the accompanying drawings. Note that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion. Figure 1 is a process flow for producing a photoresist pattern on a semiconductor substrate. Figure 2 shows a quick changer for transferring reticles between different locations. FIG. 3 is a process flow for producing a photoresist pattern on a semiconductor substrate according to some embodiments of the present disclosure. FIG. 4 is a schematic diagram of an exposure apparatus for producing photoresist patterns on a wafer. 5A-5B are cross-sectional views of the reflective mask structure and the projection of the reflective mask structure on the semiconductor device in the exposure apparatus. FIG. 6 is an inspection system for a photoresist pattern provided on a semiconductor substrate. 7A-7F are exposure apparatuses for measuring reflected projection light from a reflective mask according to some embodiments of the present disclosure. FIG. 8 is a layout pattern of a control system for cleaning a reticle and for projecting the cleaned reticle on a semiconductor substrate according to some embodiments of the present disclosure. 9 is a flowchart of an example process for cleaning a reticle and for projecting a layout pattern of the cleaned reticle on a semiconductor substrate, according to some embodiments of the present disclosure. 10A-10B are apparatuses for cleaning a reticle and for projecting a layout pattern of the cleaned reticle on a semiconductor substrate according to some embodiments of the present disclosure.

國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無 Domestic deposit information (please note in order of depositor, date, and number) none Overseas storage information (please note in order of storage country, institution, date, and number) none

102:光阻塗佈操作 102: Photoresist Coating Operation

104:施加後烘烤(PAB)操作 104: Post-apply bake (PAB) operation

105:遮罩擷取操作 105: Mask extraction operation

108:曝光操作 108: Exposure operation

110:曝光後烘烤(PEB)操作 110: Post Exposure Baking (PEB) Operation

112:顯影操作 112: Developing operation

150:製程流程 150: Process flow

Claims (20)

一種方法,包括: 從一光罩庫擷取一光罩; 將該光罩轉移至一第一曝光裝置; 在該第一曝光裝置中以一第一極紫外輻射在一預定照射時間照射該光罩的一表面來清潔該光罩的該表面;及 在清潔該光罩的該表面之後,將該光罩轉移至用於微影操作的一第二曝光裝置。 A method comprising: retrieving a mask from a mask library; transferring the mask to a first exposure device; cleaning a surface of the reticle by irradiating the surface of the reticle with a first EUV radiation for a predetermined exposure time in the first exposure device; and After cleaning the surface of the reticle, the reticle is transferred to a second exposure apparatus for lithography. 如請求項1所述之方法,更包括: 將該第一曝光裝置、該第二曝光裝置與該光罩庫保持在一真空環境下。 The method as described in Claim 1, further comprising: The first exposure device, the second exposure device and the photomask library are kept in a vacuum environment. 如請求項1所述之方法,其中該光罩係從該光罩庫所擷取,且藉由一快速交換裝置的一機械手臂來將該光罩轉移至該第一曝光裝置;及 該方法更包括:藉由該快速交換裝置的該機械手臂來將該光罩從該第一曝光裝置轉移至該第二曝光裝置。 The method of claim 1, wherein the reticle is retrieved from the reticle library, and the reticle is transferred to the first exposure device by a robotic arm of a rapid exchange device; and The method further includes: transferring the mask from the first exposure device to the second exposure device by the robot arm of the quick exchange device. 如請求項1所述之方法,更包括: 藉由一第二極紫外輻射將該光罩的一佈局圖案投影至一晶圓的一光阻層上;及 顯影該光阻層以在該晶圓上產生一光阻圖案。 The method as described in Claim 1, further comprising: projecting a layout pattern of the reticle onto a photoresist layer of a wafer by a second EUV radiation; and The photoresist layer is developed to generate a photoresist pattern on the wafer. 如請求項4所述之方法,其中該第一極紫外輻射係由一第一極紫外光源所產生,其中該第二極紫外輻射係由不同於該第一極紫外光源的一第二極紫外光源所產生。The method of claim 4, wherein the first EUV radiation is produced by a first EUV light source, and wherein the second EUV radiation is produced by a second EUV source different from the first EUV light source. produced by the light source. 如請求項4所述之方法,更包括: 對該晶圓的一表面進行成像以在該晶圓上產生該光阻圖案的一影像; 分析該光阻圖案的該影像以決定該光阻圖案的一臨界尺寸均勻性;及 如果該臨界尺寸均勻性不滿足一閥值臨界尺寸均勻性,則增加該預定照射時間。 The method as described in claim item 4, further comprising: imaging a surface of the wafer to produce an image of the photoresist pattern on the wafer; analyzing the image of the photoresist pattern to determine a critical dimension uniformity of the photoresist pattern; and If the CDU does not satisfy a threshold CDU, the predetermined irradiation time is increased. 如請求項6所述之方法,更包括: 重複進行清潔該光罩的該表面、將該光罩的該佈局圖案投影至該晶圓的該光阻層上、顯影該光阻層、對該晶圓的該表面進行成像、分析該光阻圖案的該影像、以及增加一照射時間,直到該臨界尺寸均勻性滿足該閥值臨界尺寸均勻性;及 將該預定照射時間調整為對應該閥值臨界尺寸均勻性的該照射時間。 The method as described in Claim 6, further comprising: repeatedly cleaning the surface of the photomask, projecting the layout pattern of the photomask onto the photoresist layer of the wafer, developing the photoresist layer, imaging the surface of the wafer, analyzing the photoresist the image of the pattern, and increasing an exposure time until the CDU satisfies the threshold CDU; and The predetermined irradiation time is adjusted to the irradiation time corresponding to the threshold CD uniformity. 一種方法,包括: 在一第一曝光裝置中以一第一極紫外光源的一極紫外輻射在一照射時間照射一光罩的一表面來清潔該光罩的該表面; 在清潔該光罩的該表面之後,將該光罩從該第一曝光裝置轉移至用於微影操作的一第二曝光裝置;及 使用一第二極紫外光源的一極紫外輻射在該第二曝光裝置中將該光罩的一佈局圖案投影至一晶圓的一光阻層上。 A method comprising: cleaning a surface of a reticle by irradiating a surface of a reticle with an EUV radiation of a first EUV light source for an exposure time in a first exposure device; after cleaning the surface of the reticle, transferring the reticle from the first exposure device to a second exposure device for lithography; and A layout pattern of the reticle is projected onto a photoresist layer of a wafer in the second exposure device using an EUV radiation from a second EUV light source. 如請求項8所述之方法,更包括: 將該光罩的該佈局圖案投影至該晶圓的該光阻層上之後,顯影該光阻層以在該晶圓上產生一光阻圖案。 The method as described in Claim 8, further comprising: After projecting the layout pattern of the mask onto the photoresist layer of the wafer, the photoresist layer is developed to generate a photoresist pattern on the wafer. 如請求項8所述之方法,其中該光罩為一反射光罩,該方法更包括: 在該第一曝光裝置處以一極紫外光束照射該光罩的一整個表面來清潔該光罩的該表面; 從該光罩的該整個表面將一反射光聚焦至一影像偵測器上以產生一偵測反射影像; 在該照射時間之期間監控該偵測反射影像;及 當在一特定時間量中該偵測反射影像的每個點的一增加低於一閥值時,則停止清潔該光罩的該表面。 The method as described in Claim 8, wherein the mask is a reflective mask, and the method further includes: irradiating an entire surface of the reticle with an EUV beam at the first exposure device to clean the surface of the reticle; focusing a reflected light from the entire surface of the mask onto an image detector to generate a detected reflected image; monitor the detected reflected image during the exposure time; and Cleaning of the surface of the reticle is stopped when an increase in each point of the detected reflected image is below a threshold for a specified amount of time. 如請求項8所述之方法,其中該光罩為一反射光罩,該方法更包括: 藉由連續地取樣一影像偵測器的一偵測反射影像來連續地掃描該光罩的一整個表面,以在清潔該光罩的該表面之期間在該第一曝光裝置處產生一偵測掃描訊號,其中每次的該偵測掃描訊號對應至該光罩的該表面上的一位置; 在該照射時間之期間監控每個該位置的該偵測掃描訊號;及 當在一特定時間量中每個該位置的該偵測掃描訊號的一增加低於一閥值時,則停止清潔該光罩的該表面。 The method as described in Claim 8, wherein the mask is a reflective mask, and the method further includes: continuously scanning an entire surface of the reticle by continuously sampling a detected reflected image of an image detector to generate a detection at the first exposure device during cleaning of the surface of the reticle scanning signals, wherein each detection scanning signal corresponds to a position on the surface of the reticle; monitor the detection scan signal at each of the locations during the exposure time; and Cleaning of the surface of the reticle is stopped when an increase in the detect scan signal per the position for a specified amount of time is below a threshold. 如請求項11所述之方法,更包括: 藉由一圖案辨識演算法、一資料探勘演算法及一神經網路演算法之其中一者來分析該偵測掃描訊號以決定需要清潔之該光罩的該表面的複數個對應位置。 The method as described in Claim 11, further comprising: The detection scan signal is analyzed by one of a pattern recognition algorithm, a data mining algorithm, and a neural network algorithm to determine a plurality of corresponding positions on the surface of the mask that need to be cleaned. 如請求項8所述之方法,其中該第一曝光裝置的該第一極紫外光源產生13.5奈米的該極紫外輻射。The method of claim 8, wherein the first EUV light source of the first exposure device generates the EUV radiation at 13.5 nm. 如請求項8所述之方法,更包括: 將該第一曝光裝置與該第二曝光裝置保持在一真空環境下。 The method as described in Claim 8, further comprising: The first exposure device and the second exposure device are kept in a vacuum environment. 一種系統,包括: 一主控制器; 一分析器模組,耦接至該主控制器; 一快速交換裝置,具有一可延伸機械手臂; 一第一曝光裝置,包括: 一第一光罩載台,用以固持一光罩;及 一第一極紫外光源; 一第二曝光裝置,包括: 一第二光罩載台; 一第二極紫外光源; 一載台,用以固持一晶圓;及 一光學系統; 其中,該主控制器用以命令該第一極紫外光源開啟,該第一極紫外光源開啟以由該第一極紫外光源照射一極紫外輻射,且該第一極紫外光源開啟以在該第一曝光裝置的該第一光罩載台中以該第一極紫外光源的該極紫外輻射在一預定照射時間照射該光罩的一表面來清潔該光罩的該表面; 其中,在清潔該光罩的該表面之後,該主控制器用以命令該快速交換裝置藉由該可延伸機械手臂將該光罩從該第一曝光裝置轉移至用於微影操作的該第二曝光裝置的該第二光罩載台; 其中,將該光罩從該第一曝光裝置轉移至該第二曝光裝置的該第二光罩載台之後,該主控制器用以命令該第二極紫外光源開啟,該第二極紫外光源開啟以由該第二極紫外光源照射一極紫外輻射,且該第二極紫外光源開啟以透過該光學系統將該光罩的一佈局投影至一晶圓的一光阻層上。 A system comprising: a master controller; an analyzer module coupled to the main controller; a quick exchange device having an extendable mechanical arm; A first exposure device, comprising: a first photomask carrier for holding a photomask; and a first extreme ultraviolet light source; A second exposure device, comprising: a second photomask carrier; a second extreme ultraviolet light source; a stage for holding a wafer; and an optical system; Wherein, the main controller is used to command the first EUV light source to be turned on, the first EUV light source is turned on to irradiate an EUV radiation from the first EUV light source, and the first EUV light source is turned on to irradiating a surface of the photomask with the EUV radiation of the first EUV light source in the first photomask stage of the exposure device for a predetermined irradiation time to clean the surface of the photomask; Wherein, after cleaning the surface of the photomask, the main controller is used to command the quick exchange device to transfer the photomask from the first exposure device to the second photolithography operation by the extensible robot arm. the second mask stage of an exposure device; Wherein, after the photomask is transferred from the first exposure device to the second photomask stage of the second exposure device, the main controller is used to command the second EUV light source to turn on, and the second EUV light source to turn on An EUV radiation is irradiated by the second EUV light source, and the second EUV light source is turned on to project a layout of the mask onto a photoresist layer of a wafer through the optical system. 如請求項15所述之系統,更包括: 一顯影系統,用以在將該光罩的該佈局投影至該晶圓的該光阻層上之後顯影該光阻層且用以在該晶圓上產生一光阻圖案。 The system as described in Claim 15, further comprising: A development system for developing the photoresist layer after projecting the layout of the mask onto the photoresist layer of the wafer and for producing a photoresist pattern on the wafer. 如請求項16所述之系統,其中該第二曝光裝置還包括: 一成像裝置,安裝於該載台之上,其中,回應於來自該主控制器的一命令,該成像裝置用以在該晶圓的該表面上擷取經顯影後的該光阻圖案的一影像且用以將所擷取的該影像傳輸到該分析器模組; 其中該分析器模組用以決定在該晶圓上的該光阻圖案的一臨界尺寸均勻性。 The system according to claim 16, wherein the second exposure device further comprises: an imaging device mounted on the stage, wherein in response to a command from the main controller, the imaging device is used to capture an image of the developed photoresist pattern on the surface of the wafer and for transmitting the captured image to the analyzer module; Wherein the analyzer module is used to determine a critical dimension uniformity of the photoresist pattern on the wafer. 如請求項16所述之系統,更包括: 一光罩庫,用以固持複數個光罩;及 一壓力控制器,耦接至該主控制器,其中該壓力控制器用以在一真空環境下保持該第一曝光裝置、該第二曝光裝置與該光罩庫的一壓力。 The system as described in claim 16, further comprising: a mask library for holding a plurality of masks; and A pressure controller is coupled to the main controller, wherein the pressure controller is used to maintain a pressure of the first exposure device, the second exposure device and the photomask library under a vacuum environment. 如請求項15所述之系統,更包括: 一光罩庫,其中以該極紫外輻射照射該光罩的該表面之前,該主控制器用以傳送一命令至該快速交換裝置以從該光罩庫擷取該光罩且用以將該光罩轉移至該第一曝光裝置。 The system as described in Claim 15, further comprising: a reticle library, wherein the master controller is configured to send a command to the fast exchange device to retrieve the reticle from the reticle library and use the photomask before irradiating the surface of the reticle with the EUV radiation The mask is transferred to the first exposure unit. 如請求項15所述之系統,其中該第一極紫外光源與該第二極紫外光源具有13.5奈米的波長。The system of claim 15, wherein the first EUV light source and the second EUV light source have a wavelength of 13.5 nm.
TW110128970A 2021-06-25 2021-08-05 Method and system of cleaning surface of reticle TWI807381B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/358,776 US11687012B2 (en) 2021-06-25 2021-06-25 Reduce mask defect impact by contamination decompose
US17/358,776 2021-06-25

Publications (2)

Publication Number Publication Date
TW202301024A true TW202301024A (en) 2023-01-01
TWI807381B TWI807381B (en) 2023-07-01

Family

ID=83696442

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110128970A TWI807381B (en) 2021-06-25 2021-08-05 Method and system of cleaning surface of reticle

Country Status (3)

Country Link
US (2) US11687012B2 (en)
CN (1) CN115248534A (en)
TW (1) TWI807381B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021120747A1 (en) * 2021-08-10 2023-02-16 Carl Zeiss Sms Ltd. Method of removing a particle from a mask system
US20230375949A1 (en) * 2022-05-23 2023-11-23 Taiwan Semiconductor Manufacturing Company Ltd. Methods of cleaning a lithography system

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010095087A (en) * 2000-03-30 2001-11-03 시마무라 테루오 Exposure apparatus, exposure method, and method of manufacturing device
JP3628939B2 (en) * 2000-06-27 2005-03-16 松下電器産業株式会社 Exposure method and exposure apparatus
US6924492B2 (en) 2000-12-22 2005-08-02 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and device manufactured thereby
TW511139B (en) 2001-12-28 2002-11-21 United Microelectronics Corp Apparatus for on-line cleaning a wafer chuck with laser
US6829035B2 (en) * 2002-11-12 2004-12-07 Applied Materials Israel, Ltd. Advanced mask cleaning and handling
SG139554A1 (en) * 2002-12-20 2008-02-29 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
US7477358B2 (en) * 2004-09-28 2009-01-13 Nikon Corporation EUV reticle handling system and method
JP2006114650A (en) * 2004-10-14 2006-04-27 Canon Inc Photolithography apparatus, scanning photolithography apparatus, device manufacturing method, original plate cleaning method, and the original plate
JP2007027419A (en) * 2005-07-15 2007-02-01 Canon Inc Exposure device
US7605343B2 (en) 2006-05-24 2009-10-20 Electro Scientific Industries, Inc. Micromachining with short-pulsed, solid-state UV laser
KR100817066B1 (en) * 2006-10-11 2008-03-27 삼성전자주식회사 Euv exposure apparatus in-situ performing exposing substrate and cleaning optical element and cleaning method of optical element included in the apparatus
JP2008147314A (en) * 2006-12-07 2008-06-26 Canon Inc Method and device for cleaning, exposure device comprising the same
US10953441B2 (en) 2013-03-15 2021-03-23 Kla Corporation System and method for cleaning optical surfaces of an extreme ultraviolet optical system
DE102015204521A1 (en) 2015-03-12 2016-10-27 Carl Zeiss Smt Gmbh Cleaning device for an EUV lithography system, EUV lithography system and cleaning method
US9885952B2 (en) 2015-07-29 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of EUV mask cleaning

Also Published As

Publication number Publication date
TWI807381B (en) 2023-07-01
US20220413400A1 (en) 2022-12-29
US20230280665A1 (en) 2023-09-07
CN115248534A (en) 2022-10-28
US11687012B2 (en) 2023-06-27

Similar Documents

Publication Publication Date Title
US7379175B1 (en) Methods and systems for reticle inspection and defect review using aerial imaging
US20230280665A1 (en) Reduce mask defect impact by contamination decompose
JP2020515077A (en) Probability-based weighing and processing
JP3249374B2 (en) Device manufacturing process where the process is controlled by a near-field image latent image introduced into the energy sensitive photoresist material
US9552963B2 (en) Charged particle beam writing apparatus and method therefor
JP2007520058A (en) Removable pellicle for immersion lithography
TWI833664B (en) Apparatus and methods for generating denoising model
JP3412898B2 (en) Method and apparatus for manufacturing reflective mask, exposure apparatus and device manufacturing method using the reflective mask
US20230384693A1 (en) Enhancing lithography operation for manufacturing semiconductor devices
JP2023538380A (en) Measuring method and related measuring device for measuring exposure pattern
KR20230044214A (en) Dual-scanning opto-mechanical construct for improved throughput in particle inspection systems
JP2024016068A (en) EUV mask pattern inspection device and EUV mask pattern inspection method
US11429027B2 (en) Photolithography method and apparatus
TWI792538B (en) Lithography method, lithography process and lithography system
TWI832125B (en) Method of manufacturing semiconductor circuit and semiconductor manufacturing system
JP2019200277A (en) Euv mask inspection device and euv mask inspection method
US11614691B2 (en) High throughput and high position accurate method for particle inspection of mask pods
JP2020518786A (en) System and method for multiple image particle detection
US20220365438A1 (en) Photolithography method and apparatus
TW202303127A (en) Defect inspection device, defect inspection method, and method for manufacturing photomask blank
JP2004266300A (en) Reflecting mask
JP2024506526A (en) Systems and methods for contaminant detection
JP2003151902A (en) Aligner and semiconductor device manufactured thereby
CN117616319A (en) Optical element for use in a metrology system
JP2005072259A (en) Substrate processing apparatus and error processing method