TW202242998A - 具有獨立溫控的電漿處理 - Google Patents

具有獨立溫控的電漿處理 Download PDF

Info

Publication number
TW202242998A
TW202242998A TW111100041A TW111100041A TW202242998A TW 202242998 A TW202242998 A TW 202242998A TW 111100041 A TW111100041 A TW 111100041A TW 111100041 A TW111100041 A TW 111100041A TW 202242998 A TW202242998 A TW 202242998A
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
nitrogen
radicals
hydrogen
Prior art date
Application number
TW111100041A
Other languages
English (en)
Inventor
煒 劉
弗拉迪米爾 納戈尼
雷尼 喬治
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202242998A publication Critical patent/TW202242998A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本揭示案之實施例大體係關於電感耦合電漿源、電漿處理裝置及電漿處理之獨立溫控。在至少一個實施例中,一種方法包括將製程氣體引入至氣體注入通道中,及在氣體注入通道內產生電感耦合電漿。電漿包括選自氧、氮、氫、NH及氦之至少一種自由基物質。該方法包括藉由使電漿流經在電漿源與基板之間的分離柵格而將電漿自電漿源輸送至與其耦合之製程腔室。該方法包括處理基板。處理基板包括使包括該至少一種自由基物質之電漿與基板之面向分離柵格的第一側接觸,及使用位於基板之與分離柵格相對的第二側上之複數個燈來加熱基板。

Description

具有獨立溫控的電漿處理
本揭示案之實施例大體係關於電感耦合電漿源、電漿處理裝置及其使用方法,以及電漿處理之獨立溫控。
電漿處理在半導體行業中用於半導體基板及其他基板之沉積、蝕刻、抗蝕劑去除及相關處理。電漿源通常用於電漿處理以產生高密度電漿及反應性物質以用於處理基板。
電漿的形成將分子分解成自由基(及/或產生離子),該等自由基可用以在腔室中實現基板處理。通常,氣體混合物被餽入電感耦合電漿(inductively coupled plasma; ICP)源之電漿產生區域(主動區),且在高電場區域中加速之電子使此混合物電離並離解,從而形成具有自由基及離子之新氣體(電漿)。
用於高電漿產生效率及寬工作範圍之習知ICP源具有與ICP線圈相鄰之相對小的主動區。此些主動區經設計以在線圈周圍之此區中提供電子約束,且因此電漿形成主要被約束在彼區中。然而,當電漿被導向基板時,物質之間會發生一些化學反應,從而降低自由基的數目,但結果會形成諸多新物質。
因此,需要改良之電感耦合電漿源及電漿處理裝置,及其使用方法。另外,需要電漿處理之精確且獨立的溫控。
本揭示案之實施例大體係關於電漿處理方法。
在至少一個實施例中,一種電漿處理方法包括將製程氣體引入至氣體注入通道中,及在氣體注入通道內產生電感耦合電漿。電漿包括選自氧、氮、氫、NH及氦之至少一種自由基物質。該方法包括藉由使電漿流經在電漿源與基板之間的分離柵格而將電漿自電漿源輸送至與其耦合之製程腔室。該方法包括處理基板。處理基板包括使包括該至少一種自由基物質之電漿與基板之面向分離柵格的第一側接觸,及使用位於基板之與分離柵格相對的第二側上之複數個燈來加熱基板。
在另一實施例中,一種電漿處理方法包括將製程氣體引入至被限定在氣體注入插入件與電漿源的側壁之間的氣體注入通道中,及藉由定位成靠近側壁且與氣體注入通道水平重疊之電感線圈在氣體注入通道內產生電漿。電漿包括氮或NH自由基中之至少一者。該方法包括將電漿自電漿源輸送至與其耦合之製程腔室。電漿流經被安置在電漿源與待處理的基板之間的分離柵格。在製程腔室內處理基板包括使電漿與基板之面向分離柵格的第一側接觸,及使用位於基板之與分離柵格相對的第二側上之複數個燈來加熱基板。
在又一實施例中,一種電漿處理方法包括將製程氣體引入至被限定在氣體注入插入件與電漿源的側壁之間的氣體注入通道中,及藉由定位成靠近側壁且與氣體注入通道水平重疊之電感線圈在氣體注入通道內產生氫電漿。氫電漿包括氫自由基。該方法包括將氫電漿自電漿源輸送至與該電漿源耦合之製程腔室。氫電漿流經被安置在電漿源與待處理的基板之間的分離柵格。在製程腔室內處理基板包括使包括氫自由基之氫電漿與基板之面向分離柵格的第一側接觸,及使用位於基板之與分離柵格相對的第二側上之複數個燈來加熱基板。
本揭示案之實施例大體係關於高效電感耦合電漿源及電漿處理裝置,及其使用方法。除了將高密度中性電漿物質(例如,非習知物質)高效輸送至基板以外,本揭示案之源及裝置可提供改良的電漿均勻性以用於處理基板。習知假設在電漿源之主動區中更高效地產生物質會自動導致在基板附近有較多彼些物質,但發明人已注意到,此為不真實的(至少不完整的)假設。
出於說明及論述目的,參考「基板」或半導體晶圓論述本揭示案之態樣。使用本文所提供之揭示內容,一般技藝人士將理解可與任何適當的半導體基板或其他適當基板相關聯地使用本揭示案之實例態樣。「基板支撐件」代表可用以支撐基板之任何結構。
現參考諸圖,現將闡述本揭示案之實例實施例。第1圖描繪實例電漿處理裝置100。電漿處理裝置100包括處理腔室110及與該處理腔室110耦合之電漿源120(例如,遠端電漿源)。處理腔室110包括可用以固持基板114之基板支撐件112。在一些實施例中,基板具有小於1 mm之厚度。基板支撐件112可靠近一或更多個熱源(例如,複數個燈176),在製程腔室110中處理基板期間,該一或更多個熱源向基板提供熱。可使用任何適當熱源(諸如,一或更多個燈,諸如,一或更多個快速熱處理燈)或經由經加熱之基座(例如,具有內嵌在其中或與其耦合之電阻式加熱元件的基座)來提供熱。在操作中,該等熱源實現了基板之獨立溫控,以下更詳細地進行描述。
如第1圖中所示,處理腔室110包括窗口162(諸如,圓頂)及複數個燈176。該複數個燈176安置在窗口162與腔室110的底壁之間。複數個燈176呈陣列定位。可將複數個燈176佈置在環繞處理腔室110之中心的複數個同心環中。複數個燈176可包括100個或更多個燈,諸如200個或更多個燈,諸如自200個燈至500個燈,諸如自200個燈至300個燈,諸如240個燈,諸如自300個燈至400個燈,諸如自400個燈至500個燈,諸如400個燈。複數個燈176中之每一者的功率為自400 W至1000 W,諸如自500 W至800 W,諸如自500 W至600 W,諸如自600 W至700 W,諸如自645 W,諸如自700 W至800 W。自複數個燈176至基板之距離為約50 mm或更小,諸如自約5 mm至約50 mm,諸如自約5 mm至約20 mm,諸如約12.5 mm,諸如自約20 mm至約50 mm,諸如約36.5 mm。
控制器(未示出)耦合至腔室110,且可用以控制本文所述之腔室製程,包括控制複數個燈176。基板支撐件112安置在分離柵格116與窗口162之間。複數個感測器(未示出)可被安置成靠近燈176及/或基板支撐件112中之一或更多者以量測腔室110內之溫度。該複數個感測器可包括一或更多個紅外高溫計或微型高溫計。在某些實施例中,一或更多個高溫計包括2個、3個或4個高溫計。在某些實施例中,高溫計具有3.3 μm之波長,儘管通常,商用高溫計波長通常自約0.5 μm至約14 μm變化。在一些實施例中,高溫計為底部高溫計,意謂高溫計定位在基板下方,諸如,靠近複數個燈176。
基板支撐件112與軸165耦合。該軸連接至致動器178,該致動器178提供軸及基板支撐件之旋轉移動(圍繞軸線A)。致動器178可或者或另外在處理期間提供軸165之高度調整。
基板支撐件112包括安置於其中之升舉銷孔166。升舉銷孔166經確定大小以容納升舉銷164,以用於在執行沉積製程之前或之後自基板支撐件112升舉基板114。當基板114自處理位置下降至移送位置時,升舉銷164可擱置在升舉銷止動件168上。
可藉由電感線圈130在電漿源120中(例如,在電漿產生區域中)產生電漿,且所需粒子經由設置在分離柵格116中之孔126自電漿源120流動至基板114之表面,該分離柵格116將電漿源120與處理腔室110(下游區域)分離開。
電漿源120包括介電側壁122。電漿源120包括頂蓋124(例如,頂板)。與插入件140整合在一起之介電側壁122及頂蓋124限定了電漿源內部體積125(例如,腔室內部體積)。介電側壁122可包括任何適當介電材料,諸如,石英。電感線圈130被安置成靠近圍繞電漿源120之介電側壁122(例如,與其相鄰)。電感線圈130經由任何適當匹配網路132耦合至RF功率產生器134。自氣體供應器150將進料氣體引入電漿源內部體積125中。當藉由來自RF功率產生器134之RF功率使電感線圈130通電時,在電漿源120中產生電漿。在一些實施例中,以約1 kW至約15 kW(諸如,約3 kW至約10 kW)向線圈130提供RF功率。電感線圈130可在寬的壓力及流量範圍內點燃並維持電漿。在一些實施例中,電漿處理裝置100包括接地法拉第護罩128以降低電感線圈130與電漿的電容性耦合。
為了提高效率,電漿處理裝置100包括安置在電漿源內部體積125中之氣體注入插入件140。氣體注入通道151經由主動區172(例如,主動區域)將製程氣體提供至電漿源內部體積125,其中由於熱電子增強的約束,熱電子與進料氣體之間會發生反應。增強的電子約束區域或主動區172係由氣體注入插入件之側壁及真空管在徑向方向上且由插入件之底表面180的邊緣自底部在垂直方向上限定。主動區172在電漿源內部體積125內提供電子約束區域,以用於高效的電漿產生及維持。狹窄的氣體注入通道151會防止電漿自腔室內部體積散佈至氣體通道151中。通道151之直徑可為約1 mm或更大,諸如約10 mm或更大,諸如約1 mm至約10 mm。氣體注入插入件140迫使製程氣體經過在其中形成電漿之主動區172。
只要與自由基直接接觸之壁係由具有自由基的低重組率之材料製成,氣體注入插入件140提高電漿處理裝置100(例如,電漿反應器)之效率的能力便與氣體注入插入件140之材料無關。舉例而言,在一些實施例中,氣體注入插入件140可由金屬(諸如,鋁材料)製成,該金屬具有經配置以降低表面重組之塗層。或者,氣體注入插入件140可為介電材料(諸如,石英材料)或絕緣材料。
線圈130與主動區域對準,以此方式使得線圈之頂匝在插入件140之底表面180上方且大體上在內部體積的主動區域中操作,而同時線圈之底匝在底表面180下方且大體上在主動區域外部操作。線圈中心大體上與底表面180對準。在此些邊界內,吾人可調整線圈位置以獲得所需效能。線圈與底表面180(例如,表面邊緣)之對準提供了改良的源效率,即,提供了用於電漿製程之所需化學物質的受控產生並以降低或消除損失的方式將其輸送至晶圓。舉例而言,電漿維持條件(區域產生與離子損失之間的平衡)對於產生用於電漿製程之物質而言可能並非最佳。關於物質向基板之輸送,效率可能取決於此些特定物質之體積及壁重組。因而,控制線圈與底表面180之對準提供了對電漿製程之源效率的控制。
在一些實施例中,線圈在引線附近具有短的過渡區域,且線圈匝的其餘部分平行於底表面180,在其他實施例中,線圈為螺旋形的,但吾人可始終限定線圈之頂匝及底匝。在一些實施例中,線圈可具有2~5匝。
在一些實施例中,表面180藉由利用適當大小之插入件140(及頂蓋124,其可為插入件140之預成形部分)沿軸線184(例如,對準水平面)與電感線圈130的一部分(例如,線圈環182)對準,以形成電漿源120。或者,表面180可沿垂直方向V 1相對於電漿源120移動,而同時插入件140之其餘部分靜止(例如,固定)作為電漿源120的一部分,以便提供表面180與線圈130的一部分之對準。舉例而言,機構170可與插入件140之任何適當部分耦合以調整表面180的位置,以使得插入件140之具有第一長度(L 1)的部分被調整為第二長度(L 2)。機構170可為任何適當機構,諸如,致動器,例如,馬達、電動馬達、步進馬達或氣動致動器。在一些實施例中,L 1與L 2長度之差(Δ)為約0.1 cm至約4 cm,諸如,約1 cm至約2 cm。
或者或另外,插入件140可耦合至機構(諸如,機構170),且機構170經配置以使整個插入件140垂直移動(例如,沿垂直方向V 1相對於電漿源120),以便使表面180與線圈130的一部分對準。可使用間隔物(未示出)填充插入件140與電漿源120的另一部分(諸如,在頂蓋124與介電側壁122之間)之間的(若干)縫隙,該(該等)縫隙係由於垂直移動插入件而形成。間隔物可由(例如)陶瓷材料(諸如,石英)形成。
大體上,將線圈130中心定位在表面180上方將增加電離及解離之效率,但會降低此些物質至基板之運輸效率,因為許多物質中可能在狹窄主動區域之壁上重組。將線圈130定位在表面180下方可提高電漿輸送效率,但可能減小電漿產生效率。
分離柵格116經配置以將處理腔室110區域與電漿帶電粒子(離子或電子)分離,該等電漿帶電粒子在柵格上重組,使得僅中性電漿物質可經過柵格進入處理腔室110中。分離柵格116之底部部分中的孔可具有不同圖案,例如,如第6a圖、第6b圖中所示之均勻圖案600。在一些實施例中,分離柵格600由鋁、陽極化鋁、石英、氮化鋁、氧化鋁、鉭、氮化鉭、鈦、氮化鈦或其(若干)組合形成。舉例而言,AlN可有益於氮自由基之通量,而習知分離柵格更易於發生氮自由基重組。類似地,氧化鋁可提供氧或氫自由基之通量,而習知分離柵格更易於發生其重組。在一些實施例中,分離柵格600具有複數個孔602。如第6b圖中所示,孔602被安置成穿過分離柵格(例如,孔602橫穿分離柵格之厚度)。孔602可具有約4 mm至約6 mm之平均直徑。在一些實施例中,複數個孔602中之每一孔具有約4 mm至約6 mm之直徑(D 1)。在一些實施例中,第6a圖、第6b圖之分離柵格600具有約5 mm至約10 mm之厚度,該厚度限定孔長度(L 1)。柵格厚度(長度(L 1))與複數個孔的平均直徑之比率可大於約1,諸如,約1至約3。
排放裝置192與製程腔室110之側壁耦合。在一些實施例中,排放裝置192可與製程腔室110之底壁耦合,以提供方位獨立性(例如,若非旋轉基座)。若燈為旋轉的,則排放裝置192可與側壁耦合,因為旋轉會減輕方位依賴性。
現將參考第2圖、第3圖、第4圖及第5圖描述ICP源及電漿處理裝置之各種特徵。第2圖、第3圖、第4圖及第5圖為根據本揭示案之一些實施例的電漿處理裝置之示意圖。第2圖、第3圖、第4圖及第5圖之電漿處理裝置可以與電漿處理裝置100(第1圖)類似的方式構造,且按以上針對處理裝置100所述之方式操作。將理解,在替代實例實施例中,第2圖、第3圖、第4圖及第5圖之電漿處理裝置的部件亦可併入任何其他適當電漿處理裝置中。
如第2圖中所示,電漿處理裝置200包括處理腔室220,該處理腔室220具有安置在其中之分離柵格(未示出)。電漿處理裝置200包括沿垂直方向V之電漿源222。基板可在處理腔室中定位成直接在柵格下方且距柵格一定的距離,且來自電漿源內部體積230之中性粒子可朝向處理腔室220中之基板向下流經分離柵格,且中性粒子可接觸基板以執行製程,例如,表面處理製程。
複數個電感線圈250沿垂直方向V以不同位置安置在電漿源222上,例如,以使得電感線圈(例如,252及254)沿電漿源222沿垂直方向V彼此間隔開。舉例而言,電感線圈250可包括第一電感線圈252及第二電感線圈254。第一電感線圈252可沿介電側壁232之垂直表面定位在第一垂直位置處。第二電感線圈254可沿介電側壁232之垂直表面定位在第二垂直位置處。第一垂直位置可與第二垂直位置不同。舉例而言,第一垂直位置可在第二垂直位置上方。在一些實施例中,如以上所述,第一電感線圈252的一部分可與插入件之表面180大體上對準。第二電感線圈254安置在電漿源200之底部(例如,下部)部分處。第二電感線圈包括(若干)磁場集中器280,從而允許將線圈放置在電漿源之底部,如第2圖中所示。使用磁場集中器280提高了源底部處之電漿產生效率,並顯著提高了基板附近之徑向控制(與無磁場集中器相比較而言)。在一些實施例中,電感線圈254安置在電漿源222之底部1/3高度(諸如,底部1/4高度)處。
電感線圈250(252,254)可用以在電漿源內部體積230內產生(或改質)電感電漿。舉例而言,電漿處理裝置200可包括與線圈252耦合之射頻功率產生器262(例如,RF產生器及匹配網路)。電感線圈254耦合至第二RF產生器264(例如,RF產生器及匹配網路)。分別由第一RF產生器262施加至第一電感線圈252及由第二RF產生器264施加至第二電感線圈254之RF能量的頻率及/或功率可為獨立的,以便更佳地控制表面處理製程之製程參數。
舉例而言,由第二RF產生器264施加之RF能量的頻率及/或功率可小於由第一RF產生器262施加之RF能量的頻率及/或功率。射頻功率產生器262可用以使電感線圈252通電以在電漿源內部體積230中產生電感電漿。特定而言,射頻功率產生器262可藉由射頻(radio frequency; RF)之交變電流(alternating current; AC)使電感線圈252通電,以使得AC在電感線圈252附近之體積內部引發交變磁場及電場,其加熱電子以產生電感電漿。在一些實施例中,以約1 kW至約15 kW(諸如,約3 kW至約15 kW)向線圈252提供RF功率。電感線圈252可在寬的壓力及流量範圍內點燃並維持電漿。
射頻功率產生器264可用以使電感線圈254通電以在電漿源內部體積230中產生及/或改質電漿。特定而言,射頻功率產生器264可藉由射頻(RF)之交變電流(AC)使電感線圈254通電,以使得在與電感線圈254相鄰之體積內部的電感性RF電場使電子加速以產生電漿。在一些實施例中,以約0.5 kW至約6 kW(諸如,約0.5 kW至約3 kW)向線圈254提供RF功率。電感線圈254可改質電漿處理裝置200中之電漿密度,例如,電感線圈254可調諧電漿之徑向輪廓以促成朝向腔室220中之基板移動的額外電漿均勻性。因為在使用期間線圈252比線圈254離基板更遠,所以線圈252所產生之電漿及自由基可在基板附近促成圓頂形輪廓,且當電漿接近基板時,線圈254可使圓頂形電漿輪廓變平(或甚至升高邊緣)。
介電側壁232定位在電感線圈250與電漿源222之間。介電側壁232具有大體圓柱形之形狀。電接地法拉第護罩234可由金屬製成及/或定位在電感線圈250與介電側壁232之間。法拉第護罩234具有圓柱形形狀且繞介電側壁232安置。接地法拉第護罩234延伸了電漿源222之長度。介電側壁232含有電漿源內部體積230內之電漿,以允許來自電感線圈250之RF場經過以到達電漿源內部體積230,且接地法拉第護罩234降低了線圈250與電漿源內部體積230內之電漿的電容耦合。在一些實施例中,法拉第護罩234可為具有垂直於線圈方向之插槽的金屬圓筒。垂直插槽在線圈區域中(例如,與線圈相鄰),而線圈之至少一個垂直端部(在線圈上方或下方)具有圍繞圓筒之完整電流路徑。法拉第護罩可具有任何適當厚度,及/或插槽可具有任何適當形狀。即使在利用螺旋線圈時,在(若干)線圈附近,插槽可相對窄(例如,約0.5 cm至約2 cm)且大體上垂直。
如上所述,每一電感線圈250沿垂直方向V在電漿源222上安置在不同位置處,與電漿源222之介電側壁的垂直部分相鄰。以此方式,每一電感線圈250可用以沿電漿源222之介電側壁232的垂直表面在與線圈相鄰之區域中產生(或改質)電漿。
在一些實施例中,電漿處理裝置200包括一或更多個氣體注入埠270,其被安置成穿過電漿源222之氣體注入插入件240,自注入插入件240徑向向外。氣體注入埠270及插入件之側面形狀可用以在電漿源內部體積230之周邊處將製程氣體直接注入與介電側壁232之垂直表面相鄰的主動電漿產生區域中。舉例而言,可能存在被安置成穿過插入件240之大於20(例如,70~200)個垂直注入孔。舉例而言,第一電感線圈252可用以在靠近介電側壁232之垂直表面的區域272中產生電漿。第二電感線圈254可用以產生或改質存在於靠近介電側壁232之垂直表面的區域275中之電漿。在一些實施例中,氣體注入插入件240可進一步限定電漿源內部體積230中之與介電側壁232的垂直表面相鄰之用於產生電漿的主動區域。本揭示案之氣體注入插入件的頂部部分可具有約10 cm至約15 cm之直徑。本揭示案之氣體注入插入件的底部部分可具有約7 cm至約10 cm之直徑。
電漿處理裝置200可具有底部邊緣氣體注入埠290,其經配置以將與氣體注入埠270向電漿源內部體積230提供之氣體相同或不同的氣體引入體積210中。邊緣氣體注入埠290與製程腔室220耦合且為製程腔室220之頂板。邊緣氣體注入埠290包括圓形氣室292,氣體經由入口294被引入該圓形氣室292中。氣體經由一或更多個開口296自氣室292流至體積210中。邊緣氣體注入埠290可提供對基板邊緣附近之電漿化學性質的精細調諧,及/或改良基板處之電漿均勻性。舉例而言,邊緣氣體注入埠290可提供對流量(同一氣體)的改質,及/或對化學性質(電漿自由基與新進料氣體或不同氣體之間的化學反應)之改質。
相對於已知電漿處理裝置而言,電漿處理裝置200具有改良的源可調諧性。舉例而言,電感線圈250可沿介電側壁232之垂直表面定位在兩個位置,以使得靠近主動電漿產生區域之頂部線圈252的功能為在電漿源內部體積230中之電漿點燃及維持,且放置在源底部處之第二線圈254的功能允許有利的源可調諧性。由於使用磁場集中器280,因此第二線圈之低定位係可能的,此提供了線圈與電漿而非周圍金屬(例如,290)之耦合。以此方式,藉由電漿處理裝置200在基板上執行之處理製程可更均勻。
第3圖為電漿處理裝置300之示意圖。處理裝置300包括電漿源322及處理腔室220。電漿源322包括插入件302,其具有周邊氣體注入埠270及中心氣體注入埠310。中心氣體注入埠310係由形成氣室316之頂板318及底板340形成。底板340具有複數個孔(直通孔)312,以使得中心氣體注入埠310/插入件302具有複數個孔(直通孔)312以用於將製程氣體提供至中心製程區域314中。由插入件302之部分(即,中心氣體注入埠310及側壁320)提供中心製程區域314之尺寸。側壁320具有圓柱形形狀且為介電材料。舉例而言,側壁320由石英或氧化鋁形成。由介電側壁232及插入件302(即,氣體注入埠270及側壁324)提供周邊製程區域272之尺寸。側壁324(一般言之,及插入件302)可具有圓柱形形狀。側壁324表面材料可為介電材料或金屬。舉例而言,側壁324由鋁形成且可覆蓋有石英或氧化鋁,或具有裸露或陽極化之鋁表面。另外,第一法拉第護罩(未示出)可安置在線圈252與介電側壁232之間。同樣,第二法拉第護罩(未示出)可安置在線圈254與側壁320之間。在一些實施例中,側壁320為石英或陶瓷及/或具有約2.5 mm至約5 mm之厚度。
由周邊氣體注入埠270經由導管326提供至周邊製程區域272之製程氣體的流動速率可大於由中心氣體注入埠310提供至中心製程區域314之製程氣體的流動速率。在一些實施例中,由周邊氣體注入埠270提供之製程氣體的流動速率與由中心氣體注入埠310提供之製程氣體的流動速率之比率為約2:1至約20:1,諸如,約5:1至約10:1。向周邊製程區域272提供比向中心製程區域314提供之流動速率更高的流動速率會提供電漿在存在於處理腔室220中之基板的基板表面處之改良的中心-邊緣均勻性。
處理裝置300進一步包括周邊線圈252及中心線圈254。由周邊線圈252提供之RF功率可大於由中心線圈254提供之RF功率。在一些實施例中,由周邊線圈252提供之RF功率與由中心線圈254提供之RF功率的比率為約2:1至約20:1,諸如約3:1至約10:1,諸如約5:1。若未使中心線圈通電,則二次電漿源充當輔助氣體注入,其會降低由主線圈252朝向基板中心產生之自由基及離子/電子的通量。因為在習知電漿製程期間電漿密度在基板中心處通常較高,所以向周邊電感線圈254提供比向中心電感線圈252提供之RF功率更大的RF功率會促進在基板之(若干)邊緣部分處增大電漿密度,從而改良電漿均勻性。中心及邊緣區域之間的電漿分離器304(圓柱形突起)改良了獨立中心-邊緣電漿控制的能力。
周邊線圈252及中心線圈254可用以在電漿源內部體積330內產生(或改質)電感電漿。舉例而言,電漿處理裝置300可包括與周邊線圈252耦合之射頻功率產生器262(例如,RF產生器及匹配網路)。中心線圈254耦合至第二RF產生器264(例如,RF產生器及匹配網路)。分別由第一RF產生器262施加至周邊線圈252及由第二RF產生器264施加至中心線圈254之RF能量的頻率及/或功率可經調整而為相同的或不同的,以便控制基板處理製程之製程參數。
舉例而言,由第二RF產生器264施加之RF能量的頻率及/或功率可小於由第一RF產生器262施加之RF能量的頻率及/或功率。射頻功率產生器262可用以使周邊線圈252通電以在電漿源內部體積330中產生電感電漿。特定而言,射頻功率產生器262可藉由射頻(RF)之交變電流(AC)使周邊線圈252通電,以使得AC在周邊線圈252內部引發交變磁場,其加熱氣體以產生電感電漿。在一些實施例中,以約1 kW至約15 kW(諸如,約3 kW至約10 kW)向周邊線圈252提供RF功率。
射頻功率產生器264可用以使中心線圈254通電以在電漿源322之中心區域314中產生及/或改質電感電漿。特定而言,射頻功率產生器264可藉由射頻(RF)之交變電流(AC)使中心線圈254通電,以使得AC在電感線圈254內部引發交變磁場,其加熱氣體以產生及/或改質電感電漿。在一些實施例中,以約0.3 kW至約3 kW(諸如,約0.5 kW至約2 kW)向中心線圈254提供RF功率。中心線圈254可改質電漿處理裝置300中之電漿,例如,中心線圈254可調諧電漿之徑向輪廓以促成朝向腔室220中之基板移動的額外電漿均勻性。
在一些實施例中,電漿處理裝置300包括氣體注入埠270,其可用以沿介電側壁232之垂直表面在區域272周邊處注入製程氣體,從而限定與介電側壁232之垂直表面相鄰的(若干)主動電漿產生區域。舉例而言,周邊線圈252可用以在靠近介電側壁232之垂直表面的區域272中產生電漿。中心線圈254可用以產生及/或改質存在於靠近側壁320之垂直表面的中心區域314中之電漿。在一些實施例中,氣體注入插入件302可進一步限定電漿源內部體積中之與介電側壁232的垂直表面及側壁320之垂直表面相鄰的用於產生電漿之主動區域。
實務上,可為基板提供在區域314中形成之製程電漿與在區域272中形成之製程電漿的部分重疊。總之,周邊及中心製程氣體注入埠(270,310)及電感線圈(252,254)可提供改良的電漿及製程均勻性(中心至邊緣之電漿控制),以用於藉由電漿處理基板。為了增強中心至邊緣之製程控制,插入件302包括分離器304。分離器304可為與惰性底表面180耦合(例如,沿其安置)之均勻圓柱形分離器。
另外,在其中由中心氣體注入埠310提供之製程氣體與由周邊氣體注入埠270提供之製程氣體不同的實施例中,與使用習知電漿源之習知電漿製程相比較而言,可獲得新的電漿化學性質。舉例而言,可提供在習知電漿處理中無法獲得之對基板的有利處理。舉例而言,若吾人將電漿產生之自由基及受激發物質之流(例如,區域272之一些實施例)與富含不同種類電漿物質(例如,不同自由基)之不同電漿流混合,便可產生獨特的電漿混合物。另外,可在利用表面180與線圈252的一部分對準(例如,如上所述)之實施例中獲得此些獨特電漿化學性質的形成。
第4圖為電漿處理裝置400之示意圖。電漿處理裝置400包括電漿源422。電漿源422包括氣體注入插入件402,其可與頂蓋、周邊氣體注入埠270及中心氣體注入埠410整合在一起。中心氣體注入埠410安置在氣體注入插入件402內,以使中心氣體注入埠410與氣體注入插入件402之氣體分配氣室416流體耦合。氣體分配氣室416為製程氣體提供增加的直徑(與埠410之直徑相比較而言),以在製程氣體進入插入件402的底部與分配平臺414之間的排放區域之前使製程氣體均勻分配。一旦經由孔412提供了氣體,平臺414便提供第二氣體分配氣室418(例如,區域)並促成氣體向外流動至電漿源422之周邊(例如,流至區域272中)。在本揭示案之一些實施例中,不存在用以形成孔412之材料,且形成較大氣室。平臺414可經由複數個螺栓或螺釘(未示出)與插入件402耦合。平臺414可由石英或陶瓷製成。平臺414可具有允許不同材料之任何適當設計。與習知電漿處理裝置相比較而言,由平臺414促成之氣體向外/側向流動可影響處理期間氣體/電漿至基板之流動分佈,從而改良中心至邊緣之均勻性。另外,氣體之此種向外流動至與電漿源400之電漿產生區域(例如,272)相鄰的區域提供了益處。因為可在與線圈130之頂部部分相鄰的區域272中產生高電漿密度,所以電場不會穿透遠離線圈,因而來自中心注入410-416-414之氣體不會經歷大量電離或解離,但該氣體會與主動區域272中產生之高密度自由基及離子在化學上相互作用。自由基及離子均有化學活性,並與來自中心注入410-416-414之新進料氣體相互作用。與使用電漿處理腔室之習知電漿源相比較而言,新的進料氣體、自由基及離子可產生新的電漿化學性質。舉例而言,若吾人將電漿產生之自由基及受激發物質之流(例如,區域272之一些實施例)與不經過具有熱電子之電漿產生區域272的新氣流(例如,由注入埠410及平臺414/第二氣體分配氣室418提供之製程氣體)混合,則可產生獨特的電漿混合物。舉例而言,吾人可將在來自H 2進料氣體(例如,來自由注入埠270提供之氣體)之電漿中獲得的H +及H -自由基流與氧氣O 2流(例如,來自由注入埠410提供之氣體)混合,其中吾人可顯著增加與相關於電感線圈130之主動區域272相鄰的區域中之HO 2、HO、H 2O 2及其他非平衡分子等的比例。另外,可在利用表面180邊緣與線圈130的一部分對準(例如,如上所述)之實施例中獲得此些獨特電漿化學性質的形成。
在一些實施例中,由周邊氣體注入埠270提供之製程氣體的流動速率與由中心氣體注入埠410提供之製程氣體的流動速率之比率為約20:1至約1:20,諸如約10:1至約1:10,諸如約2:1至約1:2,諸如約1.2:1至約1:1.2,諸如約1:1。此些流動速率可提供不同製程氣體之化學計量(例如,大體上等莫耳之量),以提供形成於區域272中之電漿中的化學物質之所需密度。
另外,由中心氣體注入埠410及平臺414/第二氣體分配氣室418提供之向外/側向流動可改質電漿源400內之流動模式,從而影響自由基向基板的輸送分佈。舉例而言,在其中由中心注入埠410提供之製程氣體與由周邊注入埠270提供之製程氣體大體上相同的實施例中,促成了朝向基板邊緣之更多電漿流動,從而改良了中心-邊緣電漿分佈(例如,提供給基板之電漿的均勻性)。
另外,在其中由中心氣體注入埠410提供之製程氣體與由周邊氣體注入埠270提供之製程氣體不同的實施例中,與使用習知電漿源之習知電漿製程相比較而言,可獲得新的電漿化學性質。舉例而言,可提供在習知電漿處理中無法獲得之對基板的有利處理。舉例而言,若吾人將電漿產生之自由基及受激發物質之流(例如,區域272之一些實施例)與不經過具有熱電子之電漿區域的新氣流混合,便可產生獨特的電漿混合物。舉例而言,吾人可將在來自H 2進料氣體之電漿中獲得的H +及H -自由基流與氧氣O 2流混合,其中吾人可在電漿處理裝置400之在區域272下游的區域中產生大量不同自由基,如HO 2、H 2O 2分子,等。另外,可在利用表面180與線圈252的一部分對準(例如,如上所述)之實施例中獲得此些獨特電漿化學性質的形成。
第5圖為電漿處理裝置500之示意圖。處理裝置500包括電漿源522及處理腔室220。電漿源522包括氣體注入插入件240、周邊氣體注入埠270、中心氣體注入埠510及頂蓋124。中心氣體注入埠510可安置成靠近壁550(例如,與壁550相鄰)。中心氣體注入包括具有大體圓柱形之氣室/歧管的埠510,及沿氣室均勻散佈之複數個成角度的注入出口512。氣體注入插入件240可同樣具有大體圓柱形之形狀。中心氣體注入埠510具有成角度的出口512以促成由中心注入埠510及成角度的出口512提供之製程氣體的向外/側向流動。成角度的出口512可具有相對於垂直軸線(諸如,垂直軸線186,其平行於處理裝置500之軸向中心線及/或電漿源522之軸向中心線)約0度至約90度之角度,諸如約30度至約60度,諸如約45度。
與習知電漿處理裝置相比較而言,由成角度的出口512促成之氣體向外/側向流動可影響處理期間氣體/電漿至基板之流動分佈,從而改良中心至邊緣之均勻性。另外,因為可在與線圈130相鄰之區域中產生高電漿密度(且電場不會穿透遠離線圈),所以與使用電漿處理腔室之習知電漿製程相比較而言,可獲得新的電漿化學性質。舉例而言,若吾人將電漿產生之自由基及受激發物質之流(例如,區域272之一些實施例)與不經過具有熱電子之電漿區域的新氣流(例如,由注入埠510及成角度的出口512提供之製程氣體)混合,則可產生獨特的電漿混合物。舉例而言,吾人可將在來自H 2進料氣體(例如,來自由注入埠270提供之氣體)之電漿中獲得的H +及H -自由基流與氧氣O 2流(例如,來自由注入埠510提供之氣體)混合,其中吾人可在與電感線圈130相鄰之製程區域272中產生如HO 2、H 2O 2等之分子自由基。另外,可在利用線圈130與表面180對準(如上所述)之實施例中獲得此些獨特電漿化學性質的形成。
在一些實施例中,由周邊氣體注入埠270提供之製程氣體的流動速率與由中心氣體注入埠510提供之製程氣體的流動速率之比率為約2:1至約1:2,諸如約1.2:1至約1:1.2,諸如約1:1。此些流動速率可提供不同製程氣體之化學計量(例如,大體上等莫耳之量),以提供形成於區域272中之電漿中的化學物質之所需密度。
另外,由中心氣體注入埠510及成角度的出口512提供之向外/側向流動可改質電漿源522內之流動模式,從而影響自由基向基板的輸送分佈。舉例而言,在其中由中心注入埠510提供之製程氣體與由周邊注入埠270提供之製程氣體大體上相同的實施例中,促成了朝向基板邊緣之更多電漿流動,從而改良了中心-邊緣電漿分佈(例如,提供給基板之電漿的均勻性)。
另外,第5圖之氣體注入插入件240在底表面180處具有固定邊緣,從而限定標記電感線圈130之軸線184的主動區域。線圈130與表面180大體上對準,以此方式使得線圈之頂匝定位在軸線184(表面180)上方,且底匝定位在邊緣下方。吾人可基於製程結果在此範圍內進一步調整線圈的位置。線圈垂直中心與表面180之對準提供了改良的源效率,即,受控地產生用於電漿製程之所需化學物質並以最小損失將其輸送至晶圓。舉例而言,電漿維持條件(區域產生與離子損失之間的平衡)對於產生用於電漿製程之物質而言可能不好用。關於物質向基板之輸送,效率可能取決於此些特定物質之體積及壁重組。因而,控制線圈130與表面180之對準提供了對電漿製程之源效率的控制。
在一些實施例中,藉由利用適當大小之插入件240形成電漿源120,插入件240之底表面與限定線圈之主動區域的插入件之底表面180的邊緣部分對準(此對準位準被示為軸線184)。或者,可使用插入件240之如第5圖中所示的可移動中心部分而使得插入件240之底表面為可撓性的,而插入件240之其餘部分為固定的,作為電漿源120的一部分。舉例而言,機構170可與插入件240之中心部分電子耦合,以調整該中心部分,以使得插入件240之具有第一位置的中心部分被調整至第二位置。在一些實施例中,第一位置與第二位置之位置差(Δ)為約0.1 cm至約10 cm,諸如約1 cm至約2 cm。機構170可為任何適當機構,諸如,致動器,例如,馬達、電動馬達、步進馬達或氣動致動器。插入件240之中心部分藉由機構170的移動增大或減小了中心部分與頂蓋124之間的空間。
大體上,沿垂直方向V向下移動插入件240之中心部分將降低活性物質朝向基板中心之流動,並因此減小中心對邊緣之處理速率之比,而向上移動中心部分將增大中心對邊緣之處理速率之比。
儘管已獨立地描述了諸圖,但應理解,來自一個圖之一或更多個實施例可有益地與不同圖之一或更多個實施例合併。舉例而言,第1圖之氣體注入插入件140或第2圖之氣體注入插入件240可為第3圖之氣體注入插入件302、第4圖之氣體注入插入件402,或第5圖之氣體注入插入件240及中心氣體注入埠510的配置。作為另一非限制性實例,作為具有第3圖之電漿處理裝置300、第4圖之電漿處理裝置400及第5圖之電漿處理裝置500的實施例,可將氣體注入埠290包括在內。
第7圖為可與電漿源一起使用之電感線圈130。電感線圈130包括複數個線圈環,包括線圈環182。電感線圈130包括3個完整線圈,但可設想更多個或更少個線圈。舉例而言,對於13.56 MHz之RF頻率,電感線圈可具有2~6個完整的匝。可利用更多匝獲得更低RF頻率。
第8圖為用於藉由本揭示案之電漿處理裝置來電漿處理基板的方法800之流程圖。方法800可包括將製程氣體引入電漿處理源中(810)。可基於特定基板處理應用來選擇製程氣體及其流動速率。大體上,製程氣體可包括N 2、NH 3、O 2、H 2或He中之至少一者,且流動速率可為約100 sccm至約3000 sccm。然而,可設想其他製程氣體及其他流動速率。方法800進一步包括提供射頻功率以在電漿源內產生電感電漿(820)。可基於特定基板處理應用控制射頻功率。大體上,射頻功率可為約1 kW至約10 kW,然而,亦可設想其他功率位準。自電漿源之內部區域,電感電漿之中性粒子及/或自由基流經分離柵格流至處理腔室內之基板。儘管在第1圖中示出分離柵格,但可在無分離柵格的情況下執行方法800。
方法800進一步包括在製程腔室內處理基板(830)。可基於特定基板處理應用控制處理腔室之溫度及壓力。通常,溫度可為約200℃至約1200℃,且壓力可為約0.25托至約5托。然而,預期其他溫度及壓力。處理腔室中之基板可暴露於在經過分離柵格之電感電漿中產生的中性粒子及/或自由基。特定而言,電漿(包括其中所含之中性粒子及/或自由基)接觸基板之面向電漿源的第一側。在一些實施例中,使用被安置成與基板之第一側相對的複數個燈加熱基板。舉例而言,可使用中性粒子及/或自由基作為基板之表面處理製程的一部分。特定而言,可選擇氣體流動速率及/或氣體比率,以使得基板之表面被中性粒子及/或自由基的反應物供應飽和。本文所揭示之裝置提供反應性物質之表面飽和的能力歸因於極高密度之源及電漿源與基板之間縮短的距離。
在不具有表面飽和之電漿處理操作中,反應性物質至基板表面之到達速率決定了反應性物質之反應及/或合併的速率。然而,使用本文所揭示之裝置及/或方法,由於高物質通量,使得反應性物質在表面上飽和,使得反應性物質之擴散成為支配性因素。因為溫度決定了反應性物質之擴散並驅動反應,所以反應係溫度依賴性的。因為熱能本質上為保形的,在三維上為大體上均勻的,所以與其中反應性物質之到達速率有速率決定性的電漿處理操作相比較而言,本文所揭示之基於溫度進行控制的方法會產生更保形的表面處理。
通常,取決於特定基板處理應用,操作830之處理時間可為約10秒至約10分鐘,然而,亦預期其他處理時間。以下將關於每一例示性基板處理應用更詳細地描述方法800之諸多益處。將瞭解,可使用本揭示案之電漿處理裝置中的任一者來執行方法800。
可藉由用RF能量使靠近電漿源之一或更多個電感線圈通電,以便使用引入電漿源中之製程氣體產生電漿而產生電漿。舉例而言,製程氣體可自氣源進入電漿源中。可將來自(若干)RF源之RF能量施加至(若干)線圈以在電漿源中產生電漿。
通常,方法800可用於一系列不同的基板處理應用,包括但不限於氮自由基處理(例如,氮化)、氧自由基處理(例如,氧化)、氫自由基處理、氦自由基處理及各種預處理及後處理。
在某些實施例中,本揭示案之電漿處理裝置可根據方法800用於氧化矽(例如,SiO 2)氮化。在某些實例中,經歷氮化製程之基板可包括具有約10埃至約200埃厚度之氧化矽層。在氧化矽氮化期間,雙原子氮(N 2)、氨(NH 3)或其混合物中之至少一者被引入至電漿處理源以產生氮及/或NH自由基。在使用N 2源氣體之實施例中,N 2之流動速率為約100 sccm至約500 sccm,諸如約300 sccm。在使用N 2與NH 3源氣體之混合物的實施例中,N 2與NH 3之比率為約3:1至約1:3,諸如約3:1至約1:1,諸如約1:1,諸如約1:1至約1:3。在可與其他實施例組合之一些實施例中,源氣體與惰性氣體(例如,氬氣(Ar))混合。
在氧化矽氮化期間,製程腔室可熱(例如,大於250℃)運行或冷(例如,250℃或更低)運行。在可與其他實施例組合之某些實施例中,製程腔室中之溫度為約200℃或更高,諸如約200℃至約1200℃,諸如約250℃至約950℃,諸如約200℃至約250℃,諸如約250℃,諸如約250℃或更高,諸如約500℃至約1000℃,諸如約500℃至約600℃,諸如約600℃至約700℃,諸如約700℃至約800℃,諸如與800℃至約900℃,諸如約850℃,諸如約900℃至約1000℃,諸如約950℃。製程腔室中之壓力為約0.2托至約3托,諸如約0.5托至約2托,諸如約0.5托,諸如約1托,諸如約2托。射頻功率為約1 kW至約10 kW,諸如約1 kW至約5 kW,諸如約2 kW,諸如約2 kW至約8 kW,諸如約5 kW至約10 kW,諸如約8 kW。處理時間在約2分鐘至約8分鐘之範圍內,諸如約4分鐘至約5分鐘,諸如約4分鐘,諸如約5分鐘。
有益地,相對於快速熱氮化製程,使用本揭示案之電漿處理裝置及方法執行的氧化矽氮化增大了氧化矽中之氮含量,氮保形性水平大致相同。此外,相對於離子驅動之去耦電漿氮化製程而言,本文所述之氧化矽氮化製程亦增大了氧化矽中之氮保形性。由於氮及/或NH自由基自表面擴散至界面,因此本文所述之氧化矽氮化在矽-氧化矽界面及氧化矽表面兩者處形成氮含量之峰值。另外,本文所述之氧化矽氮化可增大全環繞閘極(gate all around; GAA)半導體結構上之保形性。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於矽(Si)氮化。在某些實例中,經歷氮化製程之基板可包括矽層,可藉由氮自由基處理該矽層以形成氮化矽(例如,SiN)之保形層。在矽氮化之一些實施例中,將雙原子氮(N 2)引入至電漿處理源以產生氮自由基。在使用N 2源氣體之實施例中,N 2之流動速率為約500 sccm至約1500 sccm,諸如約1000 sccm。在可與其他實施例組合之一些實施例中,源氣體與惰性氣體(例如,Ar)混合。
在矽氮化期間,製程腔室可熱(例如,大於250℃)運行或冷(例如,250℃或更低)運行。在可與其他實施例組合之某些實施例中,製程腔室中之溫度為約200℃或更高,諸如約200℃至約1200℃,諸如約250℃至約950℃,諸如約200℃至約250℃,諸如約250℃,諸如約250℃或更高,諸如約500℃至約1000℃,諸如約500℃至約600℃,諸如約550℃,諸如約600℃至約700℃,諸如約700℃至約800℃,諸如與800℃至約900℃,諸如約900℃至約1000℃,諸如約950℃。製程腔室中之壓力為約0.2托至約3托,諸如約0.3托至約2托,諸如約0.3托,諸如約2托。射頻功率為約1 kW至約10 kW,諸如約1 kW至約5 kW,諸如約2 kW。處理時間在約0.25分鐘至約8分鐘之範圍內,諸如約0.5分鐘至約5分鐘,諸如約0.5分鐘,諸如約2分鐘,諸如約4分鐘,諸如約5分鐘。
有益地,使用本揭示案之電漿處理裝置及方法執行的矽氮化可形成具有約20埃至約60埃厚度之氮化矽的保形層。在一個實例中,本文所述之矽氮化使得能夠在氧化物/多晶矽(OPOP)堆疊中之多晶矽的頂部及底部側壁上形成大體上保形的氮含量,例如,2.8 μm之深度及自20:1至30:1之深寬比。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於鎢(W)氮化。在某些實例中,經歷氮化製程之基板包括鎢層,可藉由氮自由基處理該鎢層以形成氮化鎢(例如,WN)之保形層。在鎢氮化期間,將N 2源氣體引入至電漿處理源以產生氮自由基。N 2之流動速率為約500 sccm至約1500 sccm,諸如約1000 sccm。在可與其他實施例組合之一些實施例中,源氣體與惰性氣體(例如,Ar)混合。預期可利用其他氮源氣體。
在鎢氮化期間,製程腔室中之溫度為約800℃或更低,諸如約700℃或更低,諸如約500℃至約700℃,諸如約500℃至約600℃,諸如約600℃至約700℃,諸如約650℃。使用本文所揭示之裝置及/或方法,溫度與經處理薄膜之氮含量負相關。舉例而言,在約850℃或更高之溫度下操作製程腔室導致僅約5%或更少的氮含量,而約780℃或更低之溫度會將氮含量增大至約25%,增大了5倍。此外,在約750℃之溫度下操作製程腔室導致在約25%與約30%之間的氮含量。將溫度進一步降低至約650℃將氮含量進一步增大至約30%與約35%之間,且將溫度更進一步降低至約550℃可將氮含量進一步增大至約35%與約40%之間。在鎢氮化期間,製程腔室中之壓力為約0.5托至約3托,諸如約2托。射頻功率為約1 kW至約10 kW,諸如約1 kW至約5 kW,諸如約2 kW。處理時間在約2分鐘至約8分鐘之範圍內,諸如約5分鐘。有益地,使用本揭示案之電漿處理裝置及方法執行的鎢氮化導致具有約25埃至約30埃厚度之氮化鎢的保形層。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於鎢(W)之氫自由基處理。在此實例中,經歷氫自由基處理製程之基板包括沉積在氮化鈦(例如,TiN)層上之鎢的毯覆層。在此實例中,可(例如)藉由原子層沉積(atomic layer deposition; ALD)形成鎢層。鎢的毯覆層具有約600埃至約650埃之厚度,然而,預期其他厚度。使用本文所揭示之裝置及/或方法,藉由氫自由基處理鎢降低了界面氟(F)含量。舉例而言,當基板包括沉積在氮化鈦層上之鎢時,氫自由基處理會降低鎢-氮化鈦界面處之界面氟含量。在鎢之氫自由基處理期間,將雙原子氫(H 2)源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約100 sccm至約1200 sccm,諸如約200 sccm至約1000 sccm,諸如約100 sccm至約500 sccm,諸如約200 sccm,諸如約500 sccm至約1200 sccm,諸如約1000 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在鎢的氫自由基處理期間,製程腔室中之溫度為約500℃至約1000℃,諸如約500℃至約600℃,諸如約600℃至約700℃,諸如約650℃,諸如約700℃至約800℃,諸如約750℃,諸如約800℃至約900℃,諸如約850℃,諸如約900℃至約1000℃,諸如約950℃。在處理期間,提高製程腔室中之溫度會導致界面氟含量較大的降低,亦即,溫度與界面氟含量的降低正相關,或換言之,溫度與界面氟含量負相關。舉例而言,在約950℃或更高之溫度下操作製程腔室導致界面氟含量降低了多達20倍,而約650℃或更低之溫度僅將界面氟含量降低約1.4倍。約750℃之溫度將界面氟含量降低約2.1倍,且約850℃之溫度將界面氟含量降低約4.8倍。
在鎢的氫自由基處理期間,製程腔室中之壓力為約0.2托至約2托,諸如約0.5托。射頻功率為約1 kW至約10 kW,諸如約5 kW至約8 kW,諸如約5 kW,諸如約8 kW。處理時間在約2分鐘至約8分鐘之範圍內,諸如約5分鐘。
有益地,使用本揭示案之電漿處理裝置及方法執行之鎢的氫自由基處理將鎢中的界面氟含量降低了約20倍或更大。提高氫自由基密度(使用本文所揭示之裝置及方法,此係可能的)會導致界面氟含量的更大降低。此外,提高溫度及提高氫自由基密度之效應對界面氟的降低具有附加影響。與習知方法(諸如,熱H 2退火)相反,習知方法不會降低界面氟含量。使用本文所述態樣,與未經處理之薄膜相比較而言,鎢的氫自由基處理導致鎢層之薄層電阻(Rs)降低10%。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於氮化鈦(例如,TiN)之氫自由基處理。使用本文所揭示之裝置及/或方法,藉由減少氮化鈦層中之雜質,與未經處理之薄膜相比較而言,藉由氫自由基處理氮化鈦將氮化鈦層之Rs降低了約50%或更多。在氮化鈦之氫自由基處理期間,將H 2源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約200 sccm至約1200 sccm,諸如約200 sccm至約500 sccm,諸如約350 sccm,諸如約350 sccm至約1000 sccm,諸如約500 sccm至約1200 sccm,諸如約1000 sccm。在另一實例中,氫自由基處理為雙操作製程,在第一操作中引入H 2源氣體,且接著在第一操作之後引入N 2源氣體。預期源氣體可與惰性氣體(例如,Ar)混合。當H 2源氣體與Ar混合時,H 2源氣體之比例在約5體積%至約90體積%之範圍內,諸如,約50體積%。
在氮化鈦的氫自由基處理期間,製程腔室中之溫度為約500℃至約1000℃,諸如約500℃至約600℃,諸如約550℃,諸如約600℃至約700℃,諸如約650℃,諸如約700℃至約800℃,諸如約750℃,諸如約800℃至約900℃,諸如約850℃,諸如約900℃至約1000℃。在處理期間,提高製程腔室中之溫度會導致氮化鈦層之Rs的較大降低,亦即,溫度與氮化鈦層之Rs的降低正相關,或換言之,溫度與氮化鈦層之Rs負相關。舉例而言,在約550℃之溫度下操作製程腔室導致氮化鈦層之Rs降低約30%至40%之間,約650℃之溫度導致氮化鈦層之Rs降低約40%至50%之間,約750℃之溫度導致氮化鈦層之Rs降低約50%至60%之間,約850℃之溫度導致氮化鈦層之Rs降低約60%至70%之間。在氮化鈦的氫自由基處理期間,製程腔室中之壓力為約0.2托至約3托,諸如約0.5托至約3托,諸如約0.5托,諸如約2托。射頻功率為約1 kW至約5 kW,諸如約2 kW。處理時間在約2分鐘至約8分鐘之範圍內,諸如約5分鐘。
有益地,使用本揭示案之電漿處理裝置及方法對氮化鈦進行氫自由基處理選擇性地降低了鈦-氧鍵合,藉此降低了氮化鈦層中之氧含量,而同時保持鈦-氮鍵合大體上恆定。另一方面,僅熱處理無法降低鈦-氧鍵合。使用本文所述態樣,溫度與氧含量負相關。此外,類似於僅熱處理,氫自由基處理會減小氯含量。此外,氫自由基處理減小碳含量,而僅熱處理無法減小碳含量。總之,與僅熱處理相比較而言,經由氫自由基處理來降低氯、氧或碳中之至少一者的雜質含量導致氮化鈦層之Rs的降低。使用本文所述態樣,與僅熱處理相比較而言,氫自由基處理將氮化鈦層之Rs降低了約6%至約11%。預期可在使用或不使用柵格(例如,第1圖中所示之分離柵格116)的情況下執行氫自由基處理。值得注意,與僅熱處理相比較而言,歸因於氫自由基處理之改良在不使用柵格之情況下更為明顯。通常,在製程腔室中應用較高溫度會增大氮化鈦晶粒大小,此會減小氮化鈦層之Rs。換言之,溫度及晶粒大小各自與氮化鈦層之Rs負相關。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於釕(Ru)之氫自由基處理。在某些實例中,經歷處理製程之基板可包括藉由化學氣相沉積(chemical vapor deposition; CVD)形成之釕層。使用本文所揭示之裝置及/或方法,與無氫自由基之H 2退火相比較而言,藉由氫自由基處理釕改良了縫隙填充並降低了釕層之Rs。在釕之氫自由基處理期間,將H 2源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約100 sccm至約2000 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。當H 2源氣體與Ar混合時,H 2源氣體之比例在約5體積%至約90體積%之範圍內,諸如,約50%。
在釕的氫自由基處理期間,製程腔室中之溫度為約400℃至約500℃,諸如約450℃。製程腔室中之壓力為約0.2托至約3托。射頻功率為約1 kW至約10 kW。處理時間在約0.5分鐘至約10分鐘之範圍內,諸如約1分鐘至約5分鐘,諸如約1分鐘,諸如約5分鐘。在處理期間,提高氫自由基密度導致釕層之Rs的更大降低。舉例而言,與未經處理之薄膜相比較而言,在450℃下引入10%的H 2源氣體歷時1分鐘會將釕層之Rs降低約35%至約40%。另一方面,與未經處理之薄膜相比較而言,在450℃下引入50%的H 2源氣體歷時5分鐘(此對應於更低的氫自由基密度)僅將釕層之Rs降低約30%。
有益地,與無氫自由基之H 2退火相比較而言,使用本揭示案之電漿處理裝置及方法執行之用氫自由基處理釕改良了釕層之縫隙填充。此外,相對於H 2退火,氫自由基處理增大了晶粒大小並減小了釕層中之接縫大小。使用本文所揭示之裝置及/或方法,與無氫自由基之H 2退火相比較而言,降低了釕層之Rs。此外,與無氫自由基之H 2退火相比較而言,氫自由基輔助退火在熱預算降低的情況下改良了回流並降低了電阻率。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於碳氮化鎢(例如,WCN)之氫自由基處理及可選的氮自由基處理。使用本文所揭示之裝置及/或方法,與未經處理之薄膜相比較而言,藉由氫自由基處理碳氮化鎢降低了碳含量而不會提高碳氮化鎢薄膜中之氮含量。使用本文所述態樣,與未經處理之薄膜相比較而言,藉由氫自由基及氮自由基處理碳氮化鎢降低了碳含量且提高了碳氮化鎢薄膜中之氮含量。在碳氮化鎢之氫自由基處理期間,將H 2源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約100 sccm至約2000 sccm。在碳氮化鎢之氮自由基處理期間,將N 2源氣體引入至電漿處理源以產生氮自由基。N 2之流動速率為約100 sccm至約2000 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在碳氮化鎢的氫自由基及/或氮自由基處理期間,製程腔室中之溫度為約500℃至約1000℃,諸如約500℃至約600℃,諸如約550℃,諸如約600℃至約700℃,諸如約650℃,諸如約700℃至約800℃,諸如約750℃,諸如約800℃至約900℃,諸如約900℃至約1000℃。製程腔室中之壓力為約0.2托至約3托。射頻功率為約1 kW至約10 kW。在氫自由基處理期間,處理時間在約1分鐘至約10分鐘之範圍內,諸如約1分鐘至約5分鐘,諸如約3分鐘。在氫自由基處理之後的可選氮自由基處理期間,處理時間在約10秒至約2分鐘之範圍內,諸如約30秒至約1分鐘,諸如約30秒,諸如約1分鐘。
有益地,使用本揭示案之電漿處理裝置及方法執行的藉由氫自由基處理碳氮化鎢將碳氮化鎢薄膜中之碳含量減小了約3倍至約6倍,而氮含量與未經處理之薄膜相比較而言保持大約相同。使用本文所揭示之裝置及/或方法,與未經處理之薄膜相比較而言,藉由氮自由基進一步處理碳氮化鎢將碳氮化鎢薄膜中之氮含量增大了約2倍至約3倍。因此,與未經處理之薄膜相比較而言,碳氮化鎢處理中之每一者皆改良了薄膜品質。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於氮化鈦(例如,TiN)接縫去除。在某些實例中,經歷處理製程之基板可包括藉由ALD形成之氮化鈦層。預期用於接縫去除之源氣體可包括O 2、H 2、N 2或其混合物。相對於單獨的H 2或N 2源氣體而言,引入O 2源氣體會改良接縫去除。使用本文所揭示之裝置及/或方法,具有三個操作(涉及藉由氧自由基、之後為氫自由基、之後為氮自由基的依序處理)之製程以及單獨的氧自由基處理改良了接縫去除,而同時亦併入額外的氮,以大體上恢復氮化鈦層中鈦與氮之原始化學計量比率。使用本文所揭示之裝置及/或方法,氧自由基處理使氮化鈦層氧化,從而導致體積膨脹並填充了特徵之間的縫隙,此去除了接縫。在氧自由基處理之後,氫自由基處理降低了氮化鈦層之氧含量,而同時保留鈦晶格之結構。在氫自由基處理之後,氮自由基處理以氮替換鈦晶格中之氧空位,此恢復了無接縫之氮化鈦層。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於鎢的選擇性氧化。鎢的選擇性氧化涉及在不氧化相鄰鎢層的情況下氧化矽層。在鎢的選擇性氧化期間,將O 2源氣體引入至電漿處理源以產生氧自由基。為了最小化鎢氧化,將過量H 2添加至電漿以產生大量氫自由基。在鎢的選擇性氧化期間,源氣體中H 2之濃度為H 2及O 2之總流量體積的約65%至約95%。H 2與O 2之比率可用以控制選擇性。舉例而言,使H 2濃度低於約65%會限制氧化製程之選擇性。另一方面,使H 2濃度高於約95%會降低矽的氧化。利用自上而下的電漿源之本文所揭示的裝置及/或方法提供自基板之中心至邊緣之均勻濃度的氫自由基。與其中氫自由基之濃度隨著朝向基板中心移動而耗盡的側向注入電漿源相比較而言,此改良了鎢的選擇性氧化。H 2之流動速率為約400 sccm至8000 sccm,且O 2之流動速率為約100 sccm至約3000 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在鎢的選擇性氧化期間,製程腔室中之溫度為約600℃至約1000℃,諸如約700℃至約900℃,諸如約800℃。製程腔室中之壓力為約1托至約3托。射頻功率為約3 kW至約5 kW,諸如約4 kW。用於氧化之處理時間在約1分鐘至約4分鐘之範圍內。
有益地,使用本揭示案的電漿處理裝置及方法執行之鎢的選擇性氧化實現了約3埃/√(秒)或更大之氧化矽(例如,SiO 2)生長速率,而同時將氧含量降低至約40原子百分比或更小。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於矽的氧化。在某些實例中,經歷氧化製程之基板可包括矽層,可藉由氧自由基處理該矽層以形成氧化矽之保形層。在某些實例中,基板可具有3維NAND結構,其包括藉由ALD在具有交替的氧化物/氮化物層之層堆疊內沉積的氮化矽(例如,SiN)通孔,該通孔具有(例如)8 μm之深度、125 nm之頂部臨界尺寸(critical dimension; CD)及約80:1之深寬比。在處理期間,將O 2及H 2源氣體之混合物引入至電漿處理源以分別產生氧自由基及氫自由基。O 2之流動速率為約500 sccm至約1000 sccm,諸如約750 sccm。H 2之流動速率為約400 sccm至約1000 sccm,諸如約400 sccm至約600 sccm,諸如約500 sccm,諸如約600 sccm至約900 sccm,諸如約750 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。當O 2及H 2源氣體之混合物與Ar混合時,H 2相對於O 2之比例在約5體積%至約50體積%之範圍內,諸如約33%至約50%。
在矽的氧化期間,製程腔室中之溫度為約600℃至約1000℃,諸如約700℃至約900℃,諸如約800℃。製程腔室中之壓力為約1托至約3托,諸如約2托。射頻功率為約1 kW至約10 kW。用於氧化之處理時間在約1分鐘至約10分鐘之範圍內。
有益地,使用本揭示案之電漿處理裝置及方法執行的矽氧化可實現約5埃/√(秒)或更大之氧化矽(例如,SiO 2)生長速率,諸如約5埃/√(秒)至約6埃/√(秒),及約95%至約100%(諸如,約99%)之氧化矽保形性。使用本文所揭示之裝置及/或方法,氧化矽保形性大於使用習知遠端電漿氧化(remote plasma oxidation; RPO)製程所實現之氧化矽保形性。使用本文所述態樣,氧化矽保形性取決於H 2源氣體之濃度。特定而言,H 2源氣體之更大比例與更大的氧化矽保形性相關。在一個實例中,引入具有50%的H 2之源氣體導致約98%之氧化矽保形性,而引入具有33%的H 2之源氣體導致約70%之氧化矽保形性,且引入具有5%的H 2之源氣體導致約61%之氧化矽保形性。使用本文所述態樣,在源氣體中H 2濃度較高時,氧化矽保形性與層厚度無關。舉例而言,對於具有約5% H 2之源氣體,氧化矽保形性取決於層厚度,而在較高H 2比例下(諸如,約50%的H 2),氧化矽保形性大體上與層厚度無關。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於氧化矽(例如,SiO x)之氧自由基及氫自由基處理。在某些實例中,經歷處理製程之基板可包括藉由可流動CVD(FCVD)形成之氧化矽層。將O 2源氣體、H 2源氣體及其混合物引入至電漿處理源以分別產生氧自由基及/或氫自由基。O 2之流動速率為約500 sccm至約3000 sccm。H 2之流動速率為約25 sccm至約200 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在氧化矽之氧自由基及/或氫自由基處理期間,製程腔室中之溫度為約400℃至約600℃,諸如約500℃。製程腔室中之壓力為約0.5托至約3托。射頻功率為約1 kW至約10 kW。處理時間在約1分鐘至約10分鐘之範圍內,諸如約1分鐘至約5分鐘,諸如約1分鐘,諸如約5分鐘。
有益地,與根據FTIR之未經處理薄膜相比較而言,使用本揭示案的電漿處理裝置及方法執行之氧化矽的氧自由基及氫自由基處理降低了氧化矽薄膜中之氧-氫鍵合、矽-氫鍵合及/或氮-氫鍵合。在一個實例中,與使用1分鐘之處理時間的相同處理相比較而言,將氧自由基及氫自由基處理之處理時間延長至5分鐘可降低濕式蝕刻反應速率(wet etch reaction rate; WERR)。使用本文所揭示之裝置及/或方法,該氧化矽薄膜之WERR比藉由僅退火製程處理之氧化矽薄膜更低。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於氧化矽(例如,SiO 2)之氦自由基處理。在某些實例中,經歷處理製程之基板可包括藉由ALD形成之氧化矽層(例如,高溫氧化物(high temperature oxide; HTO)層)。預期氦自由基處理可用於所有類型之ALD薄膜。在氦自由基處理期間,將氦(He)源氣體引入至電漿處理源以產生氦自由基。He之流動速率為約100 sccm至約2000 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在氧化矽的氦自由基處理期間,製程腔室中之溫度為約500℃至約1000℃,諸如約700℃至約800℃,諸如約700℃,諸如約800℃。製程腔室中之壓力為約0.2托至約4托,諸如約0.5托至約2托,諸如約0.5托,諸如約2托。射頻功率為約1 kW至約10 kW,諸如約5 kW至約10 kW,諸如約8 kW。處理時間在約0.25分鐘至約5分鐘之範圍內,諸如約0.5分鐘至約3分鐘,諸如約0.5分鐘,諸如約3分鐘。
有益地,與未經處理之薄膜相比較而言,使用本揭示案的電漿處理裝置及方法執行之氧化矽的氦自由基處理提高了氧化矽層之薄膜品質,並減少了氧化矽層之洩漏。使用本文所揭示之裝置及/或方法,與未經處理之薄膜相比較而言,薄膜品質(其代表被蝕刻之薄膜部分及經處理薄膜之WERR的所得降低)提高了約50%至約60%。此外,在較低壓力下(即,與2托相比較而言在0.5托下),氧化矽層之經濕式蝕刻後側壁保形性得以更大程度地提高。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於富硼非晶碳層之氫自由基處理。在某些實例中,經歷處理製程之基板可包括基於硼摻雜碳之硬遮罩層。在一個實例中,基於硼摻雜碳之硬遮罩層係藉由CVD沉積,且在CVD之後執行氫電漿處理。硬遮罩層可具有約0.2 μm之厚度。在氫自由基處理期間,將H 2源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約100 sccm至約2000 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在氫自由基處理期間,製程腔室中之溫度為約400℃至約1000℃,諸如約500℃至約700℃,諸如約500℃,諸如約700℃。製程腔室中之壓力為約0.5托至約3托。射頻功率為約1 kW至約10 kW。處理時間在約3分鐘至約5分鐘之範圍內,諸如約4分鐘。
有益地,與未經處理之薄膜相比較而言,使用本揭示案的電漿處理裝置及方法執行之富硼非晶碳薄膜的氫自由基處理降低了薄膜之氫含量,藉此增大了楊氏模數及密度。通常,與未經處理之薄膜相比較而言,氫自由基處理產生了具有較低總應力之更緻密薄膜,此提高了薄膜穩定性。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於使用源氣體(包括H 2、NH 3、N 2、O 2或Ar中之至少一者)的多種ALD預處理及後處理。
在一個實例中,本揭示案之電漿處理裝置可根據方法800用於氮化矽(例如,SiN)薄膜的處理。在氫自由基處理期間,將H 2源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約500 sccm至約1500 sccm,諸如約1000 sccm。源氣體中之H 2比例為約50體積%。在藉由氫及氮自由基之組合處理期間,在藉由氫自由基的處理之後,視情況將N 2添加至電漿處理源以產生氮自由基。N 2之流動速率為約200 sccm至約400 sccm,諸如約300 sccm。源氣體中之N 2比例為約10體積%。預期可利用其他氮源氣體。舉例而言,可將NH 3引入至電漿處理源以產生NH自由基。NH 3之流動速率為約200 sccm至約400 sccm,諸如約300 sccm。源氣體中之NH 3比例為約10體積%。預期源氣體可與惰性氣體(例如,Ar)混合。
在氮化矽之氫自由基、氮自由基及/或NH自由基處理期間,製程腔室中之溫度為約800℃至約1200℃,諸如約900℃至約1000℃,諸如約950℃。製程腔室中之壓力為約0.25托至約2托,諸如約0.5托至約1托,諸如約0.5托,諸如約1托。射頻功率為約5 kW至約10 kW,諸如約8 kW。處理時間在約1分鐘至約10分鐘之範圍內,諸如約1分鐘至約3分鐘,諸如約2.5分鐘,諸如約3分鐘至約4分鐘,諸如約3.3分鐘,諸如約4分鐘至約6分鐘,諸如約5分鐘。
有益地,與未經處理之薄膜相比較而言,使用本揭示案之電漿處理裝置及方法執行的氮化矽薄膜處理降低了氧、氫或氯中之至少一者的雜質含量。使用本文所揭示之裝置及/或方法,與使用快速熱處理(rapid thermal processing; RTP)退火所處理之氮化矽薄膜的WERR相比較而言,氮化矽薄膜之氫自由基、氮自由基及/或NH自由基處理減小了WERR。使用本文所述態樣,氮化矽處理之效果在薄膜之頂表面(例如,在表面之20埃以內)最為顯著。值得注意,與藉由分別單獨使用H 2或NH 3源氣體產生之氫或NH自由基的處理相比較而言,藉由分別使用H 2及N 2源氣體產生之氫及氮自由基的組合處理改良了自氮化矽薄膜去除氫。此外,與藉由單獨使用N 2或NH 3源氣體產生之氮或NH自由基的處理相比較而言,藉由分別使用H 2及N 2源氣體產生之氫及氮自由基的組合處理改良了自氮化矽薄膜去除氯。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於氮化矽(例如,SiN)接縫去除。在某些實例中,經歷處理製程之基板可包括藉由ALD形成之氮化矽層。預期源氣體可包括O 2、H 2、N 2或其混合物。在一個實例中,處理僅包括涉及O 2源氣體之一個操作。在另一實例中,處理包括兩個操作,其涉及O 2源氣體,之後為H 2源氣體。在又一實例中,處理包括三個操作,其涉及O 2源氣體,之後為H 2源氣體,之後為N 2源氣體。將O 2源氣體引入至電漿處理源以產生氧自由基。O 2之流動速率為約2500 sccm至約3500 sccm,諸如約3000 sccm。在藉由氧自由基的處理之後,視情況將H 2源氣體引入至電漿處理源以產生氫自由基。H 2之流動速率為約200 sccm至約500 sccm,諸如約300 sccm至約350 sccm,諸如約300 sccm,諸如約350 sccm。在藉由氧自由基及氫自由基的處理之後,視情況將N 2源氣體添加至電漿處理源以產生氮自由基。N 2之流動速率為約200 sccm至約400 sccm,諸如約300 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。
在氮化矽之氧自由基、氫自由基及/或氮自由基處理期間,製程腔室中之溫度為約500℃至約1000℃,諸如約600℃至約700℃,諸如約650℃,諸如約700℃至約800℃,諸如約750℃。製程腔室中之壓力為約0.5托至約5托,諸如約1托至約3托,諸如約1托,諸如約3托。射頻功率為約5 kW至約10 kW,諸如約8 kW。處理時間在約1分鐘至約10分鐘之範圍內,諸如約1分鐘至約3分鐘,諸如約2.5分鐘,諸如約3分鐘至約6分鐘,諸如約5分鐘。
有益地,使用氧自由基、之後為氫自由基、之後為氮自由基的依序處理以及單獨的氧自由基處理改良了接縫去除而同時亦併入額外的氮,以大體上恢復氮化矽層中矽與氮之原始化學計量比率。使用本文所揭示之裝置及/或方法,氧自由基處理使氮化矽氧化,從而導致體積膨脹並填充了特徵之間的縫隙,此去除了接縫。在氧自由基處理之後,氫自由基處理降低了氮化矽層之氧含量,而同時保留矽晶格之結構。在氫自由基處理之後,氮自由基處理以氮替換矽晶格中之氧,此恢復了無接縫之氮化矽層。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於使用源氣體(包括PH 3、BF 3、AsH 3或Ga中之至少一者)的多種氣相摻雜處理。
在另一實例中,本揭示案之電漿處理裝置可根據方法800用於諸如氧化鉿(例如,HfO 2)之高介電常數介電材料的氮化。在某些實例中,經歷處理製程之基板包括矽基板、在矽基板之上的界面層(例如,包括氧化矽),及在界面層之上的氧化鉿層。在高介電常數氮化期間,將包括N 2及NH 3之混合物的源氣體引入至電漿處理源以產生氮及NH自由基。N 2之流動速率為約30 sccm至約180 sccm,諸如約50 sccm至約150 sccm,諸如約50 sccm至約100 sccm,諸如約50 sccm,諸如約100 sccm至約150 sccm,諸如約100 sccm,諸如約120 sccm。NH 3之流動速率為約10 sccm至約50 sccm,諸如約10 sccm至約20 sccm,諸如約15 sccm。預期源氣體可與惰性氣體(例如,Ar)混合。Ar之流動速率為約2500 sccm至約3500 sccm,諸如約3000 sccm。
在氧化鉿氮化期間,製程腔室中之溫度小於約400℃,諸如約150℃至約400℃,諸如約200℃至約300℃,諸如約300℃至約400℃,諸如約300℃,諸如約325℃。預期在氧化鉿氮化期間,溫度可漸變。舉例而言,溫度可自小於約200℃逐漸上升至大於約300℃。漸變時間可自約60秒至約120秒,諸如約90秒。製程腔室中之壓力為約0.5托至約2托,諸如約0.75托。射頻功率為約5 kW至約10 kW,諸如約8 kW。處理時間在約20秒至約5分鐘之範圍內,諸如約20秒至約2分鐘,諸如約25秒,諸如約90秒,諸如約2分鐘至約4分鐘,諸如約2.5分鐘,諸如約4分鐘。與在習知ICP腔室中使用NH 3及Ar氣體之混合物進行氮化相比較而言,與使用本文所揭示之裝置及/或方法的氧化鉿氮化相關聯之縮短的處理時間提高了處理量。
有益地,與在習知ICP腔室中使用NH 3及Ar氣體之混合物進行氮化相比較而言,藉由N 2及NH 3之混合物的氧化鉿氮化大體上防止了界面層變薄。將N 2添加至NH 3源氣體中藉由與氫自由基結合以形成NH自由基而降低了氫自由基之濃度。氫自由基的此種減少降低了氫自由基對界面層之攻擊,藉此防止了界面層變薄。此外,與單獨的N 2相比較而言,使用N 2及NH 3之混合物大體上防止了界面層變厚。與無Ar之N 2及NH 3相比較而言,將Ar添加至N 2及NH 3之混合物增大了氮化速率。
通常,使用本文所揭示之裝置及/或方法以及N 2與NH 3之混合物,溫度降低進一步抑制了界面層變薄。舉例而言,與較高溫度相比較而言,低於約400℃之溫度抑制了界面層變薄。通常,使用本文所揭示之裝置及/或方法以及N 2與NH 3之混合物,增加處理時間會增加氧化鉿層中之氮含量。舉例而言,在約2分鐘至約3分鐘之範圍內的處理時間將氮含量自小於約5%增加至高達約15%至約20%,並維持界面層的厚度約等於氮化前的厚度。然而,與相對較短的處理時間相比較而言,大於約4分鐘之處理時間會導致界面層變厚。因此,可能期望將處理時間限制在小於約4分鐘。通常,使用本文所揭示之裝置及/或方法以及N 2與NH 3之混合物,降低壓力會增加氧化鉿層中之氮含量,並進一步抑制界面層變薄。舉例而言,低於約1托之壓力將氮含量增加至大於約10%,而同時維持界面層之厚度約等於氮化前的厚度。使用本文所揭示之裝置及/或方法以及N 2與NH 3之混合物增加了鉿-氮鍵合,並大體上防止了氮-氧亞穩鍵的形成。使用本文所揭示之裝置及/或方法,與使用習知ICP腔室相比較而言,由於NH自由基之濃度增加,因此保形性及均勻圖案負載得以改良。
如本文中所使用,術語「內部」及「外部」;「上」及「下」;「上部」及「下部」;「頂」及「底」、「垂直」及「水平」、「向上」及「向下」;「上方」及「下方」;及如本文中所使用之其他類似術語代表彼此之相對位置且並不意欲表示整個源/裝置之特定方向或空間定向。如本文中所使用,術語「大致」或「約」代表在參考值之至少±5%以內。
雖然前文針對本揭示案之實施例,但可在不脫離本揭示案之基本範疇的情況下設計出本揭示案之其他及另外實施例。
100:電漿處理裝置 100:實例電漿處理裝置 100:處理裝置 110:處理腔室 110:製程腔室 110:腔室 112:基板支撐件 114:基板 116:分離柵格 120:電漿源 120:形成電漿源 122:介電側壁 124:頂蓋 125:電漿源內部體積 126:孔 128:法拉第護罩 130:電感線圈 130:線圈 130:相鄰電感線圈 130:與線圈相鄰之區域 132:適當匹配網路 134:RF功率產生器 140:插入件 140:氣體注入插入件 150:氣體供應器 151:狹窄氣體注入通道 151:氣體注入通道 151:氣體通道 151:通道 162:窗口 164:多個銷 164:銷 165:軸 166:銷孔 168:銷止動件 170:機構 172:主動區 176:燈 178:致動器 180:表面 180:底表面 180:惰性底表面 182:線圈環 184:軸線 186:垂直軸線 192:排放裝置 200:電漿處理裝置 200:電漿源 210:體積 220:處理腔室 220:製程腔室 220:腔室 222:電漿源 230:電漿源內部體積 232:介電側壁 234:法拉第護罩 240:插入件 240:氣體注入插入件 250:多個電感線圈 250:電感線圈 250:線圈 252:周邊線圈 252:第一電感線圈 252:線圈 252:中心電感線圈 252:頂部線圈 252:電感線圈 252:多個電感線圈 252:主線圈 254:周邊電感線圈 254:中心線圈 254:線圈 254:第二線圈 254:電感線圈 254:第二電感線圈 254:多個電感線圈 262:射頻功率產生器 262:第一RF產生器 264:第二RF產生器 264:射頻功率產生器 270:周邊氣體注入埠 270:注入埠 270:多個氣體注入埠 270:周邊注入埠 270:氣體注入埠 270:中心製程氣體注入埠 272:多個區域 272:區域 272:周邊製程區域 272:主動區域 272:製程區域 272:電漿產生區域 275:區域 280:多個磁場集中器 280:磁場集中器 290:邊緣氣體注入埠 290:底部邊緣氣體注入埠 290:氣體注入埠 292:圓形氣室 292:氣室 294:入口 296:開口 300:電漿處理裝置 300:處理裝置 302:插入件 302:氣體注入插入件 304:電漿分離器 304:分離器 310:中心氣體注入埠 310:中心製程氣體注入埠 312:孔(直通孔) 314:中心製程區域 314:區域 314:中心區域 316:氣室 318:頂板 320:側壁 322:電漿源 324:側壁 326:導管 330:電漿源內部體積 340:底板 400:電漿源 400:電漿處理裝置 402:氣體注入插入件 402:插入件 410:埠 410:注入埠 410:中心氣體注入埠 410:中心注入埠 410:流體耦合中心氣體注入埠 412:形成孔 412:孔 414:平臺 414:分配平臺 416:氣體分配氣室 418:第二氣體分配氣室 422:電漿源 500:電漿處理裝置 500:處理裝置 510:中心氣體注入埠 510:中心注入埠 510:埠 510:注入埠 512:出口 512:多個出口 512:注入出口 522:電漿源 550:壁 600:分離柵格 602:孔 800:方法 830:操作 830:處理 410~416:中心注入
因此,可詳細地理解本揭示案之上述特徵的方式,可藉由參考實施例來獲得以上簡要概述的本揭示案之更特定描述,一些實施例在附加圖式中繪示。然而,應注意,附加圖式僅繪示例示性實施例,且因此不應視為對本揭示案之範疇的限制,且可允許其他同等有效之實施例。
第1圖為根據至少一個實施例之電漿處理裝置的示意圖。
第2圖為根據至少一個實施例之電漿處理裝置的示意圖。
第3圖為根據至少一個實施例之電漿處理裝置的示意圖。
第4圖為根據至少一個實施例之電漿處理裝置的示意圖。
第5圖為根據至少一個實施例之電漿處理裝置的示意圖。
第6a圖為根據至少一個實施例之分離柵格的等角視圖。
第6b圖為根據至少一個實施例之第6a圖的分離柵格之橫截面圖(沿線6B)。
第7圖為根據至少一個實施例之可與電漿源一起使用的電感線圈。
第8圖為根據至少一個實施例之製程的流程圖。
為了便於理解,在可能的情況下,已使用相同元件符號來表示諸圖中共同之相同元件。預期一個實施例之元件及特徵可有益地併入其他實施例中而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
800:方法
810:操作
820:操作
830:操作

Claims (23)

  1. 一種電漿處理方法,包括以下步驟: 將一製程氣體引入至被限定在一氣體注入插入件與一電漿源的一側壁之間的一氣體注入通道中; 藉由定位成靠近該側壁且與該氣體注入通道水平重疊之一電感線圈在該氣體注入通道內產生一電感耦合電漿,其中該電漿包括選自氧、氮、氫、NH及氦之至少一種自由基物質; 將該電漿自該電漿源輸送至與其耦合之一製程腔室,其中該電漿流經被安置在該電漿源與待處理的一基板之間的一分離柵格;以及 在該製程腔室內處理該基板,其中處理該基板之步驟包括以下步驟: 使包括該至少一種自由基物質之該電漿與該基板之面向該分離柵格的一第一側接觸;以及 使用位於該基板之與該分離柵格相對的一第二側上之複數個燈來加熱該基板。
  2. 如請求項1所述之方法,其中該基板包括一碳氮化鎢層,其中該製程氣體包括氫氣,其中該電漿包括氫自由基,且其中在加熱期間使該等氫自由基與該碳氮化鎢層接觸將該碳氮化鎢層中之碳含量減小了約3倍至約6倍而不會降低該碳氮化鎢層中之氮含量。
  3. 如請求項2所述之方法,其中在藉由該電漿處理該基板之後,該方法進一步包括以下步驟: 將氮氣引入至該電漿源之該氣體注入通道中; 在該氣體注入通道內產生一氮電漿,其中該氮電漿包括氮自由基; 將該氮電漿自該電漿源輸送至該製程腔室;以及 在該製程腔室內藉由該氮電漿處理該基板,其中處理該基板之步驟包括以下步驟: 使包括該等氮自由基之該氮電漿與該基板之面向該分離柵格的該第一側接觸;以及 使用位於該基板之與該分離柵格相對的該第二側上之該複數個燈來加熱該基板,其中在加熱期間使該等氮自由基與該碳氮化鎢層接觸將該碳氮化鎢層中之氮含量增大了約2倍至約3倍。
  4. 如請求項1所述之方法,其中該基板包括氮化鈦之一層,該層具有一接縫及鈦與氮之一起始化學計量比率,且其中該方法包括三個依序操作,包括以下步驟: 一第一操作,其中該製程氣體包括氧氣,其中該電漿包括氧自由基,且其中在加熱期間使該等氧自由基與該氮化鈦層接觸使該氮化鈦層氧化,從而導致該氮化鈦層之體積膨脹; 一第二操作,其中該製程氣體包括氫氣,其中該電漿包括氫自由基,且其中在加熱期間使該等氫自由基與該氮化鈦層接觸降低了該氮化鈦層之氧含量,藉此去除該接縫並改變鈦與氮之該化學計量比率;以及 一第三操作,其中該製程氣體包括氮氣,其中該電漿包括氮自由基,且其中在加熱期間使該等氮自由基與該氮化鈦層接觸增大了該氮化鈦層之氮含量,藉此在無該接縫的情況下大體上恢復該氮化鈦層之鈦與氮的該起始化學計量比率。
  5. 如請求項1所述之方法,其中該基板包括一鎢層,其中該製程氣體包括氧氣,其中該電漿包括氧自由基,且其中在加熱期間使該等氧自由基與該鎢層接觸將氧化矽之一生長速率增大至約3埃/√(秒)或更大,並將氧含量降低至約40原子百分比或更小。
  6. 如請求項1所述之方法,其中該基板包括一矽層,其中該製程氣體包括氧氣,其中該電漿包括氧自由基,且其中在加熱期間使該等氧自由基與該矽層接觸將氧化矽之一生長速率增大至約5埃/√(秒)或更大,其中氧化矽保形性為約95%至約100%。
  7. 如請求項1所述之方法,其中該基板包括一氧化矽層,其中該製程氣體包括氧氣與氫氣之一混合物,其中該電漿包括氧及氫自由基,且其中與處理之前的該氧化矽層相比較而言,在加熱期間使該等氧及氫自由基與該氧化矽層接觸降低了該氧化矽層中之氧-氫鍵合、矽-氫鍵合及氮-氫鍵合。
  8. 如請求項1所述之方法,其中該基板包括一氧化矽層,其中該製程氣體包括氦氣,其中該電漿包括氦自由基,且其中與處理之前的該氧化矽層相比較而言,在加熱期間使該等氦自由基與該氧化矽層接觸提高了該氧化矽層之薄膜品質。
  9. 如請求項1所述之方法,其中處理該基板之步驟包括一化學氣相沉積後處理。
  10. 如請求項1所述之方法,其中處理該基板之步驟包括一原子層沉積預處理或後期處理。
  11. 如請求項1所述之方法,其中該基板包括一氮化矽層,其中該製程氣體包括氫氣、氮氣或氨氣中之至少一者,其中該電漿包括氫、氮或NH自由基中之至少一者,且其中類似於快速熱處理退火,在加熱期間使該等氫、氮或NH自由基與該氮化矽層接觸降低了該氮化矽層中之氧、氫及氯的雜質含量。
  12. 如請求項1所述之方法,其中該基板包括一氮化矽層,該層具有一接縫及矽與氮之一起始化學計量比率,且其中該方法包括三個依序操作,包括以下步驟: 一第一操作,其中該製程氣體包括氧氣,其中該電漿包括氧自由基,且其中在加熱期間使該等氧自由基與該氮化矽層接觸使該氮化矽層氧化,從而導致該氮化矽層之體積膨脹,藉此去除了該接縫並改變矽與氮之該化學計量比率; 一第二操作,其中該製程氣體包括氫氣,其中該電漿包括氫自由基,且其中在加熱期間使該等氫自由基與該氮化矽層接觸降低了該氮化矽層之氧含量;以及 一第三操作,其中該製程氣體包括氮氣,其中該電漿包括氮自由基,且其中在加熱期間使該等氮自由基與該氮化矽層接觸增大了該氮化矽層之氮含量,藉此在無該接縫的情況下大體上恢復該氮化矽層之矽與氮的該起始化學計量比率。
  13. 如請求項1所述之方法,其中該製程氣體包括PH 3、BF 3、AsH 3或Ga中之至少一者,且其中處理該基板包括氣相摻雜。
  14. 一種電漿處理方法,包括以下步驟: 將一製程氣體引入至被限定在一氣體注入插入件與一電漿源的一側壁之間的一氣體注入通道中; 藉由定位成靠近該側壁且與該氣體注入通道水平重疊之一電感線圈在該氣體注入通道內產生一電漿,其中該電漿包括氮或NH自由基中之至少一者; 將該電漿自該電漿源輸送至與其耦合之一製程腔室,其中該電漿流經被安置在該電漿源與待處理的一基板之間的一分離柵格;以及 在該製程腔室內處理該基板,其中處理該基板之步驟包括以下步驟: 使該電漿與該基板之面向該分離柵格的一第一側接觸;以及 使用位於該基板之與該分離柵格相對的一第二側上的複數個燈來加熱該基板。
  15. 如請求項14所述之方法,其中該基板包括一氧化矽層,其中該製程氣體包括氮氣、氨氣或其一混合物中之至少一者,且其中在加熱期間使該等氮或NH自由基與該氧化矽層接觸在大致相同的氮保形性位準下增大了該氧化矽層之氮含量。
  16. 如請求項14所述之方法,其中該基板包括一矽層,其中該製程氣體包括氮氣,且其中在加熱期間使該等氮自由基與該矽層接觸形成了氮化矽之一保形層。
  17. 如請求項14所述之方法,其中該基板包括一鎢層,其中該製程氣體包括氮氣,且其中在加熱期間使該等氮自由基與該鎢層接觸形成了氮化鎢之一保形層。
  18. 如請求項14所述之方法,其中該基板包括安置在一界面層之上的一高介電常數介電質層,其中該製程氣體包括氮氣、氨氣及氬氣,且其中在加熱期間使該等NH自由基與該高介電常數介電質層接觸在不使該界面層實質性變薄的情況下增大該高介電常數介電層之氮含量。
  19. 一種電漿處理方法,包括以下步驟: 將一製程氣體引入至被限定在一氣體注入插入件與一電漿源的一側壁之間的一氣體注入通道中; 藉由定位成靠近該側壁且與該氣體注入通道水平重疊之一電感線圈在該氣體注入通道內產生一氫電漿,其中該氫電漿包括氫自由基; 將該氫電漿自該電漿源輸送至與其耦合之一製程腔室,其中該氫電漿流經被安置在該電漿源與待處理的一基板之間的一分離柵格;以及 在該製程腔室內處理該基板,其中處理該基板之步驟包括以下步驟: 使包括該等氫自由基之該氫電漿與該基板之面向該分離柵格的一第一側接觸;以及 使用位於該基板之與該分離柵格相對的一第二側上之複數個燈來加熱該基板。
  20. 如請求項19所述之方法,其中該基板包括一鎢層,其中該製程氣體包括氫氣,且其中在加熱期間使該等氫自由基與該鎢層接觸將該鎢層之界面氟含量降低了約2倍至約20倍。
  21. 如請求項19所述之方法,其中該基板包括一氮化鈦層,其中該製程氣體包括氫氣,且其中在加熱期間使該等氫自由基與該氮化鈦層接觸相比於僅熱處理而言使該氮化鈦層中之氧、氯及碳的雜質含量降低得更大。
  22. 如請求項19所述之方法,其中該基板包括一釕層,其中該製程氣體包括氫氣,且其中在加熱期間使該等氫自由基與該釕層接觸相對於無氫自由基之氫退火而言改良了釕縫隙填充。
  23. 如請求項19所述之方法,其中該基板包括一硼摻雜碳層,其中該製程氣體包括氫氣,且其中在加熱期間使該等氫自由基與該硼摻雜碳層接觸降低了該硼摻雜碳層之氫含量,藉此增大了楊氏模數及該硼摻雜碳層之密度。
TW111100041A 2021-01-14 2022-01-03 具有獨立溫控的電漿處理 TW202242998A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/149,232 2021-01-14
US17/149,232 US11854770B2 (en) 2021-01-14 2021-01-14 Plasma processing with independent temperature control

Publications (1)

Publication Number Publication Date
TW202242998A true TW202242998A (zh) 2022-11-01

Family

ID=82322055

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111100041A TW202242998A (zh) 2021-01-14 2022-01-03 具有獨立溫控的電漿處理

Country Status (7)

Country Link
US (2) US11854770B2 (zh)
EP (1) EP4278373A1 (zh)
JP (1) JP2024504618A (zh)
KR (1) KR20230128550A (zh)
CN (1) CN116848614A (zh)
TW (1) TW202242998A (zh)
WO (1) WO2022154968A1 (zh)

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6632322B1 (en) 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
JP2003033647A (ja) 2001-07-23 2003-02-04 Tokyo Ohka Kogyo Co Ltd プラズマ処理装置
US7557362B2 (en) 2004-02-04 2009-07-07 Veeco Instruments Inc. Ion sources and methods for generating an ion beam with a controllable ion current density distribution
US7371688B2 (en) * 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US7393761B2 (en) * 2005-01-31 2008-07-01 Tokyo Electron Limited Method for fabricating a semiconductor device
KR100999583B1 (ko) 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US7998859B2 (en) * 2008-09-25 2011-08-16 Enthone Inc. Surface preparation process for damascene copper deposition
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
KR101659594B1 (ko) 2011-08-19 2016-09-23 맷슨 테크놀로지, 인크. 고효율 플라즈마 소스
US9161428B2 (en) 2012-04-26 2015-10-13 Applied Materials, Inc. Independent control of RF phases of separate coils of an inductively coupled plasma reactor
KR101517489B1 (ko) 2013-04-25 2015-05-07 피에스케이 주식회사 플라즈마 발생 장치 및 그 제어 방법, 그리고 플라즈마 발생 장치를 포함하는 기판 처리 장치
WO2015145663A1 (ja) 2014-03-27 2015-10-01 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
KR102465801B1 (ko) 2015-05-22 2022-11-14 주식회사 히타치하이테크 플라스마 처리 장치 및 그것을 이용한 플라스마 처리 방법
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
JP6527482B2 (ja) 2016-03-14 2019-06-05 東芝デバイス&ストレージ株式会社 半導体製造装置
WO2018111333A1 (en) * 2016-12-14 2018-06-21 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US20180358204A1 (en) 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US20180358206A1 (en) 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Processing Apparatus
US20190131112A1 (en) 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
KR20200072557A (ko) 2017-12-27 2020-06-22 매슨 테크놀로지 인크 플라즈마 처리 장치 및 방법
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods
US11120973B2 (en) 2019-05-10 2021-09-14 Applied Materials, Inc. Plasma processing apparatus and techniques
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US11075157B2 (en) * 2019-09-09 2021-07-27 Texas Instruments Incorporated IC having trench-based metal-insulator-metal capacitor
US11658006B2 (en) 2021-01-14 2023-05-23 Applied Materials, Inc. Plasma sources and plasma processing apparatus thereof

Also Published As

Publication number Publication date
US20240170263A1 (en) 2024-05-23
US11854770B2 (en) 2023-12-26
US20220223381A1 (en) 2022-07-14
CN116848614A (zh) 2023-10-03
JP2024504618A (ja) 2024-02-01
KR20230128550A (ko) 2023-09-05
WO2022154968A1 (en) 2022-07-21
EP4278373A1 (en) 2023-11-22

Similar Documents

Publication Publication Date Title
TWI781279B (zh) 氧化矽薄膜的沉積後處理之方法
CN107104036B (zh) 用于在沟槽侧壁或平整表面上选择性形成氮化硅膜的方法
TWI602943B (zh) 基板處理方法及基板處理裝置
US9054048B2 (en) NH3 containing plasma nitridation of a layer on a substrate
US4668365A (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
US8236706B2 (en) Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
US8546273B2 (en) Methods and apparatus for forming nitrogen-containing layers
JP2019125798A (ja) 基板上の三次元構造の層のnh3含有プラズマ窒化
TW201330102A (zh) 用於半導體裝置之氧化的方法
TW201305380A (zh) 成膜方法
US11081340B2 (en) Argon addition to remote plasma oxidation
TW201421582A (zh) 使用離子遮蔽件處理基材的方法及設備
US20230369017A1 (en) Plasma sources and plasma processing apparatus thereof
US20200234969A1 (en) Ozone Treatment for Selective Silicon Nitride Etch Over Silicon
TW202242998A (zh) 具有獨立溫控的電漿處理
US20230127138A1 (en) Plasma processing with tunable nitridation
US20120070913A1 (en) Method of manufacturing a semiconductor device and substrate processing apparatus
TWI442474B (zh) 用於在半導體裝置上形成共形氧化層的方法