TW202240310A - 用於製造程序參數估計之模組自動編碼器模型 - Google Patents

用於製造程序參數估計之模組自動編碼器模型 Download PDF

Info

Publication number
TW202240310A
TW202240310A TW110149291A TW110149291A TW202240310A TW 202240310 A TW202240310 A TW 202240310A TW 110149291 A TW110149291 A TW 110149291A TW 110149291 A TW110149291 A TW 110149291A TW 202240310 A TW202240310 A TW 202240310A
Authority
TW
Taiwan
Prior art keywords
model
models
inputs
input
modular
Prior art date
Application number
TW110149291A
Other languages
English (en)
Other versions
TWI806324B (zh
Inventor
亞力山卓 小野瀬
巴特 雅各 馬丁那斯 泰馬斯馬
尼克 威赫爾
萊姆克 德爾克斯
大衛 巴比爾利
拉何凡 亨瑞克 安卓 范
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP21168592.0A external-priority patent/EP4075340A1/en
Priority claimed from EP21168585.4A external-priority patent/EP4075339A1/en
Priority claimed from EP21169035.9A external-priority patent/EP4075341A1/en
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202240310A publication Critical patent/TW202240310A/zh
Application granted granted Critical
Publication of TWI806324B publication Critical patent/TWI806324B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • G06N3/0455Auto-encoder networks; Encoder-decoder networks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/0895Weakly supervised learning, e.g. semi-supervised or self-supervised learning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Software Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Computational Linguistics (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Biophysics (AREA)
  • Mathematical Physics (AREA)
  • Biomedical Technology (AREA)
  • Artificial Intelligence (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Image Analysis (AREA)
  • Branch Pipes, Bends, And The Like (AREA)
  • General Factory Administration (AREA)
  • Feedback Control In General (AREA)
  • Testing And Monitoring For Control Systems (AREA)

Abstract

本發明描述一種模組自動編碼器模型。該模組自動編碼器模型包含:輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度;一共同模型,其經組態以:降低組合的經處理輸入之一維度以在一潛在空間產生低維度資料;且將該潛在空間中之該低維度資料擴展成適合用於產生一或多個不同輸出之該一或多個輸入之一或多個擴展版本;輸出模型,其經組態以使用該一或多個輸入之該一或多個擴展版本來產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值;及一預測模型,其經組態以基於該潛在空間中之該低維度資料而估計一或多個參數。

Description

用於製造程序參數估計之模組自動編碼器模型
本說明書係關於用於藉由模組自動編碼器模型估計製造程序參數之方法及系統。
微影裝置為經建構以將所要圖案塗覆至基板上之機器。微影裝置可用於例如積體電路(IC)製造中。微影裝置可例如將圖案化器件(例如,遮罩)處之圖案(通常亦稱為「設計佈局」或「設計」)投影至設置於基板(例如,晶圓)上之輻射敏感材料(抗蝕劑)層上。
為將圖案投影至基板上,微影裝置可使用電磁輻射。此輻射之波長判定可形成於基板上之特徵的最小大小。當前使用之典型波長為365 nm (i線)、248 nm、193 nm及13.5 nm。相比於使用例如具有193 nm之波長之輻射之微影裝置,可使用具有介於4至20 nm範圍內之波長(例如6.7 nm或13.5 nm)的極紫外(EUV)輻射之微影裝置在基板上形成較小特徵。
低k 1微影可用於處理尺寸小於微影裝置之典型解析度限制的特徵。在此程序中,可將解析度公式表達為CD=k 1×λ/NA,其中λ為所使用輻射之波長,NA為微影裝置中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為經印刷之最小特徵大小,但在此狀況下為半間距)且k 1為經驗解析度因數。一般而言,k 1愈小,則愈難以在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案。
為克服此等困難,可將複雜微調步驟應用於微影投影裝置及/或設計佈局。此等步驟包括(例如)但不限於NA之最佳化、定製照明方案、使用相移圖案化器件、諸如設計佈局中之光學近接校正(OPC,有時亦稱為「光學及程序校正」)之設計佈局的各種最佳化,或通常定義為「解析度增強技術」(RET)之其他方法。替代地,用於控制微影裝置之穩定性的嚴格控制環路可用以改良低k1下之圖案的再生。
自動編碼器可經組態以用於度量衡及/或用於參數推斷及/或用於其他目的之其他解決方案。此深度學習模型架構為通用的且可擴展至任意大小及複雜度。自動編碼器經組態以將高維信號(例如半導體製造程序中之光瞳影像)壓縮至同一信號之高效低維度表示。接著,自低維度表示針對已知標籤之集合執行參數推斷(亦即回歸)。藉由首先壓縮信號,與直接對高維信號執行回歸相比,該推斷問題顯著簡化。
然而,通常難以理解典型自動編碼器內部之資訊流。吾人可推論出輸入處、經壓縮低維度表示之層級處及輸出處之資訊。吾人無法容易地解釋此等點之間的資訊。
與傳統單石自動編碼器模型相比,本發明模組自動編碼器模型剛性較小。本發明模組自動編碼器模型具有更大數目之可訓練及/或另外可調整組件。本發明模型之模組性使得其更易於解譯、定義及擴展。本發明模型之複雜度易於調整,且足夠高以模型化產生提供至該模型之資料的程序,但足夠低以避免模型化雜訊或其他非所需特性(例如,本發明模型經組態以避免過度擬合所提供資料)。由於產生資料之程序(或至少程序之態樣)常常為未知的,因此選擇適當網路複雜度通常涉及一些直覺及試錯法。出於此原因,特別需要提供模型架構,其為模組化的、易於理解且在複雜度上易於按比例增大及降低。
應注意,與本發明模組自動編碼器模型聯合使用之術語自動編碼器通常可指經組態以用於使用潛在空間進行部分監督式學習以用於參數估計之一或多個自動編碼器及/或其他自動編碼器。此亦可包括單一自動編碼器,其例如使用半監督學習進行訓練。
根據一實施例,提供一種其上具有指令之非暫時性電腦可讀媒體。該等指令經組態以使得一電腦執行用於參數估計之一模組自動編碼器模型。該模組自動編碼器模型包含一或多個輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度。該模組自動編碼器模型包含一共同模型,其經組態以:組合該等經處理輸入且降低該等組合的經處理輸入之一維度以在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料具有小於該第一級的一第二級所得降低維度;及將該潛在空間中之該低維度資料擴展成該一或多個輸入之一或多個擴展版本,與該潛在空間中之該低維度資料相比,該一或多個輸入之該一或多個擴展版本具有增大維度,該一或多個輸入之該一或多個擴展版本適合用於產生一或多個不同輸出。(應注意,該等擴展版本未必近似該共同模型之該等輸入,此係由於對最終輸出強制執行近似值。)該模組自動編碼器模型包含一或多個輸出模型,其經組態以使用該一或多個輸入之該一或多個擴展版本以產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值,與該一或多個輸入之該等擴展版本相比,該一或多個不同輸出具有相同或增大維度。該模組自動編碼器模型包含一預測模型,其經組態以基於該潛在空間中之該低維度資料及/或該一或多個不同輸出而估計一或多個參數。在一些實施例中,該模組自動編碼器模型(及/或本文所描述之該模型之該等個別組件中的任一者)可在看到訓練資料之前及/或之後進行組態。
在一些實施例中,個別輸入模型及/或輸出模型包含兩個或更多個子模型,該兩個或更多個子模型與一感測操作及/或一製造程序之不同部分相關聯。在一些實施例中,一個別輸出模型包含該兩個或更多個子模型,且該兩個或更多個子模型包含用於一半導體感測器操作之一感測器模型及一堆疊模型。
在一些實施例中,該一或多個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該一或多個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,基於一製造程序及/或一感測操作之不同部分中之程序物理性質差異而判定該一或多個輸入模型之一數量及該一或多個輸出模型之一數量。
在一些實施例中,輸入模型之該數量與輸出模型之該數量不同。
在一些實施例中,該共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構;將該一或多個輸入處理成該第一級維度,且降低該等組合的經處理輸入之該維度包含編碼;且將該潛在空間中之該低維度資料擴展成該一或多個輸入之該一或多個擴展版本包含解碼。
在一些實施例中,藉由比較該一或多個不同輸出與對應輸入,且調整該一或多個輸入模型、該共同模型及/或該一或多個輸出模型之一參數化,以減小或最小化一輸出與一對應輸入之間的一差來訓練該模組自動編碼器模型。
在一些實施例中,該共同模型包含一編碼器及一解碼器,且該模組自動編碼器模型藉由以下進行訓練:將變化應用於該潛在空間中之該低維度資料,使得該共同模型解碼一相對更連續潛在空間以產生一解碼器信號;以遞歸方式將該解碼器信號提供至該編碼器以產生新低維度資料;比較該新低維度資料與該低維度資料;及基於該比較而調整該模組自動編碼器模型之一或多個組件,以減小或最小化該新低維度資料與該低維度資料之間的一差。
在一些實施例中,該一或多個參數為半導體製造程序參數;該一或多個輸入模型及/或該一或多個輸出模型可包含(僅作為非限制性實例)該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;該共同模型可包含(僅作為非限制性實例)前饋層及/或殘餘層;且該預測模型可包含(僅作為非限制性實例)前饋層及/或殘餘層。
在一些實施例中,該模組自動編碼器模型包含一或多個輔助模型,其經組態以產生用於該潛在空間中之該低維度資料(例如,資訊)中之至少一些的標籤。該等標籤經組態以供用於估計之該預測模型使用。
在一些實施例中,該等標籤經組態以由該模組自動編碼器模型使用以將一行為施加於該潛在空間及/或該預測模型之輸出上。該行為係與一類可能信號相關聯。
在一些實施例中,該預測模型包含一或多個預測模型,且該一或多個預測模型經組態以基於該等標籤及/或來自該一或多個輔助模型之一或多個不同輸出而估計該一或多個參數。
在一些實施例中,至該一或多個輔助模型之該輸入包含與一晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。
在一些實施例中,該一或多個輔助模型經組態以使用一成本函數進行訓練,以最小化該等所產生標籤與一或多個預測模型之輸出之間的一差。該一或多個預測模型經組態以選擇適當潛在變數。此可一般化為包括其中該預測模型為將該潛在空間連接至一輸出之一神經網路之一情境,該情境旨在匹配由一輔助模型產生之該等標籤。該一或多個輔助模型經組態以與該一或多個輸入模型、該共同模型、該一或多個輸出模型及/或該預測模型同時進行訓練。
在一些實施例中,該一或多個輔助模型包含一或多個晶圓模型;至該一或多個晶圓模型之輸入包含以下中之一或多者:一晶圓半徑及/或角,其包含極座標中與一晶圓上之一目標相關聯之一位置(例如進行一量測之該圖案之一位置,其可為一產品結構或一專用目標);第二角,其與該晶圓上之圖案相關聯;及/或一晶圓鑑別;該一或多個晶圓模型與圖案傾斜相關聯;且該等所產生標籤耦接至該潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之一知情分解係藉由該模組自動編碼器模型執行。
在一些實施例中,該一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之該圖案傾斜與其他不對稱性分開。
在一些實施例中,該一或多個輔助模型經嵌套有該模組自動編碼器模型之一或多個其他輔助模型及/或一或多個其他模型,且其中包括光瞳資料之其他輸入用作至該一或多個輔助模型之輸入。
根據另一實施例,提供一種用於參數估計之方法。該方法包含藉由一模組自動編碼器模型之一或多個輸入模型將一或多個輸入處理成適合於與其他輸入組合之一第一級維度;藉由該模組自動編碼器模型之一共同模型組合該等經處理輸入,且降低該等組合的經處理輸入之一維度以在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料具有小於該第一級的一第二級所得降低維度;藉由該共同模型將該潛在空間中之該低維度資料擴展成該一或多個輸入之一或多個擴展版本,與該潛在空間中之該低維度資料相比,該一或多個輸入之該一或多個擴展版本具有增大維度,該一或多個輸入之該一或多個擴展版本適合用於產生一或多個不同輸出;藉由該模組自動編碼器模型之一或多個輸出模型,使用該一或多個輸入之該一或多個擴展版本以產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值,與該一或多個輸入之該等擴展版本相比,該一或多個不同輸出具有相同或增大維度;及藉由該模組自動編碼器模型之一預測模型,基於該潛在空間中之該低維度資料及/或該一或多個輸出而估計一或多個參數。在一些實施例中,個別輸入模型及/或輸出模型包含兩個或更多個子模型,該兩個或更多個子模型與一感測操作及/或一製造程序之不同部分相關聯。
在一些實施例中,一個別輸出模型包含該兩個或更多個子模型,且該兩個或更多個子模型包含用於一半導體感測器操作之一感測器模型及一堆疊模型。
在一些實施例中,該一或多個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該一或多個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,該方法進一步包含基於一製造程序及/或一感測操作之不同部分中之程序物理性質差異而判定該一或多個輸入模型之一數量及/或該一或多個輸出模型之一數量。
在一些實施例中,輸入模型之該數量與輸出模型之該數量不同。
在一些實施例中,該共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構;將該一或多個輸入處理成該第一級維度,且降低該等組合的經處理輸入之該維度包含編碼;且將該潛在空間中之該低維度資料擴展成該一或多個輸入之該一或多個擴展版本包含解碼。
在一些實施例中,該方法進一步包含藉由比較該一或多個不同輸出與對應輸入,且調整該一或多個輸入模型、該共同模型及/或該一或多個輸出模型之一參數化,以減小或最小化一輸出與一對應輸入之間的一差來訓練該模組自動編碼器模型。
在一些實施例中,該共同模型包含一編碼器及一解碼器,且該方法進一步包含藉由以下訓練該模組自動編碼器模型:將變化應用於該潛在空間中之該低維度資料,使得該共同模型解碼一相對更連續潛在空間以產生一解碼器信號;以遞歸方式將該解碼器信號提供至該編碼器以產生新低維度資料;比較該新低維度資料與該低維度資料;及基於該比較而調整該模組自動編碼器模型之一或多個組件,以減小或最小化該新低維度資料與該低維度資料之間的一差。
在一些實施例中,該一或多個參數為半導體製造程序參數;該一或多個輸入模型及/或該一或多個輸出模型可包含(僅作為非限制性實例)該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;該共同模型可包含(僅作為非限制性實例)前饋層及/或殘餘層;且該預測模型可包含(僅作為非限制性實例)前饋層及/或殘餘層。
在一些實施例中,該方法包含藉由該模組自動編碼器模型之一或多個輔助模型產生該潛在空間中之該低維度資料中之至少一些的標籤。該等標籤經組態以供用於估計之該預測模型使用。
在一些實施例中,該等標籤經組態以由該模組自動編碼器模型使用以將一行為施加於該潛在空間及/或該預測模型之輸出上。該行為係與一類可能信號相關聯。
在一些實施例中,該預測模型包含一或多個預測模型,且該一或多個預測模型經組態以基於該等標籤及/或來自該一或多個輔助模型之一或多個不同輸出而估計該一或多個參數。
在一些實施例中,至該一或多個輔助模型之輸入包含與一晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。
在一些實施例中,該一或多個輔助模型經組態以使用一成本函數進行訓練,以最小化該等所產生標籤與一或多個預測模型之輸出之間的一差。該一或多個預測模型經組態以選擇適當潛在變數。該一或多個輔助模型經組態以與該一或多個輸入模型、該共同模型、該一或多個輸出模型及/或該預測模型同時進行訓練。
在一些實施例中,該一或多個輔助模型包含一或多個晶圓模型;至該一或多個晶圓模型之輸入包含以下中之一或多者:一晶圓半徑及/或角,其包含與一晶圓上之一圖案相關聯之極座標中之一位置;一第二角,其與該晶圓上之該圖案相關聯;及/或一晶圓鑑別;該一或多個晶圓模型與圖案傾斜相關聯;且該等所產生標籤耦接至該潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之一知情分解係藉由該模組自動編碼器模型執行。
在一些實施例中,該一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之該圖案傾斜與其他不對稱性分開。
在一些實施例中,該一或多個輔助模型經嵌套有該模組自動編碼器模型之一或多個其他輔助模型及/或一或多個其他模型,且包括光瞳資料之其他輸入用作至該一或多個輔助模型之輸入。
根據另一實施例,提供一種系統,其包含:一模組自動編碼器模型之一或多個輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度;該模組自動編碼器模型之一共同模型,其經組態以:組合該等經處理輸入且降低該等組合的經處理輸入之一維度以在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料具有小於該第一級的一第二級所得降低維度;及將該潛在空間中之該低維度資料擴展成該一或多個輸入之一或多個擴展版本,與該潛在空間中之該低維度資料相比,該一或多個輸入之該一或多個擴展版本具有增大維度,該一或多個輸入之該一或多個擴展版本適合用於產生一或多個不同輸出;該模組自動編碼器模型之一或多個輸出模型,其經組態以使用該一或多個輸入之該一或多個擴展版本以產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值,與該一或多個輸入之該等擴展版本相比,該一或多個不同輸出具有相同或增大維度;及該模組自動編碼器模型之一預測模型,其經組態以基於該潛在空間中之該低維度資料及/或該一或多個不同輸出而估計一或多個參數。
在一些實施例中,個別輸入模型及/或輸出模型包含兩個或更多個子模型,該兩個或更多個子模型與一感測操作及/或一製造程序之不同部分相關聯。在一些實施例中,一個別輸出模型包含該兩個或更多個子模型,且該兩個或更多個子模型包含用於一半導體感測器操作之一感測器模型及一堆疊模型。在一些實施例中,該一或多個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該一或多個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,基於一製造程序及/或一感測操作之不同部分中之程序物理性質差異而判定該一或多個輸入模型之一數量及該一或多個輸出模型之一數量。
在一些實施例中,輸入模型之該數量與輸出模型之該數量不同。
在一些實施例中,該共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構;將該一或多個輸入處理成該第一級維度,且降低該等組合的經處理輸入之該維度包含編碼;且將該潛在空間中之該低維度資料擴展成該一或多個輸入之該一或多個擴展版本包含解碼。
在一些實施例中,藉由比較該一或多個不同輸出與對應輸入,且調整該一或多個輸入模型、該共同模型及/或該一或多個輸出模型之一參數化,以減小或最小化一輸出與一對應輸入之間的一差來訓練該模組自動編碼器模型。
在一些實施例中,該共同模型包含一編碼器及一解碼器,且該模組自動編碼器模型藉由以下進行訓練:將變化應用於該潛在空間中之該低維度資料,使得該共同模型解碼一相對更連續潛在空間以產生一解碼器信號;以遞歸方式將該解碼器信號提供至該編碼器以產生新低維度資料;比較該新低維度資料與該低維度資料;及基於該比較而調整該模組自動編碼器模型之一或多個組件,以減小或最小化該新低維度資料與該低維度資料之間的一差。
在一些實施例中,該一或多個參數為半導體製造程序參數;該一或多個輸入模型及/或該一或多個輸出模型可包含(僅作為非限制性實例)該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;該共同模型可包含(僅作為非限制性實例)前饋層及/或殘餘層;且該預測模型可包含(僅作為非限制性實例)前饋層及/或殘餘層。
在一些實施例中,該模組自動編碼器模型包含一或多個輔助模型,其經組態以產生用於該潛在空間中之該低維度資料中之至少一些的標籤。該等標籤經組態以供用於估計之該預測模型使用。
在一些實施例中,該等標籤經組態以由該模組自動編碼器模型使用以將一行為施加於該潛在空間及/或該預測模型之輸出上。該行為係與一類可能信號相關聯。
在一些實施例中,該預測模型包含一或多個預測模型,且該一或多個預測模型經組態以基於該等標籤及/或來自該一或多個輔助模型之一或多個不同輸出而估計該一或多個參數。
在一些實施例中,至該一或多個輔助模型之輸入包含與一晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。
在一些實施例中,該一或多個輔助模型經組態以使用一成本函數進行訓練,以最小化該等所產生標籤與一或多個預測模型之輸出之間的一差。該一或多個預測模型經組態以選擇適當潛在變數。該一或多個輔助模型經組態以與該一或多個輸入模型、該共同模型、該一或多個輸出模型及/或該預測模型同時進行訓練。
在一些實施例中,該一或多個輔助模型包含一或多個晶圓模型;至該一或多個晶圓模型之輸入包含以下中之一或多者:一晶圓半徑及/或角,其包含與一晶圓上之一圖案相關聯之極座標中之一位置;一第二角,其與該晶圓上之該圖案相關聯;及/或一晶圓鑑別;該一或多個晶圓模型與圖案傾斜相關聯;且該等所產生標籤耦接至該潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之一知情分解係藉由該模組自動編碼器模型執行。
在一些實施例中,該一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之該圖案傾斜與其他不對稱性分開。
在一些實施例中,該一或多個輔助模型經嵌套有該模組自動編碼器模型之一或多個其他輔助模型及/或一或多個其他模型,且包括光瞳資料之其他輸入用作至該一或多個輔助模型之輸入。
根據另一實施例,提供一種其上具有指令之非暫時性電腦可讀媒體。該等指令經組態以使得一電腦執行用於參數估計之一機器學習模型。該機器學習模型包含:一或多個第一模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度;一第二模型,其經組態以:組合該經處理一或多個輸入且降低該組合的經處理一或多個輸入之一維度;將該組合的經處理一或多個輸入擴展成該一或多個輸入之一或多個恢復版本,該一或多個輸入之該一或多個恢復版本適合用於產生一或多個不同輸出;一或多個第三模型,其經組態以使用該一或多個輸入之該一或多個恢復版本以產生該一或多個不同輸出;及一第四模型,其經組態以基於該等降低維度組合的經壓縮輸入及該一或多個不同輸出來估計一參數。在一些實施例中,該一或多個第三模型之個別模型包含兩個或更多個子模型,該兩個或更多個子模型與一製造程序及/或感測操作之不同部分相關聯。
在一些實施例中,該兩個或更多個子模型包含用於一半導體製造程序之一感測器模型及一堆疊模型。
在一些實施例中,該一或多個第一模型、該第二模型及該一或多個第三模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該機器學習模型中之其他模型之外,該一或多個第一模型、該第二模型及/或該一或多個第三模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,基於一製造程序及/或一感測操作之不同部分中之程序物理性質差異而判定該一或多個第一模型之一數量及該一或多個第三模型之一數量。
在一些實施例中,第一模型之數目與第二模型之數目不同。
在一些實施例中,該第二模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構;壓縮該一或多個輸入包含編碼;且將該等組合的經壓縮一或多個輸入擴展成該一或多個輸入之一或多個恢復版本包含解碼。
在一些實施例中,藉由比較該一或多個不同輸出與對應輸入,且調整該一或多個第一模型、該第二模型及/或該一或多個第三模型以減小或最小化一輸出與一對應輸入之間的一差來訓練該機器學習模型。
在一些實施例中,該第二模型包含一編碼器及一解碼器,且該第二模型藉由以下進行訓練:應用一潛在空間中之變化低維度資料,使得該第二模型解碼一相對更連續潛在空間以產生一解碼器信號;以遞歸方式將該解碼器信號提供至該編碼器以產生新低維度資料;比較該新低維度資料與該低維度資料;及基於該比較而調整該第二模型以減小或最小化該新低維度資料與該低維度資料之間的一差。
在一些實施例中,該參數為一半導體製造程序參數;該一或多個第一模型及/或該一或多個第三模型包含該機器學習模型之密集前饋層、廻旋層及/或殘餘網路架構;該第二模型包含前饋層及/或殘餘層;且該第四模型包含前饋層及/或殘餘層。
在一些實施例中,該機器學習模型包含一或多個第五模型,其經組態以產生用於該降低維度組合的經處理輸入中之至少一些的標籤該等標籤經組態以供用於估計之該第四模型使用。
在一些實施例中,該等標籤經組態以由該機器學習模型使用以將一行為施加於一潛在空間及/或該第四模型之輸出上,且該行為與一類可能信號相關聯。
在一些實施例中,該第四模型包含一或多個第四模型,且該一或多個第四模型經組態以基於該等標籤及/或來自該一或多個第五模型之一或多個不同輸出而估計該一或多個參數。
在一些實施例中,至該一或多個第五模型之輸入包含與一晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。
在一些實施例中,該一或多個第五模型經組態以使用一成本函數進行訓練,以最小化該等所產生標籤與一或多個第四模型之輸出之間的一差。該一或多個第四模型經組態以選擇適當潛在變數;且該一或多個第五模型經組態以與該一或多個第一模型、該第二模型、該一或多個第三模型及/或該第四模型同時進行訓練。
在一些實施例中,該一或多個第五模型包含一或多個晶圓模型;至該一或多個晶圓模型之輸入包含以下中之一或多者:一晶圓半徑及/或角,其包含與一晶圓上之一圖案相關聯之極座標中之一位置;一第二角,其與該晶圓上之該圖案相關聯;及/或一晶圓鑑別;該一或多個晶圓模型與圖案傾斜相關聯;且該等所產生標籤耦接至一潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之一知情分解係藉由該機器學習模型執行。
在一些實施例中,該一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之該圖案傾斜與其他不對稱性分開。
在一些實施例中,該一或多個第五模型經嵌套有該機器學習模型之一或多個其他第五模型及/或一或多個其他模型,且其中包括光瞳資料之其他輸入用作至該一或多個第五模型之輸入。
資料驅動推斷方法已經提議用於半導體度量衡操作且用於該參數估計任務。其依賴於將量測特徵映射至所關注參數之大量搜集之量測及模型,其中經由晶圓上之經謹慎設計之目標或自第三方量測獲得此等參數之標籤。當前方法能夠量測相當大數目個通道(多個波長、多個晶圓旋轉下之觀測結果、四個光偏振方案等)。然而,由於實際時序限制,通道之數目需要限於用於產生量測之彼等可用通道之一子集。為了選擇最佳通道,通常使用測試所有可能的通道組合之一蠻力方法。此為耗時的,從而導致長量測及/或程序配方產生時間。另外,一蠻力方法可易於過度擬合,每通道引入一不同偏差及/或其他缺點。
有利地,本發明模組自動編碼器模型經組態用於藉由基於可用通道使用複數個輸入模型之一子集估計資訊內容之可擷取數量而從來自一光學度量衡平台之量測資料之可用通道之一組合估計所關注參數。本發明模型經組態以藉由隨機地或以其他方式反覆地變化(例如,子選擇)用於在反覆訓練步驟期間接近於輸入的通道的數目來進行訓練。此反覆變化/子選擇確保該模型對於輸入通道之任何組合保持預測性/一致。此外,由於存在於該等輸入中之該資訊內容表示所有通道(例如,由於每一通道為用於至少一個訓練反覆的選定通道之該子集之一部分),因此所得模型將不包括特定於一個特定通道之一偏差。
應注意,與本發明模組自動編碼器模型聯合使用之術語自動編碼器通常可指經組態以用於使用一潛在空間進行部分監督式學習以用於參數估計之一或多個自動編碼器及/或其他自動編碼器。
根據一實施例,提供一種其上具有指令之非暫時性電腦可讀媒體。該等指令經組態以使得一電腦執行一模組自動編碼器模,該模組自動編碼器模用於藉由基於可用通道使用複數個輸入模型之一子集估計資訊內容之可擷取數量而從來自一光學度量衡平台之量測資料之可用通道之一組合估計所關注參數。該等指令引起操作,該等操作包含:使得該複數個輸入模型基於該等可用通道而壓縮複數個輸入,使得該複數個輸入適合於彼此組合;及使得一共同模型組合該等經壓縮輸入且基於該等組合的經壓縮輸入在一潛在空間中產生低維度資料,該低維度資料估計該等可擷取數量,且該潛在空間中之該低維度資料經組態以由一或多個額外模型使用以產生該複數個輸入之近似值及/或基於該低維度資料而估計一參數。
在一些實施例中,該等指令引起包含以下之其他操作:藉由以下訓練該模組自動編碼器模型:反覆地變化經壓縮輸入之一子集以藉由該共同模型進行組合且用於產生訓練低維度資料;比較一或多個訓練近似值及/或基於該訓練低維度資料而產生或預測之一訓練參數與一對應參考;及基於該比較而調整該複數個輸入模型中之一或多者、該共同模型及/或該等額外模型中之一或多者以減小或最小化該一或多個訓練近似值及/或該訓練參數與該參考之間的一差;使得該共同模型經組態以組合該等經壓縮輸入且產生該低維度資料以用於產生該等近似值及/或估計參數,而不管該複數個輸入中之哪些輸入係由該共同模型組合。
在一些實施例中,個別反覆之變化為隨機的,或個別反覆之變化以一統計學上有意義之方式變化。
在一些實施例中,個別反覆之變化經組態以使得在目標數目次反覆之後,該等經壓縮輸入中之每一者已至少一次包括於經壓縮輸入之該子集中。
在一些實施例中,反覆地變化由該共同模型組合且用於產生訓練低維度資料的經壓縮輸入之一子集包含自可能可用通道之一集合當中進行通道選擇,可能可用通道之該集合與該光學度量衡平台相關聯。
在一些實施例中,重複該反覆地變化、該比較及該調整直至一目標收斂。
在一些實施例中,該反覆地變化、該比較及該調整經組態以減小或消除可針對跨越通道之一組合搜尋發生的偏差。
在一些實施例中,該一或多個額外模型包含:一或多個輸出模型,其經組態以產生該一或多個輸入之近似值;及一預測模型,其經組態以基於該低維度資料而估計該參數,及該複數個輸入模型、該共同模型及/或該等額外模型中之一或多者經組態以經調整以減小或最小化一或多個訓練近似值及/或一訓練製造程序參數與一對應參考之間的一差。
在一些實施例中,該複數個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該複數個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,個別輸入模型包含:一神經網路區塊,其包含該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且該共同模型包含一神經網路區塊,其包含前饋層及/或殘餘層。
根據另一實施例,提供一種用於藉由基於可用通道使用一模組自動編碼器模型之複數個輸入模型之一子集估計資訊內容之可擷取數量而從來自一光學度量衡平台之量測資料之可用通道之一組合估計所關注參數之方法。該方法包含:使得該複數個輸入模型基於該等可用通道而壓縮複數個輸入,使得該複數個輸入適合於彼此組合;及使該模組自動編碼器模型之一共同模型組合該等經壓縮輸入且基於該等組合的經壓縮輸入而在一潛在空間中產生低維度資料,該低維度資料估計該等可擷取數量,且該潛在空間中之該低維度資料經組態以由一或多個額外模型使用以產生該複數個輸入之近似值及/或基於該低維度資料而估計一參數。
在一些實施例中,該方法進一步包含藉由以下訓練該模組自動編碼器模型:反覆地變化藉由該共同模型組合且用於產生訓練低維度資料之經壓縮輸入之一子集;比較一或多個訓練近似值及/或基於該訓練低維度資料而產生或預測之一訓練參數與一對應參考;及基於該比較而調整該複數個輸入模型中之一或多者、該共同模型及/或該等額外模型中之一或多者以減小或最小化該一或多個訓練近似值及/或該訓練參數與該參考之間的一差;使得該共同模型經組態以組合該等經壓縮輸入且產生該低維度資料以用於產生該等近似值及/或估計參數,而不管該複數個輸入中之哪些輸入係由該共同模型組合。
在一些實施例中,個別反覆之變化為隨機的,或個別反覆之變化以一統計學上有意義之方式變化。
在一些實施例中,個別反覆之變化經組態以使得在目標數目次反覆之後,該等經壓縮輸入中之每一者已至少一次包括於經壓縮輸入之該子集中。
在一些實施例中,反覆地變化由該共同模型組合且用於產生訓練低維度資料的經壓縮輸入之一子集包含自可能可用通道之一集合當中進行通道選擇,可能可用通道之該集合與該光學度量衡平台相關聯。
在一些實施例中,重複該反覆地變化、該比較及該調整直至一目標收斂。
在一些實施例中,該反覆地變化、該比較及該調整經組態以減小或消除可針對跨越通道之一組合搜尋發生的偏差。
在一些實施例中,該一或多個額外模型包含:一或多個輸出模型,其經組態以產生該一或多個輸入之近似值;及一預測模型,其經組態以基於該低維度資料而估計該參數,及該複數個輸入模型、該共同模型及/或該等額外模型中之一或多者經組態以經調整以減小或最小化一或多個訓練近似值及/或一訓練製造程序參數與一對應參考之間的一差。
在一些實施例中,該複數個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該複數個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,個別輸入模型包含:一神經網路區塊,其包含該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且該共同模型包含一神經網路區塊,其包含前饋層及/或殘餘層。
根據另一實施例,提供一種系統,其用於藉由基於可用通道使用一模組自動編碼器模型之複數個輸入模型之一子集估計資訊內容之可擷取數量而從來自一光學度量衡平台之量測資料之可用通道之一組合估計所關注參數。該系統包含:該複數個輸入模型,該複數個輸入模型經組態以基於該等可用通道壓縮複數個輸入,使得該複數個輸入適合於彼此組合;及該模組自動編碼器模型之一共同模型,其經組態以組合該等經壓縮輸入且基於該等組合的經壓縮輸入在一潛在空間中產生低維度資料,該低維度資料估計該等可擷取數量,且該潛在空間中之該低維度資料經組態以由一或多個額外模型使用以產生該複數個輸入之近似值及/或基於該低維度資料而估計一參數。
在一些實施例中,該模組自動編碼器模型經組態以藉由以下進行訓練:反覆地變化藉由該共同模型組合且用於產生訓練低維度資料之經壓縮輸入之一子集;比較一或多個訓練近似值及/或基於該訓練低維度資料而產生或預測之一訓練參數與一對應參考;及基於該比較而調整該複數個輸入模型中之一或多者、該共同模型及/或該等額外模型中之一或多者以減小或最小化該一或多個訓練近似值及/或該訓練參數與該參考之間的一差;使得該共同模型經組態以組合該等經壓縮輸入且產生該低維度資料以用於產生該等近似值及/或估計參數,而不管該複數個輸入中之哪些輸入由該共同模型組合。
在一些實施例中,個別反覆之變化為隨機的,或個別反覆之變化以一統計學上有意義之方式變化。
在一些實施例中,個別反覆之變化經組態以使得在目標數目次反覆之後,該等經壓縮輸入中之每一者已至少一次包括於經壓縮輸入之該子集中。
在一些實施例中,反覆地變化由該共同模型組合且用於產生訓練低維度資料的經壓縮輸入之一子集包含自可能可用通道之一集合當中進行通道選擇,可能可用通道之該集合與該光學度量衡平台相關聯。
在一些實施例中,重複該反覆地變化、該比較及該調整直至一目標收斂。
在一些實施例中,該反覆地變化、該比較及該調整經組態以減小或消除可針對跨越通道之一組合搜尋發生的偏差。
在一些實施例中,該一或多個額外模型包含一或多個輸出模型,其經組態以產生該一或多個輸入之近似值,及一預測模型,其經組態以基於該低維度資料而估計該參數,且該複數個輸入模型、該共同模型及/或該等額外模型中之一或多者經組態以經調整以減小或最小化一或多個訓練近似值及/或一訓練製造程序參數與一對應參考之間的一差。
在一些實施例中,該複數個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該複數個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,個別輸入模型包含:一神經網路區塊,其包含該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且該共同模型包含一神經網路區塊,其包含前饋層及/或殘餘層。
根據另一實施例,提供一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得一電腦執行用於參數估計之一模組自動編碼器模型。該等指令引起包含以下之操作:使得複數個輸入模型壓縮複數個輸入,使得該複數個輸入適合於彼此組合;及使一共同模型組合該等經壓縮輸入且基於該等組合的經壓縮輸入在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料經組態以由一或多個額外模型使用以產生該一或多個輸入之近似值及/或基於該低維度資料而預測該參數,其中該共同模型經組態以組合該等經壓縮輸入且產生該低維度資料,而不管該複數個輸入中之哪些輸入由該共同模型組合。
在一些實施例中,該等指令引起包含以下之其他操作:藉由以下訓練該模組自動編碼器:反覆地變化藉由該共同模型組合且用於產生訓練低維度資料之經壓縮輸入之一子集;比較一或多個訓練近似值及/或基於該訓練低維度資料而產生或估計之一訓練參數與一對應參考;及基於該比較而調整該複數個輸入模型、該共同模型及/或該等額外模型中之一或多者以減小或最小化該一或多個訓練近似值及/或該訓練參數與該參考之間的一差;使得該共同模型經組態以組合該等經壓縮輸入且產生該低維度資料以用於產生該等近似值及/或估計一程序參數,而不管該複數個輸入中之哪些輸入係由該共同模型組合。
在一些實施例中,個別反覆之變化為隨機的,或個別反覆之變化以一統計學上有意義之方式變化。在一些實施例中,個別反覆之變化經組態以使得在目標數目次反覆之後,該等經壓縮輸入中之每一者已至少一次包括於經壓縮輸入之該子集中。
在一些實施例中,該一或多個額外模型包含一或多個輸出模型,其經組態以產生該一或多個輸入之近似值,及一預測模型,其經組態以基於該低維度資料而估計一參數,且基於該比較而調整該複數個輸入模型、該共同模型及/或該等額外模型中之一或多者以減小或最小化該一或多個訓練近似值及/或該訓練參數與該參考之間的一差包含調整至少一個輸出模型及/或該預測模型。
在一些實施例中,該複數個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該複數個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,反覆地變化由該共同模型組合且用於產生訓練低維度資料的經壓縮輸入之一子集包含自可能通道之一集合當中進行通道選擇,可能通道之該集合與一半導體製造程序及/或感測操作之一或多個態樣相關聯。
在一些實施例中,重複該反覆地變化、該比較及該調整直至一目標收斂。
在一些實施例中,該反覆地變化、該比較及該調整經組態以減小或消除相對於可針對跨越通道之一組合搜尋發生的一偏差之偏差。
在一些實施例中,該參數為一半導體製造程序參數;個別輸入模型包含一神經網路區塊,其包含該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且該共同模型包含一神經網路區塊,其包含前饋層及/或殘餘層。
在半導體製造中,光學度量衡可用於量測產品(例如圖案化晶圓)結構正上方之臨界堆疊參數。機器學習方法通常應用於使用一度量衡平台獲取之光學散射量測資料之上。此等機器學習方法概念上相當於監督式學習方法,亦即自經標記資料集學習。此類方法之成功很大程度上視該等標籤之品質而定。通常,藉由量測及標記一晶圓上之已知目標來產生經標記資料集。
以此方式使用目標之主要挑戰中之一者為該等目標僅提供極準確的相對標籤之事實。此意謂在目標之一個叢集內,存在某一未知叢集偏差,其上之準確標籤為已知的。判定此未知叢集偏差且因此獲得絕對標籤對於基於目標之配方的準確度至關重要。估計該叢集偏差之步驟通常稱為標籤校正。
有利地,本發明模組自動編碼器模型經組態以使得輸入之已知屬性(例如,域知識)可在訓練階段期間嵌入至該模型中,此情形減小或消除藉由該模型進行之後續推斷中之任何此偏差。換言之,本發明模組自動編碼器經組態以使得輸入之已知(例如,對稱性)屬性嵌入至該模型之解碼部分中,且此等嵌入之已知屬性允許該模型作出無偏差推斷。
應注意,與本發明模組自動編碼器模型聯合使用之術語自動編碼器通常可指經組態以用於使用一潛在空間進行部分監督式學習以用於參數估計之一或多個自動編碼器及/或其他自動編碼器。
根據一實施例,提供一種其上具有指令之非暫時性電腦可讀媒體。該等指令經組態以使得一電腦執行具有一延伸應用性範圍之一模組自動編碼器模型,該模組自動編碼器模型用於藉由在該模組自動編碼器模型之一解碼器中對該模組自動編碼器模型強制執行輸入之已知屬性來估計光學度量衡操作之所關注參數。該等指令引起包含以下之操作:使得該模組自動編碼器模型之一編碼器編碼一輸入以在一潛在空間中產生該輸入之一低維度表示;及使得該模組自動編碼器模型之該解碼器藉由解碼該低維度表示而產生對應於該輸入之一輸出。該解碼器經組態以在解碼期間強制執行該經編碼輸入之一已知屬性以產生該輸出。該已知屬性與該潛在空間中之該低維度表示與該輸出之間的一已知物理關係相關聯。一所關注參數基於該輸出及/或該潛在空間中之該輸入之該低維度表示而進行估計。
在一些實施例中,強制執行包含使用與該解碼器相關聯之一成本函數中之一懲罰項來懲罰該輸出與應根據該已知屬性產生之一輸出之間的差。
在一些實施例中,該懲罰項包含該輸入之該低維度表示之經由物理先驗彼此相關的解碼版本之間的一差。
在一些實施例中,該已知屬性為一已知對稱性屬性,且該懲罰項包含該輸入之該低維度表示之解碼版本之間的一差,該等解碼版本相對於彼此跨越一對稱點反射或圍繞一對稱點旋轉。
在一些實施例中,該編碼器及/或該解碼器經組態以基於該低維度表示之該等解碼版本之間的任何差而進行調整,且調整包含調整與該編碼器及/或該解碼器之一層相關聯的至少一個權重。
在一些實施例中,該輸入包含與一半導體製造程序中之一感測操作相關聯的一感測器信號,該輸入之該低維度表示為該感測器信號的一經壓縮表示,且該輸出為該輸入感測器信號之一近似值。
在一些實施例中,該感測器信號包含一光瞳影像,且該光瞳影像之一編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。
在一些實施例中,該等指令引起包含以下之其他操作:藉由該模組自動編碼器模型之一輸入模型將該輸入處理成適合於與其他輸入組合之一第一級維度,且將該經處理輸入提供至該編碼器;藉由該模組自動編碼器模型之一輸出模型,自該解碼器接收該輸入之一擴展版本,且基於該擴展版本而產生該輸入之一近似值;及藉由該模組自動編碼器模型之一預測模型,基於該潛在空間中之該輸入之該低維度表示及/或該輸出(該輸出包含該輸入之該近似值及/或與該近似值相關)而估計該所關注參數。
在一些實施例中,該輸入模型、該編碼器/解碼器及該輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該輸入模型、該編碼器/解碼器及/或該輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,該解碼器經組態以在一訓練階段期間強制執行該經編碼輸入之一已知對稱性屬性,使得該模組自動編碼器模型在一推斷階段期間遵從該強制執行的已知對稱性屬性。
在一些實施例中,提供一種用於藉由具有一延伸應用性範圍之一模組自動編碼器模型,藉由在該模組自動編碼器模型之一解碼器中對該模組自動編碼器模型強制執行輸入之已知屬性來估計光學度量衡操作之所關注參數的方法。該方法包含:使得該模組自動編碼器模型之一編碼器編碼一輸入以在一潛在空間中產生該輸入之一低維度表示;及使得該模組自動編碼器模型之該解碼器藉由解碼該低維度表示而產生對應於該輸入之一輸出。該解碼器經組態以在解碼期間強制執行該經編碼輸入之一已知屬性以產生該輸出。該已知屬性與該潛在空間中之該低維度表示與該輸出之間的一已知物理關係相關聯。一所關注參數基於該輸出及/或該潛在空間中之該輸入之該低維度表示而進行估計。
在一些實施例中,強制執行包含使用與該解碼器相關聯之一成本函數中之一懲罰項來懲罰該輸出與應根據該已知屬性產生之一輸出之間的差。
在一些實施例中,該懲罰項包含該輸入之該低維度表示之經由物理先驗彼此相關的解碼版本之間的一差。
在一些實施例中,該已知屬性為一已知對稱性屬性,且該懲罰項包含該輸入之該低維度表示之解碼版本之間的一差,該等解碼版本相對於彼此跨越一對稱點反射或圍繞一對稱點旋轉。
在一些實施例中,該編碼器及/或該解碼器經組態以基於該低維度表示之該等解碼版本之間的任何差而進行調整,且調整包含調整與該編碼器及/或該解碼器之一層相關聯的至少一個權重。
在一些實施例中,該輸入包含與一半導體製造程序中之一感測操作相關聯的一感測器信號,該輸入之該低維度表示為該感測器信號的一經壓縮表示,且該輸出為該輸入感測器信號之一近似值。
在一些實施例中,該感測器信號包含一光瞳影像,且該光瞳影像之一編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。
在一些實施例中,該方法進一步包含藉由該模組自動編碼器模型之一輸入模型將該輸入處理成適合於與其他輸入組合之一第一級維度,且將該經處理輸入提供至該編碼器;藉由該模組自動編碼器模型之一輸出模型,自該解碼器接收該輸入之一擴展版本,且基於該擴展版本而產生該輸入之一近似值;及藉由該模組自動編碼器模型之一預測模型,基於該潛在空間中之該輸入之該低維度表示及/或該輸出(該輸出包含該輸入之該近似值及/或與該近似值相關)而估計該所關注參數。
在一些實施例中,該輸入模型、該編碼器/解碼器及該輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該輸入模型、該編碼器/解碼器及/或該輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,該解碼器經組態以在一訓練階段期間強制執行該經編碼輸入之一已知對稱性屬性,使得該模組自動編碼器模型在一推斷階段期間遵從該強制執行的已知對稱性屬性。
根據另一實施例,提供一種系統,其經組態以執行具有一延伸應用性範圍之一模組自動編碼器模型,該模組自動編碼器模型用於藉由在該模組自動編碼器模型之一解碼器中對該模組自動編碼器模型強制執行輸入之已知屬性來估計光學度量衡操作之所關注參數。該系統包含:該模組自動編碼器模型之一編碼器,其經組態以編碼一輸入以在一潛在空間中產生該輸入之一低維度表示;及該模組自動編碼器模型之該解碼器,該解碼器經組態以藉由解碼該低維度表示而產生對應於該輸入之一輸出。該解碼器經組態以在解碼期間強制執行該經編碼輸入之一已知屬性以產生該輸出。該已知屬性與該潛在空間中之該低維度表示與該輸出之間的一已知物理關係相關聯。一所關注參數基於該輸出及/或該潛在空間中之該輸入之該低維度表示而進行估計。
在一些實施例中,強制執行包含使用與該解碼器相關聯之一成本函數中之一懲罰項來懲罰該輸出與應根據該已知屬性產生之一輸出之間的差。
在一些實施例中,該懲罰項包含該輸入之該低維度表示之經由物理先驗彼此相關的解碼版本之間的一差。
在一些實施例中,該已知屬性為一已知對稱性屬性,且該懲罰項包含該輸入之該低維度表示之解碼版本之間的一差,該等解碼版本相對於彼此跨越一對稱點反射或圍繞一對稱點旋轉。
在一些實施例中,該編碼器及/或該解碼器經組態以基於該低維度表示之該等解碼版本之間的任何差而進行調整,且調整包含調整與該編碼器及/或該解碼器之一層相關聯的至少一個權重。
在一些實施例中,該輸入包含與一半導體製造程序中之一感測操作相關聯的一感測器信號,該輸入之該低維度表示為該感測器信號的一經壓縮表示,且該輸出為該輸入感測器信號之一近似值。
在一些實施例中,該感測器信號包含一光瞳影像,且該光瞳影像之一編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。
在一些實施例中,該系統進一步包含該模組自動編碼器模型之一輸入模型,其經組態以將該輸入處理成適合於與其他輸入組合之一第一級維度,且將該經處理輸入提供至該編碼器;該模組自動編碼器模型之一輸出模型,其經組態以自該解碼器接收該輸入之一擴展版本,且基於該擴展版本而產生該輸入之一近似值;及該模組自動編碼器模型之一預測模型,其經組態以基於該潛在空間中之該輸入之該低維度表示而估計該所關注參數。
在一些實施例中,該輸入模型、該編碼器/解碼器及該輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該輸入模型、該編碼器/解碼器及/或該輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,該解碼器經組態以在一訓練階段期間強制執行該經編碼輸入之一已知對稱性屬性,使得該模組自動編碼器模型在一推斷階段期間遵從該強制執行的已知對稱性屬性。
在一些實施例中,提供一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得一電腦執行一模組自動編碼器模型,該模組自動編碼器模型經組態以基於一輸入而產生一輸出。該等指令引起包含以下之操作:使得該模組自動編碼器模型之一編碼器編碼該輸入以在一潛在空間中產生該輸入之一低維度表示;及使得該模組自動編碼器模型之一解碼器藉由解碼該低維度表示而產生該輸出。該解碼器經組態以在解碼期間強制執行該經編碼輸入之一已知屬性以產生該輸出,該已知屬性與該潛在空間中之該低維度表示與該輸出之間的一已知物理關係相關聯。
在一些實施例中,強制執行包含使用與該解碼器相關聯之一成本函數中之一懲罰項來懲罰該輸出與應根據該已知屬性產生之一輸出之間的差。
在一些實施例中,該懲罰項包含該輸入之該低維度表示之經由物理先驗彼此相關的解碼版本之間的一差。
在一些實施例中,該編碼器及/或該解碼器經組態以基於該低維度表示之該等解碼版本之間的任何差而進行調整,且調整包含調整與該編碼器及/或該解碼器之一層相關聯的至少一個權重。
在一些實施例中,該輸入包含與一半導體製造程序中之一感測操作相關聯的一感測器信號,該輸入之該低維度表示為該感測器信號的一經壓縮表示,且該輸出為該輸入感測器信號之一近似值。
在一些實施例中,該感測器信號包含一光瞳影像,且該光瞳影像之一編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。
在一些實施例中,該模組自動編碼器模型進一步包含:一輸入模型,其經組態以將該輸入處理成適合於與其他輸入組合之一第一級維度,且將該經處理輸入提供至該編碼器;一輸出模型,其經組態以自該解碼器接收該輸入之一擴展版本,且基於該擴展版本產生該輸入之該近似值;及一預測模型,其經組態以基於該潛在空間中之該輸入之該低維度表示而估計一製造程序參數。
在一些實施例中,該參數為一半導體製造程序參數;該輸入模型包含一神經網路區塊,其包含該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;該編碼器及/或解碼器包含一神經網路區塊,其包含前饋層及/或殘餘層;且該預測模型包含一神經網路區塊,其包含前饋層及/或殘餘層。
在一些實施例中,該輸入模型、該編碼器/解碼器及該輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該輸入模型、該編碼器/解碼器及/或該輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
在一些實施例中,該解碼器經組態以在一訓練階段期間強制執行該經編碼輸入之一已知對稱性屬性,使得該模組自動編碼器模型在一推斷階段期間遵從該強制執行的已知對稱性屬性。
如上文所描述,自動編碼器可經組態以用於度量衡及/或用於參數推斷及/或用於其他目的之其他解決方案。此深度學習模型架構為通用的且可擴展至任意大小及複雜度。自動編碼器經組態以將高維信號(例如半導體度量衡平台中之光瞳影像)壓縮至同一信號之高效低維度表示。接著,自低維度表示針對已知標籤之集合執行參數推斷(亦即回歸)。藉由首先壓縮信號,與直接對高維信號執行回歸相比,推斷問題顯著簡化。
然而,通常難以理解典型自動編碼器內部之資訊流。吾人可推論出輸入處、經壓縮低維度表示之層級處及輸出處之資訊。吾人無法容易地解釋此等點之間的資訊。
資料驅動推斷方法已經提議用於半導體度量衡操作且用於參數估計任務。其依賴於將量測特徵映射至所關注參數之大量搜集之量測及模型,其中經由晶圓上之經謹慎設計之目標或自第三方量測獲得此等參數之標籤。當前方法能夠量測相當大數目個通道(多個波長、多個晶圓旋轉下之觀測結果、四個光偏振方案等)。然而,由於實際時序限制,通道之數目需要限於用於產生量測之彼等可用通道之子集。為了選擇最佳通道,通常使用測試所有可能通道組合之蠻力方法。此為耗時的,從而導致長量測及/或程序配方產生時間。另外,蠻力方法可易於過度擬合,每通道引入不同偏差及/或其他缺點。
在半導體製造中,光學度量衡可用於量測產品(例如圖案化晶圓)結構正上方之臨界堆疊參數。機器學習方法通常應用於使用度量衡平台獲取之光學散射量測資料之上。此等機器學習方法概念上相當於監督式學習方法,亦即自經標記資料集學習。此類方法之成功很大程度上視標籤之品質而定。通常,藉由量測及標記晶圓中之已知目標來產生經標記資料集。以此方式使用目標之主要挑戰中之一者為該等目標僅提供極準確的相對標籤之事實。此意謂在目標之一個叢集內,存在某一未知叢集偏差,其上之準確標籤為已知的。判定此未知叢集偏差且因此獲得絕對標籤對於基於目標之配方的準確度至關重要。估計叢集偏差之步驟通常稱為標籤校正。
與傳統單石自動編碼器模型相比,本發明模組自動編碼器模型剛性較小。本發明模組自動編碼器模型具有更大數目之可訓練及/或另外可調整組件。本發明模型之模組性使得其更易於解譯、定義及擴展。本發明模型之複雜度足夠高以模型化產生提供至模型之資料的程序,但足夠低以避免模型化雜訊或其他非所需特性(例如,本發明模型經組態以避免過度擬合所提供資料)。由於產生資料之程序(或至少程序之態樣)常常為未知的,因此選擇適當網路複雜度通常涉及一些直覺及試錯法。出於此原因,特別需要提供模型架構,其為模組化的、易於理解且在複雜度上易於按比例增大及降低。
另外,本發明模組自動編碼器模型經組態用於藉由基於可用通道使用複數個輸入模型之子集估計資訊內容之可擷取數量而從來自光學度量衡平台之量測資料之可用通道之組合估計所關注參數。本發明模型經組態以藉由隨機地或以其他方式反覆地變化(例如,子選擇)用於在反覆訓練步驟期間接近於輸入的通道的數目來進行訓練。此反覆變化/子選擇確保模型對於輸入通道之任何組合保持預測性/一致。此外,由於存在於輸入中之資訊內容表示所有通道(例如,由於每一通道為用於至少一個訓練反覆的選定通道之子集之一部分),因此所得模型將不包括特定於一個特定通道之偏差。
本發明模組自動編碼器模型亦經組態以使得輸入之已知屬性(例如,域知識)可在訓練階段期間嵌入至模型中,此情形減小或消除藉由模型進行之後續推斷中之(例如從集)偏差。換言之,本發明模組自動編碼器經組態以使得輸入之已知(例如,對稱性)屬性嵌入至該模型之解碼部分中,且此等嵌入之已知屬性允許模型作出無偏差推斷。
應注意,與本發明模組自動編碼器模型聯合使用的術語自動編碼器通常可指一或多個自動編碼器,或自動編碼器之一或多個部分,其經組態以用於使用潛在空間進行部分監督學習以用於參數估計及/或其他操作。另外,上文所描述之(例如,先前系統之)各種缺點及(本發明模組自動編碼器模型之)優點為許多其他可能缺點及優點之實例,且不應被視為限制性的。
最後,儘管在本文中可特定地參考積體電路之製造,但本文中之描述具有許多其他可能的應用。舉例而言,該描述可用於製造積體光學系統、用於磁域記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等。在此等替代應用中,熟習此項技術者應瞭解,在此等替代應用之內容背景中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應視為分別可與更一般之術語「遮罩」、「基板」及「目標部分」互換。另外,應注意,本文中所描述之方法在多樣化領域中可具有許多其他可能應用,該等領域諸如,語言處理系統、自動駕駛汽車、醫療成像及診斷、語意分段、去雜訊、晶片設計、電子設計自動化等。本發明方法可應用於其中量化機器學習模型預測中之不確定性係有利的任何領域中。
在本發明之文件中,術語「輻射」及「光束」用於涵蓋所有類型之電磁輻射,包括紫外輻射(例如,其中波長為365、248、193、157或126 nm)及極紫外輻射(EUV,例如,具有在約5至100 nm範圍內之波長)。
圖案化器件可包含或可形成一或多個設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局。此程序常常稱為電子設計自動化(EDA)。大多數CAD程式遵循預定設計規則集合,以便產生功能設計佈局/圖案化器件。基於處理及設計限制來設定此等規則。舉例而言,設計規則定義器件(諸如閘、電容器等)或互連線之間的空間容許度,以確保器件或線彼此不會以非所要方式相互作用。設計規則限制中之一或多者可稱為「臨界尺寸」(CD)。器件之臨界尺寸可被定義為線或孔之最小寬度或兩條線或兩個孔之間的最小空間。因此,CD調節經設計器件之總大小及密度。器件製造中之目標中之一者係在基板上如實地再生原始設計意圖(經由圖案化器件)。
如本文中所採用之術語「倍縮光罩」、「遮罩」或「圖案化器件」可廣泛地解釋為係指可用於向入射輻射光束賦予圖案化橫截面之通用圖案化器件,該圖案化橫截面對應於待在基板之目標部分中產生之圖案。術語「光閥」亦可在本文中使用。除了經典遮罩(透射或反射、二元、相移、混合等),其他此類圖案化器件之實例包括可程式化鏡面陣列。
作為簡要介紹,圖1示意性地描繪微影裝置LA。微影裝置LA包括:照明系統(亦稱為照明器) IL,其經組態以調節輻射光束B (例如UV輻射、DUV輻射或EUV輻射);遮罩支撐件(例如遮罩台) T,其經建構以支撐圖案化器件(例如遮罩) MA且連接至經組態以根據某些參數準確地定位圖案化器件MA之第一定位器PM;基板支撐件(例如晶圓台) WT,其經組態以固持基板(例如抗蝕劑塗佈晶圓) W且耦接至經組態以根據某些參數準確地定位基板支撐件之第二定位器PW;及投影系統(例如折射投影透鏡系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B的圖案投影至基板W之目標部分C (例如包含一或多個晶粒)上。
在操作中,照明系統IL例如經由光束遞送系統BD自輻射源SO接收輻射光束。照明系統IL可包括用於導引、塑形及/或控制輻射的各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電及/或其他類型之光學組件或其任何組合。照明器IL可用以調節輻射光束B,以在其橫截面中在圖案化器件MA之平面處具有所需空間及角強度分佈。
本文所使用之術語「投影系統」PS應被廣泛地解釋為涵蓋適於所使用之曝光輻射及/或適於諸如浸潤液體之使用或真空之使用之其他因素的各種類型之投影系統,包括折射、反射、反射折射、合成、磁性、電磁及/或靜電光學系統或其任何組合。可視為本文中對術語「投影透鏡」之任何使用與更一般之術語「投影系統」PS同義。
微影裝置LA可屬於一種類型,其中基板的至少一部分可由具有相對高折射率之液體,例如水覆蓋,以便填充投影系統PS與基板W之間的空間--此亦稱為浸潤微影。在以引用之方式併入本文中的US6952253中給出關於浸潤技術之更多資訊。
微影裝置LA亦可屬於具有兩個或更多個基板支撐件WT (亦稱為「雙載物台」)之類型。在此「多載物台」機器中,可並行地使用基板支撐件WT,及/或可對位於基板支撐件WT中之一者上的基板W進行準備基板W之後續曝光的步驟,同時將另一基板支撐件WT上之另一基板W用於在另一基板W上曝光圖案。
除基板支撐件WT以外,微影裝置LA亦可包含量測載物台。量測載物台經配置以固持感測器及/或清潔器件。感測器可經配置以量測投影系統PS之屬性或輻射光束B之屬性。量測載物台可固持多個感測器。清潔器件可經配置以清潔微影裝置之部分,例如投影系統PS之一部分或提供浸潤液體之系統的一部分。量測載物台可在基板支撐件WT遠離投影系統PS時在投影系統PS下方移動。
在操作中,輻射光束B入射於固持於遮罩支撐件MT上之圖案化器件(例如遮罩) MA上,且藉由呈現於圖案化器件MA上之圖案(設計佈局)圖案化。在已橫穿遮罩MA的情況下,輻射光束B穿過投影系統PS,該投影系統將光束聚焦至基板W之目標部分C上。藉助於第二定位器PW及位置量測系統IF,可準確地移動基板支撐件WT,例如以便將輻射光束B之路徑中之不同目標部分C定位在聚焦及對準位置處。相似地,第一定位器PM及可能另一位置感測器(其未在圖1中明確地描繪)可用以相對於輻射光束B之路徑來準確地定位圖案化器件MA。可使用遮罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管如所說明之基板對準標記P1、P2佔據專用目標部分,但該等基板對準標記可位於目標部分之間的空間中。在基板對準標記P1、P2位於目標部分C之間時,該等基板對準標記稱作切割道對準標記。
圖2描繪微影單元LC之示意性概述。如圖2中所展示,微影裝置LA可形成微影單元LC之部分,有時亦稱為微影單元(lithocell)或(微影單元(litho))叢集,該微影單元常常亦包括用以對基板W執行曝光前程序及曝光後程序之裝置。習知地,此等裝置包括經組態以沈積抗蝕劑層之旋塗器SC、顯影經曝光抗蝕劑之顯影器DE、例如用於調節基板W之溫度,例如用於調節抗蝕劑層中之溶劑的冷卻板CH及烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取基板W,在不同程序裝置之間移動該等基板且將基板W遞送至微影裝置LA之裝載匣LB。微影單元中通常亦統稱為塗佈顯影系統之器件通常處於塗佈顯影系統控制單元TCU之控制下,該塗佈顯影系統控制單元自身可藉由監督控制系統SCS控制,該監督控制系統亦可例如經由微影控制單元LACU控制微影裝置LA。
為正確且一致地曝光由微影裝置LA曝光之基板W (圖1),合乎需要的係檢測基板以量測圖案化結構之屬性,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)等。為此目的,可在微影單元LC中包括檢測工具(未展示)。若偵測到誤差,則可例如對後續基板之曝光或對待對基板W執行之其他處理步驟進行調整,尤其在同一批量或批次之其他基板W仍待曝光或處理之前進行檢驗的情況下。
亦可稱為度量衡裝置之檢測裝置用於判定基板W之屬性(圖1),且特定言之判定不同基板W之屬性如何變化或與同一基板W之不同層相關聯之屬性在不同層間如何變化。檢查裝置可替代地經建構以識別基板W上之缺陷,且可例如為微影單元LC之部分,或可整合至微影裝置LA中,或可甚至為獨立器件。檢查裝置可量測潛影(曝光之後在抗蝕劑層中之影像)上之屬性,或半潛影(曝光後烘烤步驟PEB之後在抗蝕劑層中之影像)上之屬性,或經顯影抗蝕劑影像(其中抗蝕劑之曝光部分或未曝光部分已被移除)上之屬性或甚至經蝕刻影像(在諸如蝕刻之圖案轉印步驟之後)上之屬性。
圖3描繪整體微影之示意性表示,其表示用以最佳化半導體製造之三種技術之間的協作。通常,微影裝置LA中之圖案化程序為處理中最關鍵步驟中之一者,其要求基板W (圖1)上之結構之定尺度及置放之高準確度。為確保此高準確度,三個系統(在此實例中)可經組合於所謂的「整體」控制環境中,如圖3中示意性地描繪。此等系統中之一者為微影裝置LA,其(虛擬地)連接至度量衡裝置(例如度量衡工具) MT (第二系統),且連接至電腦系統CL (第三系統)。「整體」環境可經組態以最佳化此等三個系統之間的協作以增強總體程序窗且提供嚴格控制環路,從而確保藉由微影裝置LA執行之圖案化保持在程序窗內。程序窗定義程序參數(例如,劑量、聚焦、疊對)之範圍,在該範圍內,特定製造程序產生定義結果(例如,功能性半導體器件)--在該範圍內,通常允許微影程序或圖案化程序中之程序參數變化。
電腦系統CL可使用待圖案化之設計佈局(之部分)以預測使用哪種解析度增強技術且執行計算微影模擬且計算以判定哪種遮罩佈局及微影裝置設定達成圖案化程序之最大總體程序窗(在圖3中由第一標度SC1中之雙箭頭描繪)。典型地,解析度增強技術經配置以匹配微影裝置LA之圖案化可能性。電腦系統CL亦可用於偵測微影裝置LA當前正在程序窗內何處操作(例如,使用來自度量衡工具MT之輸入)以預測由於例如次佳處理是否可能存在缺陷(在圖3中由第二標度SC2中之指向「0」之箭頭描繪)。
度量衡裝置(工具) MT可將輸入提供至電腦系統CL以實現準確模擬及預測,且可將回饋提供至微影裝置LA以識別例如微影裝置LA之校準狀態下的可能漂移(在圖3中由第三標度SC3中之多個箭頭描繪)。
在微影程序中,合乎需要的係頻繁地對所產生結構進行量測,例如用於程序控制及驗證。用以進行此類量測的工具包括度量衡工具(裝置) MT。用於進行此類量測之不同類型的度量衡工具MT為已知的,包括掃描電子顯微鏡或各種形式之散射計度量衡工具MT。散射計為多功能儀器,其允許藉由在光瞳或與散射計之物鏡之光瞳共軛的平面中具有感測器來量測微影程序之參數,量測通常稱為基於光瞳之量測,或藉由在影像平面或與影像平面共軛之平面中具有感測器來量測微影程序之參數,在此情況下量測通常稱為基於影像或場之量測。以全文引用之方式併入本文中之專利申請案US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中進一步描述此類散射計及相關量測技術。舉例而言,前述散射計可使用來自軟x射線及可見光至近IR波長範圍之光來量測基板之特徵,諸如光柵。
在一些實施例中,散射計MT為角解析散射計。在此等實施例中,可將散射計重建構方法應用於量測信號以重建構或計算基板中之光柵及/或其他特徵之屬性。舉例而言,此重建構可由模擬散射輻射與目標結構之數學模型的互動及比較模擬結果與量測結果引起。調整數學模型之參數,直至經模擬互動產生與自真實目標觀測到之繞射圖案類似的繞射圖案為止。
在一些實施例中,散射計MT為光譜散射計MT。在此等實施例中,光譜散射計MT可經組態以使得將藉由輻射源發射之輻射經引導至基板之目標特徵上且將來自目標之經反射或經散射輻射引導至光譜儀偵測器,該光譜儀偵測器量測鏡面經反射輻射之光譜(亦即量測作為波長的函數之強度)。根據此資料,可例如藉由嚴密耦接波分析及非線性回歸或藉由與經模擬光譜庫相比較來重建構產生偵測到之光譜的目標之結構或輪廓。
在一些實施例中,散射計MT為橢偏量測散射計。橢圓量測散射計允許藉由量測針對每一偏振狀態之散射輻射來判定微影程序之參數。此度量衡裝置(MT)藉由在度量衡裝置之照明區段中使用例如適當偏振濾光器來發射偏振光(諸如線性、圓形或橢圓)。適合於度量衡裝置之源亦可提供偏振輻射。現有橢圓量測散射計之各種實施例描述於以全文引用之方式併入本文中之美國專利申請案11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110及13/891,410中。
在一些實施例中,散射計MT適於藉由量測經反射光譜及/或偵測組態中之不對稱性來量測兩個未對準光柵或週期性結構(及/或基板之其他目標特徵)之疊對,該不對稱性與疊對程度相關。兩個(通常重疊)光柵結構可應用於兩個不同層(未必為連續層)中,且可形成為處於晶圓上實質上相同的位置處。散射計可具有如例如專利申請案EP1,628,164A中所描述之對稱偵測組態,使得任何不對稱性可明確區分。此提供用以量測光柵中之未對準的方式。量測疊對之另外實例可見於以全文引用之方式併入本文中的PCT專利申請公開案第WO 2011/012624號或美國專利申請案US 20160161863中。
其他所關注參數可為聚焦及劑量。可藉由如以全文引用的方式併入本文中之美國專利申請案US2011-0249244中所描述之散射術(或替代地藉由掃描電子顯微法)同時判定聚焦及劑量。可使用單一結構(例如基板中之特徵),其具有焦點能量矩陣(FEM,亦稱為焦點曝光矩陣)中之每一點的臨界尺寸及側壁角量測之獨特組合。若臨界尺寸及側壁角之此等唯一組合為可獲得的,則可根據此等量測唯一地判定聚焦及劑量值。
度量衡目標可為基板中之複合光柵及/或其他特徵之集合,其藉由微影程序,通常在抗蝕劑中,但亦可在例如蝕刻程序之後形成。在一些實施例中,一或多組目標可經叢集於晶圓周圍之不同位置中。通常,光柵中之結構之間距及線寬取決於量測光學器件(尤其光學器件之NA)以能夠捕捉來自度量衡目標之繞射階。經繞射信號可用於判定兩個層之間的移位(亦稱為『疊對』)或可用於重建構如藉由微影程序所產生之原始光柵之至少部分。此重建構可用於提供微影程序之品質的導引,且可用於控制微影程序之至少一部分。目標可具有較小子分段,該等子分段經組態以模仿目標中之設計佈局的功能性部分之尺寸。由於此子分段,目標將表現得更類似於設計佈局之功能性部分,使得總程序參數量測與設計佈局之功能性部分相似。可在填充不足模式中或在填充過度模式中量測目標。在填充不足模式下,量測光束產生小於總體目標之光點。在過度填充模式下,量測光束產生大於總體目標之光點。在此填充過度模式中,亦有可能同時量測不同目標,因此同時判定不同處理參數。
使用特定目標之微影參數的總體量測品質至少部分由用於量測此微影參數的量測配方來判定。術語「基板量測配方」可包括量測自身之一或多個參數、經量測之一或多個圖案之一或多個參數,或兩者。舉例而言,若用於基板量測配方中之量測為基於繞射之光學量測,則量測之參數中之一或多者可包括輻射之波長、輻射之偏振、輻射相對於基板之入射角、輻射相對於基板上之圖案之定向等。用以選擇量測配方之準則中之一者可例如為量測參數中之一者對於處理變化的敏感度。更多實例描述於以全文引用的方式併入本文中之美國專利申請案US2016-0161863及公開之美國專利申請案US 2016/0370717A1中。
圖4說明諸如散射計之實例度量衡裝置(工具或平台) MT。MT包含將輻射投影至基板42上之寬頻(白光)輻射投影儀40。將經反射或經散射輻射傳遞至光譜儀偵測器44,該光譜儀偵測器量測鏡面反射輻射之光譜46 (亦即隨波長而變之強度之量測)。根據此資料,可藉由處理單元PU,例如藉由嚴密耦接波分析及非線性回歸或藉由與如圖3之底部處所展示之經模擬光譜庫相比較來重建構48產生偵測到之光譜的結構或輪廓。一般而言,對於重建構,結構之一般形式為已知的,且自用來製造結構之程序的知識來假定一些參數,僅留下結構之幾個參數自散射量測資料判定。舉例而言,此散射計可經組態為正入射散射計或斜入射散射計。
常常需要能夠以計算方式判定圖案化程序將如何在基板上產生所要圖案。計算判定可包含例如模擬及/或模型化。模型及/或模擬可針對製造程序之一或多個部分提供。舉例而言,能夠模擬將圖案化器件圖案轉印至基板之抗蝕劑層上的微影程序以及在抗蝕劑之顯影之後在彼抗蝕劑層中產生之圖案、模擬度量衡操作(諸如疊對之判定)及/或執行其他模擬。模擬之目的可為準確地預測例如度量衡度量(例如疊對、臨界尺寸,基板之特徵的三維輪廓之重建構、在基板之特徵用微影裝置印刷時微影裝置之劑量或焦點等)、製造程序參數(例如邊緣置放、空中影像強度斜率、次解析度輔助特徵(SRAF)等),及/或接著可用於判定是否已達成預期或目標設計的其他資訊。預期設計通常定義為預光學近接校正設計佈局,其可以諸如GDSII、OASIS或另一檔案格式之標準化數位檔案格式提供。
模擬及/或模型化可用於判定一或多個度量衡度量(例如執行疊對及/或其他度量衡量測)、組態圖案化器件圖案之一或多個特徵(例如執行光學近接校正)、組態照明之一或多個特徵(例如改變照明之空間/角強度分佈之一或多個特性,諸如改變形狀)、組態投影光學器件之一或多個特徵(例如數值孔徑等)及/或用於其他目的。此判定及/或組態通常可稱為例如遮罩最佳化、源最佳化及/或投影最佳化。可獨立地執行或以不同組合形式組合此類最佳化。一個此類實例為源-遮罩最佳化(SMO),其涉及組態圖案化器件圖案之一或多個特徵連同照明之一或多個特徵。最佳化可例如使用本文中所描述之參數化模型以預測各種參數(包括影像等)之值。
在一些實施例中,可將系統之最佳化程序表示為成本函數。最佳化程序可包含尋找最小化成本函數之系統之參數集(設計變數、程序變數、檢測操作變數等)。成本函數可取決於最佳化之目標具有任何適合形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如理想值)之偏差的加權均方根(RMS)。成本函數亦可為此等偏差之最大值(亦即,最差偏差)。術語「評估點」應被廣泛地解譯為包括系統或製造方法之任何特性。由於系統及/或方法之實施的實務性,系統之設計及/或程序變數可經限制至有限範圍及/或可相互相依。在微影投影及/或檢測裝置之情況下,限制常常與硬體之物理屬性及特性相關聯,諸如可調諧範圍及/或圖案化器件可製造性設計規則。評估點可包括基板上之抗蝕劑影像上之物理點,以及非物理特性,諸如(例如)劑量及焦點。
在一些實施例中,本發明系統及方法可包括執行本文中所描述之操作中之一或多者的經驗模型。經驗模型可基於各種輸入之間的相關性(例如,光瞳影像之一或多個特性、複電場影像之一或多個特性、設計佈局之一或多個特性、圖案化器件之一或多個特性、在微影程序中使用之照明之一或多個特性(諸如波長)等)而預測輸出。
作為一實例,經驗模型可為參數化模型及/或其他模型。參數化模型可為機器學習模型及/或任何其他參數化模型。在一些實施例中,機器學習模型(例如)可為及/或包括數學方程式、演算法、曲線、圖表、網路(例如神經網路)及/或其他工具及機器學習模型組件。舉例而言,機器學習模型可為及/或包括具有輸入層、輸出層及一或多個中間層或隱藏層之一或多個神經網路(例如,神經網路區塊)。在一些實施例中,一或多個神經網路可為及/或包括深度神經網路(例如,在輸入層與輸出層之間具有一或多個中間或隱藏層的神經網路)。
作為一實例,一或多個神經網路可基於較大神經單元(或人工神經元)集合。該一或多個神經網路可不嚴格地模仿生物大腦工作之方式(例如,經由由軸突連接之較大生物神經元簇)。神經網路之每一神經單元可與神經網路之許多其他神經單元連接。此類連接可加強或抑制其對所連接之神經單元之激活狀態之影響。在一些實施例中,每一個別神經單元可具有將所有其輸入之值組合在一起之求和函數。在一些實施例中,每一連接(或神經單元自身)可具有臨限值函數,使得信號在其允許傳播至其他神經單元之前必須超出臨限值。此等神經網路系統可為自學習及經訓練的,而非經明確程式化,且與傳統電腦程式相比,可在某些問題解決領域中顯著更佳地執行。在一些實施例中,一或多個神經網路可包括多個層(例如,其中信號路徑自前端層橫穿至後端層)。在一些實施例中,可由神經網路利用反向傳播技術,其中使用前向刺激以對「前端」神經單元重設權重。在一些實施例中,對一或多個神經網路之刺激及抑制可更自由流動,其中連接以更混亂且複雜方式互動。在一些實施例中,一或多個神經網路之中間層包括一或多個廻旋層、一或多個重現層及/或其他層。
可使用訓練資料集(例如地面實況)來訓練一或多個神經網路(亦即其參數已判定)。訓練資料可包括訓練樣本集。每一樣本可為包含輸入對象(通常為影像、量測、張量或向量(其可稱為特徵張量或向量))及所要輸出值(亦稱為監督信號)對。訓練演算法分析訓練資料,且藉由基於訓練資料而調整神經網路之參數(例如一或多個層之權重)來調整神經網路的行為。舉例而言,給定形式
Figure 02_image001
之N個訓練樣本集,使得x i為第i實例之特徵張量/向量且y i為其監督信號,訓練演算法尋找神經網路g:X→Y,其中X為輸入空間且Y為輸出空間。特徵張量/向量為表示一些對象(例如複電場影像)的數值特徵之n維張量/向量。與此等向量相關聯之張量/向量空間常常稱為特徵或潛在空間。在訓練之後,神經網路可用於使用新樣本來進行預測。
如本文中所描述,本發明模組自動編碼器模型包括一或多個參數化模型(例如機器學習模型,諸如神經網路),其使用編碼器-解碼器架構及/或其他模型。在模型(例如神經網路)之中間(例如中間層)中,本發明模型使低維編碼(例如潛在空間)公式化,其將資訊封裝於模型的輸入(例如,光瞳影像及/或與半導體製造及/或度量衡(及/或其他感測)程序之圖案或其他特徵相關聯之其他輸入)中。本發明模組自動編碼器模型利用潛在空間之低維度及緊密性來進行參數估計及/或預測。
藉助於非限制性實例,圖5說明一般編碼器-解碼器架構50。編碼器-解碼器架構50具有編碼部分52 (編碼器)及解碼部分54 (解碼器)。在圖5中所展示之實例中,編碼器-解碼器架構50可輸出例如預測光瞳影像56及/或其他輸出。
藉助於另一非限制性實例,圖6說明神經網路62內之編碼器-解碼器架構50。編碼器-解碼器架構50包括編碼部分52及解碼部分54。在圖6中,x表示編碼器輸入(例如,輸入光瞳影像及/或輸入光瞳影像之經提取特徵)且x'表示解碼器輸出(例如,預測輸出影像及/或輸出影像之預測特徵)。在一些實施例中,x'可表示例如來自神經網路之中間層之輸出(與總模型之最終輸出相比)及/或其他輸出。在圖6中,z表示潛在空間64及/或低維編碼(張量/向量)。在一些實施例中,z為潛在變數或與潛在變數相關。
在一些實施例中,低維編碼z表示輸入(例如光瞳影像)之一或多個特徵。輸入之一或多個特徵可視為輸入之關鍵或臨界特徵。特徵可視為係輸入之關鍵或臨界特徵,此係由於該等特徵與所要輸出之其他特徵相比相對更具預測性,及/或例如具有其他特性。在低維編碼中表示之一或多個特徵(尺寸)可(例如,藉由程式設計師在建立本發明模組自動編碼器模型時)預定、由神經網路之先前層判定、由使用者經由與本文中所描述之系統相關聯之使用者介面調整及/或可藉由其他方法來判定。在一些實施例中,由低維編碼表示之特徵(尺寸)之數量可(例如,藉由程式設計師在建立本發明模組自動編碼器模型時)預定、基於來自神經網路之先前層之輸出而判定、由使用者經由與本文中所描述之系統相關聯之使用者介面調整及/或藉由其他方法判定。
應注意,儘管貫穿本說明書提及機器學習模型、神經網路及/或編碼器-解碼器架構,但機器學習模型、神經網路及編碼器-解碼器架構僅為實例,且本文中所描述的操作可應用於不同參數化模型。
如上文所描述,程序資訊(例如,影像、量測、程序參數、度量衡度量等)可用於導引各種製造操作。利用潛在空間之相對較低維度來預測及/或以其他方式判定程序資訊可相較於判定程序資訊之先前方法更快、更高效、需要更少計算資源及/或具有其他優勢。
圖7說明本發明模組自動編碼器模型700之一實施例。一般而言,自動編碼器模型可經調適以用於度量衡及/或用於參數推斷及/或用於其他目的之其他解決方案。推斷可包含自資料及/或其他操作估計所關注參數。舉例而言,此可包含藉由評估編碼器以正向方式或藉由使用解碼器解決逆向問題(如本文所描述)以逆向方式來尋找潛在表示。在找到潛在表示之後,可藉由評估預測/估計模型(亦如本文中所描述)來尋找所關注參數。另外,潛在表示提供輸出之集合(由於可評估解碼器,給出潛在表示),可比較該集合與例如資料。本質上,在本上下文內,可互換地使用(所關注參數之)推斷及估計。自動編碼器模型架構為通用的且可擴展至任意大小及複雜度。自動編碼器模型經組態以將高維信號(輸入)壓縮至同一信號之高效低維度表示。自低維度表示、一或多個輸出及/或其他資訊針對已知標籤之集合執行參數推斷(例如,其可包括回歸及/或其他操作)。標籤可為用於監督學習之「參考」。在此上下文內,此可意謂想要再生之外部參考或謹慎精製之度量衡目標之設計。量測謹慎精製之度量衡目標可包括量測具有已知(絕對/相對)屬性(例如,疊對及/或其他屬性)之已知目標。藉由首先壓縮(輸入)信號,與直接對高維信號執行回歸及/或其他操作相比,推斷問題顯著簡化。
然而,難以理解典型自動編碼器內部之資訊流。其架構常常為不透明及/或非透明的,且通常可僅推論出模型輸入處、模型輸出處及壓縮點處(亦即潛在空間中)之資訊。資訊不易於在此等點之間進行解譯。實務上,在半導體製造程序中,吾人可具有輔助資訊(除了輸入以外),諸如晶圓上之目標及對應感測器之物理屬性。此輔助資訊可用作先驗知識(例如「先驗」)以確保模型預測匹配物理實境,以改良自動編碼器模型之效能或擴展自動編碼器模型之應用性。然而,在具有包含輸入、壓縮點及輸出之剛性架構之典型自動編碼器模型中,並不清楚如何併入任何此類資訊(例如,不清楚可在何處且如何將任何此類資訊插入至模型中或由模型使用)。
模組自動編碼器模型700具有模組結構。此允許建構可用於利用輔助資訊之抽象之中間層級。儲存於非暫時性電腦可讀媒體上之指令可使得電腦(例如,一或多個處理器)執行(例如,訓練及/或評估)模型700以用於例如參數估計及/或預測。在一些實施例中,模型700 (及/或下文所描述之模型700之個別組件中的任一者)可在看到訓練資料之前先驗地進行組態。在一些實施例中,估計及/或預測參數包含影像(例如光瞳影像、電場影像等)、程序量測(例如度量值)及/或其他資訊中之一或多者。在一些實施例中,程序量測包含以下中之一或多者:度量衡量度、強度、xyz位置、尺寸、電場、波長、照明及/或偵測光瞳、頻寬、照明及/或偵測偏振角、照明及/或偵測阻滯角及/或其他程序量測。模組自動編碼器模型700經組態以使用潛在空間用於參數估計之部分監督式學習(如下文進一步描述)。
如圖7中所展示,模組自動編碼器模型700係由四種類型之子模型形成:輸入模型702、共同模型704、輸出模型706及預測模型708 (但子模型之任何數目、類型及/或配置為可能的)。輸入模型702經組態用於將輸入資料處理成較高抽象級,適合於與其他輸入組合。共同模型704將資訊輸入至瓶頸,將資訊壓縮接合至瓶頸(例如,模型700中之壓縮點或潛在空間),且將資訊再次擴展至適合於分裂成多個輸出之級。輸出模型706將來自此共同抽象級之資訊處理成近似各別輸入之多個輸出。預測模型708用於自穿過瓶頸之資訊估計所關注參數。最後,應注意,與典型自動編碼器模型相反,模組自動編碼器模型700經組態用於若干不同輸入及若干不同輸出。
在一些實施例中,模組自動編碼器模型700包含一或多個輸入模型702 (a、b、…、n)、共同模型704、一或多個輸出模型706 (a、b、…、n)、預測模型708及/或其他組件。一般而言,模組自動編碼器模型700可比上文所論述之典型單石模型更複雜(就自由參數之數目而言)。然而,在交換中,此更複雜模型更易於解譯、定義及擴展。對於任何神經網路,必須選擇網路之複雜度。此複雜性應足夠高以模型化下伏於資料之程序,但足夠低以不能模型化雜訊實現(此通常解譯為過度擬合之形式)。舉例而言,模型可經組態以模型化感測器檢視晶圓上之製造程序之結果的方式。由於產生資料之程序通常為未知的(或具有未知態樣),因此選擇適當網路複雜度通常涉及一些直覺及試錯法。出於此原因,合乎需要的係藉助於模組自動編碼器模型700提供易於理解且其中清楚地如何在模型複雜度上按比例增大及降低的模型架構。
此處,一或多個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708彼此分開,且可經組態以對應於製造程序及/或感測操作之不同部分中之程序物理性質差異。模型700以此方式進行組態,使得除模組自動編碼器模型700中之其他模型之外,一或多個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開訓練,但個別地進行組態。藉助於非限制性實例,光學度量衡裝置(工具、平台等)中之物理、目標及感測器貢獻為可分開的。換言之,可藉由同一感測器量測不同目標。由於此,吾人可分開地模型化目標及感測器貢獻。換言之,一或多個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708可與在光傳播通過感測器或堆疊時之物理性質相關聯。
一或多個輸入模型702經組態以將一或多個輸入711 (例如711a、711b、…、711n)處理成適合於與其他輸入組合的第一級維度。處理可包括過濾及/或以其他方式將輸入轉換成模型友好格式,壓縮輸入,將資料投影至較低維子空間上以加速訓練步驟、資料標準化,處理來自感測器之信號貢獻(例如,源波動、感測器劑量組態(所產生光之量)等)及/或其他處理操作。處理可認為預處理,例如以確保輸入或與輸入相關聯之資料適合於模型700、適合於與其他輸入組合等。第一級維度可與給定輸入711之維度級相同或更低。在一些實施例中,一或多個輸入模型702包含模組自動編碼器模型700之密集(例如,具有不同啟動的線性層及/或密集層)前饋層、廻旋層及/或殘餘網路架構。此等結構僅為實例且不應視為限制性的。
在一些實施例中,輸入711與光瞳、目標及/或半導體製造程序之其他組件相關聯,且自經組態以產生輸入711之複數個特性化裝置中之一或多者接收。特性化裝置可包括經組態以產生關於目標之資料的各種感測器及/或工具。在一些實施例中,特性化裝置可包括例如光學度量衡平台,諸如圖4中所展示之光學度量衡平台。資料可包括影像、各種度量的值及/或其他資訊。在一些實施例中,輸入711包含輸入影像、輸入程序量測及/或一系列程序量測及/或其他資訊中之一或多者。在一些實施例中,輸入711可為與來自一或多個感測(例如,光學度量衡及/或其他感測)平台之量測資料之通道相關聯的信號。通道可為其中觀測堆疊之模式,例如當進行量測時使用的機器/物理組態。藉助於非限制性實例,輸入711可包含影像(例如,與半導體製造相關聯或在半導體製造期間產生之任何影像)。影像可藉由輸入模型702預處理,且藉由共同模型704之編碼器部分705 (下文所描述)編碼成表示潛在空間707 (下文所描述)中之影像的低維度資料。應注意,在一些實施例中,輸入模型702可為或認為係編碼器部分705的一部分。可接著解碼低維度資料,以用於估計及/或預測程序資訊及/或用於其他目的。
共同模型704包含編碼器-解碼器架構、變分編碼器-解碼器架構及/或其他架構。在一些實施例中,共同模型704經組態以在潛在空間707 (其中與來自不同感測器及/或工具之原始輸入資料之自由度的數目相比,待分析之自由度更少)中判定給定輸入711的潛在空間表示。可基於給定輸入711之潛在空間表示估計及/或預測程序資訊及/或可執行其他操作。
在一些實施例中,共同模型704包含編碼器部分705、潛在空間707、解碼器部分709及/或其他組件。應注意,在一些實施例中,解碼器部分709可包括或認為包括輸出模型706。在一些實施例中,共同模型包含前饋層及/或殘餘層及/或其他組件,但此等實例結構不應視為限制性的。共同模型704之編碼器部分705經組態以組合處理(例如,藉由輸入模型702)輸入711且降低組合的經處理輸入之維度以在潛在空間707中產生低維度資料。在一些實施例中,輸入模型702可執行編碼中之至少一些。舉例而言,編碼可包括將一或多個輸入711處理成第一級維度(例如,藉由輸入模型702),且降低組合的經處理輸入之維度(例如,藉由編碼器部分705)。此可包括在實際到達潛在空間707中之低維級之前減小輸入711之維度以在潛在空間707中形成低維度資料及/或任何維度減小量(例如,藉由編碼器部分705之一或多個層)。應注意,此維度減小未必為單調的。舉例而言,輸入之組合(藉助於序連連接)可視為維度之增大。
潛在空間707中之低維度資料具有小於第一級(例如,經處理輸入之維度級)的第二所得降低維度級。換言之,在減小之後所得維度小於在減小之前的所得維度。在一些實施例中,潛在空間中之低維度資料可具有一或多種不同形式,諸如張量、向量及/或其他潛在空間表示(例如,具有比與給定輸入711相關聯的尺寸之數目更少的尺寸之某物)。
共同模型704經組態以將潛在空間中之低維度資料擴展成一或多個輸入711之一或多個擴展版本。將潛在空間707中之低維度資料擴展成一或多個輸入711之一或多個擴展版本中包含(例如)解碼、產生解碼器信號及/或其他操作。一般而言,一或多個輸入之一或多個擴展版本包含來自共同模型704 (例如最後一層)之輸出或至輸出模型706之輸入。然而,一或多個輸入711之一或多個擴展版本可包括來自解碼器部分709之任何層的任何擴展版本及/或自共同模型704傳遞至輸出模型706之任何輸出。與潛在空間707中之低維度資料相比,一或多個輸入711之一或多個擴展版本具有增大維度。一或多個輸入711之一或多個擴展版本經組態以適合於產生一或多個不同輸出713 (例如a、b、…、n)。應注意,至共同模型704之輸入未必經恢復為其輸出。此意欲僅描述介面。然而,恢復可全域地保持輸入711至輸出713。
一或多個輸出模型706經組態以使用一或多個輸入711之一或多個擴展版本以產生一或多個不同輸出713。一或多個不同輸出713包含一或多個輸入711之近似值,一或多個不同輸出713具有與一或多個輸入711之擴展版本(例如,來自共同模型704之輸出)相比相同或增大維度。在一些實施例中,一或多個輸出模型706包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構,但此等實例結構並不意欲為限制性的。藉助於非限制性實例,輸入711可包含與半導體製造程序中之感測操作相關聯之感測器信號,輸入711之低維度表示可為感測器信號的壓縮表示,且對應輸出713可為輸入感測器信號之近似值。
預測模型708經組態以基於潛在空間707中之低維度資料、一或多個不同輸出713及/或其他資訊而估計一或多個參數(所關注參數) 715。在一些實施例中,例如,一或多個參數可為半導體製造程序參數(如本文中所描述)。在一些實施例中,預測模型708包含前饋層、殘餘層及/或其他組件,但此等實例結構不應視為限制性的。藉助於非限制性實例,輸入711感測器信號可包含光瞳影像,且光瞳影像之經編碼表示可經組態以供預測模型708使用以估計疊對及/或其他參數。
在一些實施例中,藉由比較一或多個不同輸出713與對應輸入711,且調整一或多個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708之參數化,以減小或最小化輸出713與對應輸入711之間的差來訓練模組自動編碼器模型700。在一些實施例中,訓練可包括將變化應用於潛在空間707中之低維度資料,使得共同模型704解碼相對更連續潛在空間以產生解碼器信號(例如,來自共同模型704之輸出),來自一或多個輸出模型706之輸出713或兩者);將解碼器信號以遞歸方式提供至編碼器(例如,一或多個輸入模型702、共同模型704之編碼器部分705或兩者)以產生新低維度資料;比較新低維度資料與先驗低維度資料;及基於比較而調整(例如,改變權重、改變常數、改變架構等)模組自動編碼器模型700之一或多個組件(702、704、706、708)以減小或最小化新低維度資料與先驗低維度資料之間的差。訓練以單石方式跨越所有子模型702至708執行(但其對於每一模型亦可為分開的)。換言之,改變潛在空間707中之資料影響模組自動編碼器模型700之其他組件。在一些實施例中,調整包含調整與一或多個輸入模型702、共同模型704、一或多個輸出模型706、預測模型708及/或模型700之其他組件之層相關聯的至少一個權重、常數及/或架構(例如層數目等)。相對於其他圖式更詳細地描述訓練模組自動編碼器模型700之此等及其他態樣。
在一些實施例中,一或多個輸入模型702之數量、一或多個輸出模型706之數量及/或模型700之其他特性基於資料需要(例如,預處理輸入資料可為將資料過濾及/或以其他方式轉換成模型友好格式所必須的)、製造程序及/或感測操作之不同部分之程序物理性質差異及/或其他資訊而進行判定。舉例而言,輸入模型之數量可與輸出模型之數量相同或不同。在一些實施例中,個別輸入模型702及/或輸出模型706包含兩個或更多個子模型。兩個或更多個子模型係與感測操作及/或製造程序之不同部分相關聯。
舉例而言,可用資料通道之數目可與感測器之可能組態狀態有關聯。輸入模型702及/或輸出模型706之數量、是否使用某一輸入模型702及/或輸出模型706及/或模型700之其他特性可基於此類資訊及/或其他製造及/或感測操作資訊而進行判定。
藉助於非限制性實例,圖8說明包含兩個或更多個子模型之模組自動編碼器模型700的輸出模型706。在一些實施例中,如圖8中所展示,個別輸出模型706包含兩個或更多個子模型720a、720b、…、720n及722等。在一些實施例中,例如,兩個或更多個子模型可包含用於半導體感測器操作之堆疊模型(例如720a、720b、…、720n)及感測器模型(例如722)。如上文所描述,度量衡裝置中之目標及感測器貢獻為可分開的。由於此情形,模型700經組態以分開地模型化目標及感測器貢獻。
在圖8中,模組自動編碼器模型700展示具有用於特定感測器之積體感測器模型722。此實例自動編碼器模型可藉由使用與感測器模型722相關聯之感測器搜集的資料進行訓練。應注意,此選擇係為了簡化論述而進行。原理適用於任何數目個感測器。亦應注意,即使圖8中未展示,但在一些實施例中,個別輸入模型702 (例如,702a)可包含兩個或更多個子模型。舉例而言,輸入模型702子模型可用於資料預處理(例如,在奇異值分解投影上)及/或用於其他目的。
圖9說明可在參數推斷(例如,估計及/或預測)期間使用的模組自動編碼器模型700之實施例。在推斷期間,與感測器模型722相關聯之感測器可調換用於由感測器模型「72i」模型化之任何任意感測器。此子模型組態經組態以用以解決問題:
Figure 02_image003
θ。 (此係藉由解決逆向問題而執行推斷之方式。)
在此方程式中,θ表示潛在空間中之輸入之經壓縮低維參數化,且θ *表示所得目標參數化。自所得目標參數化,可使用預測模型708之前向評估找到對應所關注參數715。
如圖10中所展示,模組自動編碼器模型700 (亦參見圖7)經組態以藉由基於可用通道使用複數個輸入模型702 (圖7)之子集估計資訊內容之可擷取數量而從來自一或多個感測(例如,光學度量衡及/或其他感測裝置及/或工具)平台之量測資料之可用通道 P之組合估計所關注參數
Figure 02_image005
。在一些實施例中,輸入模型702經組態以基於可用通道而處理複數個輸入711,使得複數個輸入適合於彼此組合。如上文所描述,處理可包括過濾及/或以其他方式將輸入轉換成模型友好格式、壓縮輸入及/或其他處理操作。處理可認為預處理,例如以確保輸入或與輸入相關聯之資料適合於模型700、適合於與其他輸入組合等。亦如上文所描述,共同模型704 (例如,編碼器部分705)經組態以組合處理輸入且基於組合的經處理輸入在潛在空間707 (圖7)中產生低維度資料。低維度資料估計可擷取數量且潛在空間中之低維度資料經組態以供一或多個額外模型(例如,一或多個輸出模型706及/或預測模型708)使用以產生複數個輸入711之近似值及/或基於低維度資料而估計參數(所關注) 715 (如本文所描述)。
在一些實施例中,模組自動編碼器模型700 (圖7)藉由反覆地變化處理(例如壓縮)輸入711之一子集(例如,子選擇)以藉由共同模型704組合及使用(例如壓縮)以產生訓練低維度資料來進行訓練。換言之,輸入711 (處理、壓縮或以其他方式)經變化為第一壓縮層。比較一或多個訓練近似值及/或基於訓練低維度資料而產生或預測之訓練參數與對應參考(例如,已知及/或以其他方式預定參考近似值及/或訓練近似值及/或訓練參數應匹配之參數);及基於比較而調整複數個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708中之一或多者以減小或最小化一或多個訓練近似值及/或訓練參數與對應參考之間的差。為了闡明,在潛在空間中不存在參考值。替代地,模型700可藉由反覆地丟棄輸入且要求網路之剩餘部分產生所有所需輸出(亦即713及715兩者)來進行訓練。模組自動編碼器模型700以此方式進行訓練,使得共同模型704經組態以組合經處理輸入711且產生用於產生近似值及/或估計參數之低維度資料,而不管複數個輸入711中之哪些輸入最終由共同模型704組合。為了闡明,在圖10中,
Figure 02_image007
表示輸入模型702且預期運算子 E為共同模型704之部分,但預期運算子之輸出產生潛在表示未必為真(如本文中所描述)。
在一些實施例中,個別反覆之變化為隨機的,或個別反覆之變化以統計學上有意義之方式變化。舉例而言,在任何特定反覆下啟動之通道之數目通常與在實際推斷期間將可用的通道之數目類似,亦即,表示典型使用。可利用匹配實際應用之機率對通道集合執行均勻取樣。在一些實施例中,個別反覆之變化經組態以使得在目標數目次反覆之後,經處理輸入711中之每一者已至少一次包括於經處理輸入子集中。在一些實施例中,反覆地變化由共同模型組合且用於產生訓練低維度資料之經處理輸入之子集包含可能可用通道之集合當中的通道選擇。舉例而言,可能可用通道之集合與感測(例如,光學度量衡)平台相關聯。重複反覆地變化、比較及調整直至模型及/或目標(成本函數)收斂。在一些實施例中,反覆地變化、比較及調整經組態以減小或消除可針對跨越通道之組合搜尋發生的偏差。
藉助於非限制性實例,在用於半導體製造之光學度量衡中,使用偏振光激勵晶圓上之既定特徵,且使用回應(原始散射光強度及/或相位)來推斷/量測既定特徵之所關注參數。資料驅動推斷方法已用於參數估計任務。其依賴於將量測光瞳映射至所關注參數之大量搜集之量測及模型,其中經由晶圓上之經謹慎設計之目標及/或自第三方量測獲得此等參數之標籤。然而,此等方法已展示缺乏處理程序變化之能力。
光學度量衡平台(例如工具、裝置等)具有量測相當大量的通道(例如,圖7中所展示之輸入711,諸如多個波長、在多個晶圓旋轉下之觀測、多個光偏振方案等)的能力。然而,由於實際時序限制,實際上使用之通道(輸入711)之數目通常在產生設定中進行量測時限於可用者(通常達至兩個入射光通道中之最大值)之子集。迄今為止,為了選擇最佳通道,使用測試所有可能通道組合之蠻力方法。此為耗時的,從而導致長配方產生時間。另外,其可易於過度擬合,引入針對不同通道之不同偏差。
模組自動編碼器模型700 (例如,輸入模型702及/或共同模型704)經組態以利用組合來自所有可用通道
Figure 02_image009
的光瞳資料之統計模型化之框架(作為輸入之一個可能實例)以提供相對於先前系統的直接快速通道選擇。如圖10中所展示,對於已量測通道 P 1 P n的給定目標(例如,圖7中所展示之輸入711),模組自動編碼器模型700經組態以能夠使用所有可用資料(所有通道),且亦能夠僅藉由彼等通道之子集進行評估。模型700經組態以使用以相干方式跨越所有通道自每一目標之獲取通道
Figure 02_image011
提取資訊內容
Figure 02_image013
之子模型(例如702)
Figure 02_image015
,使得每通道之預期資訊內容為相同的,亦即
Figure 02_image017
用於所有通道
Figure 02_image019
。自此,相干參數化(模組自動編碼器)模型700經組態以提取可用以經由另一模型
Figure 02_image021
預測所關注參數之資訊,其中
Figure 02_image023
為假想完整資訊內容描述之聯合估計,如可藉由所有通道量測。應注意,此資訊內容可在多個通道上擴散,亦即,在單一通道/量測之情況下不可能觀測到完整
Figure 02_image023
在給出每一
Figure 02_image013
之每通道之雜訊/不完整估計之情況下,模型700經組態以藉由使用可用的有限數目個通道將可自堆疊擷取之漸進資訊內容近似為:
Figure 02_image026
。此表述模型700經組態以搜尋遵從
Figure 02_image028
,
Figure 02_image030
之參數化
Figure 02_image013
之集合。此數量稍後用於預測所關注參數
Figure 02_image033
(例如圖7中之715)。由於
Figure 02_image035
(例如,圖7中之共同模型704之編碼器部分705與預測模型708一起,除期望運算子以外)採用資訊內容
Figure 02_image037
之期望值作為輸入,因此模型700可使用由
Figure 02_image039
指示的通道之任何子集及可能組合來估計所關注參數
Figure 02_image033
。應注意,o為真實標籤,且
Figure 02_image005
為藉由預測模型產生之估計值。估計品質視經由進入判定之每一
Figure 02_image013
,
Figure 02_image041
,藉由通道提供之資訊品質而定:
Figure 02_image043
此處,存在較少可用通道(
Figure 02_image039
),且因而,針對
Figure 02_image046
之近似值品質較低。在訓練由
Figure 02_image048
定義之模型之後,模型700藉由使用通道之子集估計數量
Figure 02_image046
來評估通道之任何組合之預測的所關注參數。針對兩個(例如,1050)及三個(例如,1052)輸入通道之實例呈現於圖10中,但涵蓋許多其他可能實例。
在一些實施例中,輸入模型(例如神經網路區塊) 702 (圖7)與每一輸入通道相關聯。輸入模型702經組態以進行訓練且可表示上文呈現之函數
Figure 02_image051
。為了確保良好模型效能,模型700包含共同模型704,其經組態以組合自每一通道(藉由每一輸入模型702)產生之資訊內容以產生圖7中所展示之模組自動編碼器結構。
圖11亦說明模組自動編碼器模型700,但具有與以上圖10之論述相關的額外細節。圖11說明共同模型704、輸出模型706 (神經網路區塊-在此實例中對應於每一輸入通道)及模型700之其他組件。在此實例中,模型700經組態以進行訓練以估計及/或預測例如光瞳(光瞳影像)及所關注參數兩者。圖11 (及圖7)中所展示之模型700經組態以就資訊內容期望
Figure 02_image053
而言來收斂,此係由於模型700經組態以反覆地變化/子選擇(例如,隨機或以統計上有意義之方式)用於在訓練之每一步驟期間接近於
Figure 02_image055
的通道之數目(在圖11中由1100指示)。此反覆變化/子選擇確保模型700對於輸入通道之任何組合保持預測性/一致。此外,由於存在於
Figure 02_image055
中之資訊內容需要表示所有通道(亦即
Figure 02_image058
),因此所得模型將不再生特定於一個特定通道之偏差。在數學上,可將訓練陳述為關於圖11中所展示之成本函數1102之函數
Figure 02_image060
Figure 02_image035
Figure 02_image062
Figure 02_image064
Figure 02_image066
之定義的最小化。在成本函數1102中,函數
Figure 02_image068
充當潛在參數化或其他類型之規則化之規則化,且對於不同量測目標
Figure 02_image070
之數目,數量
Figure 02_image072
係隨機(在此實例中)選自集合{0, 1}。
重申,此方法允許訓練使用所有或實質上所有可用資料而非蠻力組合搜尋最佳模型/通道之單一模型(例如,700)。其減少配方之時間,此係由於訓練計算複雜度線性地取決於通道之數目,而非在先前方法中以組合方式。此外,本發明方法減少可針對跨越通道之組合搜尋而發生的偏差,此係由於本發明方法確保在訓練期間使用所有通道資訊。由於整個模型700經訓練以考量通道之所有不同子選擇,所以所得模型產生關於通道選擇一致之結果。
圖12說明模組自動編碼器模型700 (參見圖7)對於估計用於製造及/或感測(例如,光學度量衡)操作之所關注參數如何具有延伸應用性範圍之態樣。模組自動編碼器模型700 (參見圖7)具有用於估計用於製造及/或感測(例如,光學度量衡)操作之所關注參數的延伸應用性範圍,此係由於該模型經組態以在解碼器部分709(圖7)中強制執行輸入711 (圖7)之已知屬性,該解碼器部分可包括一或多個輸出模型706 (如上文所描述)。在一些實施例中,解碼器部分709經組態以藉由解碼輸入711之低維度表示來產生對應於輸入711之輸出713 (圖7),同時在解碼期間強制執行(訓練期間強制執行之結果)經編碼輸入711之已知屬性以產生輸出713。實際上,強制最初在訓練期間發生。在訓練之後,強制執行變為模型之屬性。然而,嚴格而言,在訓練期間,亦執行解碼。該已知屬性與用於輸入711之潛在空間707 (圖7)中之低維度表示與輸出713之間的已知物理關係相關聯。在一些實施例中,已知屬性為已知對稱性屬性、已知非對稱性屬性及/或其他已知屬性。在一些實施例中,解碼器部分709可經組態以利用模型700之模組性在某一中間解碼層級處(例如,在共同模型704與輸出模型706之間的介面處)強制執行已知屬性。所關注參數可基於輸出713及/或潛在空間707中之輸入711之低維度表示而進行估計(如本文所描述)。舉例而言,在一些實施例中,對於預測模型,關於對稱性之使用,預測模型可為選擇遮罩(例如,自待與所關注參數相關聯之潛在空間選擇參數)。此仍可表示為神經網路層。然而,在訓練期間其保持固定(其變成固定線形層σ ( W x + b),其中 W中之每一列僅含有一個值1而其他元素設定為0, b僅含有等於0之元素且
Figure 02_image074
為標識)。
在一些實施例中,解碼器部分709 (在一些實施例中,其可包括一或多個輸出模型706)經組態以在訓練階段期間強制執行經編碼輸入之已知對稱性屬性及/或其他屬性,使得模組自動編碼器模型700在推斷階段期間遵從強制執行的已知對稱性屬性(及/或其他屬性)以產生輸出。強制執行包含使用與解碼器部分709 (此可包括一或多個輸出模型706)相關聯之成本函數中之懲罰項來懲罰輸出713與應根據已知屬性產生之輸出之間的差。懲罰項包含輸入之低維度表示之經由物理先驗彼此相關的解碼版本之間的差。在一些實施例中,已知屬性為已知對稱性屬性,且懲罰項包含輸入711之低維度表示之解碼版本之間的差,該等解碼版本相對於彼此跨越對稱點反射或圍繞對稱點旋轉。在一些實施例中,輸入模型702中之一或多者、編碼器部分705、解碼器部分709、輸出模型706中之一或多者、預測模型708及/或模型700之其他組件(參見圖7)經組態以基於低維度表示之解碼版本之間的任何差而進行調整(例如,進行訓練或進一步進行訓練)。
藉助於非限制性實例,光學度量衡平台(例如,裝置、工具等)經組態以量測在產品結構正上方之關鍵半導體堆疊參數。為此,機器學習方法通常應用於使用度量衡平台獲取之光學散射量測資料之上。此等機器學習方法概念上相當於監督式學習方法,亦即自經標記資料集學習。此類方法之成功視標籤之品質而定。
存在用於獲得標籤之常見方法。一種方法使用自參考目標,其為獲得經標記資料之特定設計之目標。第二方法依賴於半導體廠(通常掃描電子顯微鏡)中之記錄工具。由於在自參考目標之設計中具有自由之競爭性優勢,且由於競爭性度量衡解決方案之獨立性,故自參考目標方法通常較佳。
使用自參考目標之主要挑戰中之一者為該等目標僅提供極準確的 相對標籤之事實。此意謂在一個目標叢集內,存在某一未知叢集偏差, 該叢集上之準確標籤為已知的。判定此未知叢集偏差且因此獲得絕對標籤對於基於自參考目標之製造及/或檢測參數配方的準確度至關重要。估計叢集偏差之步驟通常稱為標籤校正。
對於隨所關注參數而變化的線性信號(例如,圖7中所展示之輸入711,諸如光瞳影像等),此標籤校正問題為不可解決的。因此,正研究用以利用信號之非線性(例如光瞳影像及/或其他輸入711)之方法。目前,吾等並不知曉利用關於信號非線性及/或信號空間中之方向的物理假定之方法。
當同時否定所有不對稱參數時,諸如由疊對造成之非對稱交叉偏振光瞳信號之所關注信號(例如輸入711) (例如來自度量衡平台)關於堆疊參數化為非對稱的(奇數對稱函數)。更具體言之,當所有其他非對稱參數為零時,信號可關於0疊對為非對稱的(奇數對稱函數)。此類域知識可在訓練階段期間嵌入至模型700 (參見圖7)中,此將物理可解譯性新增至模型700。此外,對稱性點為重要的,此係由於其限定可用以校準絕對準確度使得可發現適當校正標籤之模型之參數化的源(零)。模型700經組態以利用此及其他物理理解且將其嵌入至模型700中。在此實例中,所利用之一般光瞳屬性如下:
Figure 02_image076
其中
Figure 02_image078
表示反對稱標準化光瞳且
Figure 02_image080
為非對稱參數集合。
參考圖10及圖11 (及圖7)中所展示之模組自動編碼器模型700,此實例中之 P(例如,輸入711)可為光瞳影像(出於標誌方便起見,
Figure 02_image082
),( P)將此光瞳影像編碼(例如,藉由一或多個輸入模型702及/或共同模型704)成經壓縮表示
Figure 02_image084
,該經壓縮表示最終藉由
Figure 02_image086
解碼以產生近似光瞳
Figure 02_image088
。此模型以使得
Figure 02_image090
近似於正確疊對
Figure 02_image092
之方式進行訓練,亦即,
Figure 02_image094
中之該等元素中之一者表示疊對。對於自參考目標,可使用以下目標(例如,成本函數)來訓練此模型:
Figure 02_image096
其中真實疊對設定為
Figure 02_image098
,具有已知標籤 L及未知叢集偏差 B。實務上,此方式可能不充分,此係由於存在選擇叢集偏差 B之一定自由度。此有效地相當於移動參數化
Figure 02_image100
之源,此可能成問題,此係由於需要絕對疊對估計。為了減少此不模糊度,將另一項新增至將信號(例如輸入711)之對稱性屬性嵌入至解碼模型
Figure 02_image102
(例如共同模型704及/或一或多個輸出模型706)中的目標(成本函數):
Figure 02_image104
以用於任何
Figure 02_image106
。實務上,無法確保用於任何
Figure 02_image108
之此成本函數之最小化,然而,可取樣來自程序窗之點以確保用於任意大樣本之第三項較小。
圖12說明強制執行經編碼輸入711 (圖7)之已知屬性以產生輸出713 (圖7)的圖形解釋。已知屬性與用於輸入711之潛在空間707 (圖7)中之低維度表示與輸出713之間的已知物理關係相關聯。在此實例中,已知屬性為已知對稱性屬性(例如,「對稱性先驗」)。圖12說明可為可用(點1201)的信號(例如輸入711)之樣本,其關於(輸入)信號1205與參數1207曲線1203對半導體製造及/或感測程序1202之演進不良地取樣。若不嵌入關於程序1202之對稱性之知識,則模型700可結束估計及/或預測在圖12中之線1209之後的參數1207。儘管線1209極好地擬合資料(點1201),但其不充分表示取樣範圍之外之程序1202。如藉由線1211所展示,將已知對稱性屬性嵌入至模型700 (圖7)中使得模型700估計及/或預測沿著寬的多之範圍匹配程序1202之參數1207。此外,如之前所提及,零交叉1213或對稱點具有重要性。明顯地,在此實例中,在新增已知對稱性屬性(先驗)之後,資料顯著地更接近具有模型700之真實源。
圖13說明用於半監督學習之模組自動編碼器模型700 (展示於圖7中)的應用。舉例而言,此可用於器件內度量衡及/或用於其他應用。光學度量衡平台(例如,裝置、工具等)常常經組態以根據對應光瞳影像推斷半導體晶圓上之結構的物理參數。與光學度量衡平台相關聯之模型通常經訓練且接著用於推斷(例如,估計及/或預測所關注參數)。在訓練期間,使用自參考目標或使用臨界尺寸掃描電子顯微鏡(SEM)資料獲取及標記訓練光瞳。根據此等經標記光瞳,該模型學習自光瞳至標籤之映射,其接著在推斷期間應用。經標記光瞳之可用性受到限制,此係由於獲得SEM資料常常為昂貴的。此部分地歸因於SEM量測對半導體堆疊可為破壞性的且由於其為緩慢度量衡技術之事實。據此,僅有限又昂貴之訓練資料集為可用的。
光瞳影像由大量像素構成。當前,訓練步驟需要學習自此高維信號(例如,圖7中所展示之輸入711)至一個或若干所關注參數(例如,圖7中所展示之715)之映射。由於信號之高維度,故需要相當大量之訓練影像,此意謂亦需要相當大量之SEM量測。關於信號雜訊:堆疊回應信號橫跨低維空間,當觀測受到雜訊污染(雜訊橫跨完整空間)時,該低維空間變成高維。雜訊不攜載關於堆疊之任何資訊,且因而僅充當擾動。此為自動編碼器結構可用於學習堆疊貢獻之低維度表示同時亦充當雜訊濾波器之原因。程序以非平凡方式改變堆疊回應,且因而,需要對程序窗中之許多地點進行取樣以能夠學習貫穿程序窗之參數之行為。
作為一個實例輸入,光瞳影像(例如輸入711)具有低信號複雜度。此係由於可使用物理參數之有限集合描述半導體堆疊之事實。有利地,模型700經組態以藉由不同訓練資料集在兩個或更多個階段中進行訓練。在一些實施例中,以不受監督方式壓縮光瞳影像信號及/或其他輸入711,從而產生自光瞳(或使用任何輸入)至任意低維子空間(例如,圖7中所展示之潛在空間707)之映射。接下來,使用較小數目個經標記光瞳及/或其他輸入711,學習自低維子空間至所關注參數之映射。此可使用減小數目個目標來執行,此係由於映射較簡單(維度較低),此有助於減輕上文所描述之問題。此可視為半監督學習之應用。圖13描繪壓縮步驟1301,接著嵌入1303、回歸步驟1305及推斷1307 (例如,圖7中展示之判定參數715)之一般概念。在未標記1311資料集上訓練壓縮步驟且在較小經標記1313資料集上訓練回歸步驟,亦如圖13中所描繪。
可區分用於訓練圖13 (及在圖7及/或其他圖中)中所展示之結構的兩種主要方法。第一,可以依序方式分開地訓練模型700之組件(例如,一或多個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708)。第二,可同時訓練該等組件。若模型700之組件依序進行訓練,則可針對壓縮應用任何非監督維度降低技術。舉例而言,可使用線性(主組分分析-PCA、獨立組分分析-ICA、…)或非線性(自動編碼器、t分佈隨機相鄰嵌入-t-SNE、一致流形近似與投影-UMAP、…)。在壓縮步驟之後,可將任何回歸技術應用於嵌入(例如,線性回歸、神經網路、…)。當同時訓練(例如,兩個或更多個)組件時,神經網路可用於兩個步驟。此係由於大部分非監督學習技術並不非常適合於修改為此半監督結構。舉例而言,自動編碼器可用於壓縮步驟中,且正向神經網路可用於回歸步驟中。此等可藉由以使得回歸步驟僅針對資料集之經標記元素進行訓練(亦即,懲罰),而壓縮步驟針對資料集之任何元素進行訓練之方式選擇最佳化目標(成本函數)來同時進行訓練。
在一些實施例中,模組自動編碼器模型700 (圖7)經組態以包括遞歸深度學習自動編碼器結構。圖14及圖15說明此類結構之實例。舉例而言,在用於半導體器件之光學度量衡中,晶圓上之特徵使用偏振光激勵,且回應(原始散射光強度及/或相位)用於推斷/量測給定特徵之所關注參數。兩類方法通常應用於參數推斷。如上文描述,資料驅動方法依賴於將光瞳映射至所關注參數之相當大量搜集之量測及經簡化模型,其中經由晶圓上之經謹慎設計之目標或自第三方量測獲得標籤。第二類明確地(例如,利用瓊斯模型(Jones model))模型化感測器下之目標回應。此類使用物理模型、電子及/或物理/電子混合方法以判定最佳擬合量測之堆疊參數化。
自動編碼器可用於資料驅動方法(如本文所描述)。該等自動編碼器具有產生能夠模型化複雜信號(輸入)同時亦執行複雜參數推斷之更豐富模型的益處。自動編碼器模型與變分貝氏(Bayesian)先驗(例如關於輸入之已知屬性)之耦接亦可能確保潛在空間(亦即,自動編碼器中之瓶頸之維度降低空間)及所得產生模型之連續性。此概念之示意圖展示於圖7、圖11等中且描述於本文中。
圖14遵循上文所描述之概念。自(在此實例中)包含若干通道上之強度之集合(I ch1、…、I chi)之輸入711至緊密表示c之映射藉由編碼層(例如,一或多個輸入模型702及/或共同模型704)執行。此情形之反轉,自緊密表示c (例如,在潛在空間707中)返回至強度空間(
Figure 02_image110
、…、
Figure 02_image112
) (例如,輸出713)藉由解碼層(例如,共同模型704及/或一或多個輸出模型706)進行。此建立一模型(例如,模組自動編碼器模型700),其經組態以自例如大量像素(在若干1000s之範圍內)提取相關資訊且將此壓縮至若干10s參數之空間。自此壓縮表示,得到至所關注參數
Figure 02_image114
(例如,藉由預測模型708)之連結。
模型700可藉由應用於潛在表示c之貝氏先驗 (例如關於輸入之已知屬性)進行訓練(以確保c遵循給定分佈,例如多變數高斯(Gaussian)),使得表示c變成連續性的而非點估計值。實際上,此先驗亦以數學方式編碼,參數化c之小改變需要由估計強度
Figure 02_image116
之類似小改變反映。因此,若針對給定輸入711,I chk,
Figure 02_image118
,則可獲得潛在空間之某一參數化,且給定估計
Figure 02_image120
近似等於I chk,且潛在空間中之任何改變δc應由估計
Figure 02_image122
之比例改變反映。產生連續潛在空間之此映射可阻礙諸如模型700之模型有效地學習分類資料,此為具有離散潛在空間之神經網路常常遇到的問題。
諸如模型700之自動編碼器模型中之解碼層(例如,共同模型704及/或一或多個輸出模型706)能夠以連續且可良好一般化(自潛在空間至光瞳空間)之產生方式提供信號(輸入)之特性化,尤其在使用變分先驗(關於輸入之已知屬性)之情況下。在一些實施例中,先驗用於使潛在空間之分佈正規化且主要影響模型之產生部分。其不會以顯著方式影響模型之流形壓縮部分(自光瞳空間至潛在空間,由一或多個輸入模型702及/或共同模型704形成之編碼器)。因而,模型700可在應用於直接參數推斷之任務時就一般化能力而言為次佳的,此係由於模型700之編碼器部分可未經訓練來考慮連續輸入空間(但模型700可以此方式進行訓練及/或以此方式進行訓練)。
在一些實施例中,模型700包含遞歸模型方案,針對該遞歸模型方案,編碼層(702、704)及解碼層(704、706)兩者之訓練受益於置放於潛在空間c (例如,707)上之一或多個變分先驗(關於輸入之先驗知識)。在圖14中,模型700之編碼部分(702、704)包含映射至潛在空間707之參數化c的函數 f(I ch1, …, I chi) → c。類似地,解碼部分(704、706)可視為此函數 f -1(c) → (
Figure 02_image124
, …,
Figure 02_image126
)之倒數的近似值。置放於潛在空間707上之變分先驗(例如,關於輸入之先驗知識)確保模型700學習針對潛在變數中之每一者之分佈,而非點估計。因而,考慮到潛在分佈,模型700亦學習輸出資料之分佈。
在一些實施例中,模型700經組態以用使得編碼部份 f可將強度I ch1 …、I chi(例如輸入711)中之較小變化映射至潛在表示c中之類似變化之方式使用變分方案(能夠產生將c中之較小變化映射至預測強度
Figure 02_image128
、…、
Figure 02_image130
中之較小變化的連續潛在空間)。此可藉由以遞歸方式訓練模組自動編碼器模型700來進行,從而確保若作為輸入711傳遞至相同模型700,則產生輸出713,例如強度估計值
Figure 02_image132
、…、
Figure 02_image134
產生有效潛在表示c及有效解碼輸出713 (例如強度估計值)。
圖15說明此遞歸方案之展開版本。此方案可經擴展以用於任何數目個遞歸遍次。(應注意,此遞歸方案與關於圖10及11所描述的反覆操作不同。)圖15說明包含通過同一模型700之兩個(或大體而言,r)不同遍次之模型700。第一遍次採用資料之物理、量測、實現且將資料映射至潛在空間中之給定分佈。根據潛在空間之此分佈,可繪製用於產生輸出估計值
Figure 02_image132
、…、
Figure 02_image134
之樣本。輸出估計值之此等樣本接著作為合成輸入再次穿過模型700以確保模型700之編碼器部分(702、704)將其映射至潛在空間707中之類似分佈。
通常,對於圖15中所展示之模型700之展開實施例的訓練,可使用與用於傳統(變分)自動編碼器(參見圖15中之1500)的相同的輸入-輸出成本函數1500。在成本函數1500中,g為編碼變分先驗之正則項, o為吾等想要在給定範數 p中找到預測(
Figure 02_image114
) r之給出所關注參數標籤。亦可藉由連結遞回之間的資料之內部狀態來針對訓練設計更精細成本函數。此等成本函數可包括圖15中所展示之成本函數1502及/或其他成本函數。
應注意,儘管本文中之描述常常指(單一)潛在空間,但不應將此視為限制性的。本文中所描述之原理可由任何非零數目個潛在空間應用及/或應用於任何非零數目個潛在空間。一或多個潛在空間可串聯(例如,用於分析資料及/或進行第一預測,接著第二預測)、並行(例如,同時用於分析資料及/或進行預測)及/或以其他方式使用。
在一些實施例中,本文中所描述之操作中之一或多者可組合成一或多種特定方法。此等方法中之一者的實例說明於圖16中。圖16說明用於參數估計之方法1600。方法1600包含訓練1602用於參數估計及/或預測之模組自動編碼器模型(例如,圖7中所展示且本文所描述之模型700)。此可包括模型之程式化組件、推斷及/或其他操作。舉例而言,訓練可藉由本文中所描述之操作中的一或多者來執行。方法1600包含藉由模組自動編碼器模型之一或多個輸入模型(例如702)將一或多個輸入(例如711)處理1604成適合於與其他輸入組合的第一級維度。方法1600包含藉由模組自動編碼器模型之共同模型(例如704)組合1606經處理輸入且降低組合的經處理輸入之維度以在潛在空間中產生低維度資料。潛在空間中之低維度資料具有小於第一級之第二級所得降低維度。方法1600包含藉由共同模型將潛在空間中之低維度資料擴展1608成一或多個輸入之一或多個擴展版本。與潛在空間中之低維度資料相比,一或多個輸入之一或多個擴展版本具有增大維度。一或多個輸入之一或多個擴展版本適合於產生一或多個不同輸出(例如,713)。方法1600包含藉由模組自動編碼器模型之一或多個輸出模型(例如706)使用1610一或多個輸入之一或多個擴展版本以產生一或多個不同輸出。一或多個不同輸出為一或多個輸入之近似值。與一或多個輸入之擴展版本相比,一或多個不同輸出具有相同或增大維度。方法1600包含藉由模組自動編碼器模型之預測模型(例如708)基於潛在空間中之低維度資料及/或一或多個輸出而估計1612一或多個參數。
本文中所描述之其他操作可形成分開之方法,或其可包括於方法1600之一或多個步驟(1602至1612)中。本文中所描述之操作意欲為說明性的。在一些實施例中,方法可藉由未描述之一或多個額外操作及/或不用所論述之操作中之一或多者來實現。另外,給定方法之操作經組裝且本文中另外描述之次序並不意欲為限制性的。在一些實施例中,給定方法之一或多個部分可實施(例如,藉由模擬、模型化等)於一或多個處理器件(例如一或多個處理器)中。一或多個處理器件可包括回應於以電子方式儲存於電子儲存媒體上之指令而執行本文中所描述之操作中之一些或所有操作之一或多個器件。一或多個處理器件可包括經由硬體、韌體及/或軟體來組態之一或多個器件,該硬體、韌體及/或軟體專門設計用於執行例如給定方法之操作中之一或多者。
本文中所描述之原理(例如,利用經訓練參數化模型中之潛在空間之相對較低維度來預測及/或以其他方式判定程序資訊)可具有多個額外應用(例如,除上文所描述之應用之外及/或代替上文所描述之應用)。舉例而言,本發明系統及方法可用於協調來自不同程序感測器及/或工具的資料,該資料可為不同的,即使對於同一經量測或經成像目標。作為另一實例(以及許多其他可能實例),模組自動編碼器模型(例如,圖7中所展示且本文中所描述之模型700)可經組態以使用晶圓級先驗及/或用於傾斜推斷(及/或估計、預測等)之其他資訊。
圖17說明針對晶圓(基板) 1704上之單一光柵1702的蝕刻器誘發之傾斜1700的實例(包括極少或無傾斜1701及最大絕對傾斜1703之區域)。圖17說明物理晶圓行為之實例。圖17說明關於垂直晶圓1704方向彎曲之電場的實例1706a、1706b。圖17說明電場方向1708、傾斜不變方向1710及光柵傾斜量1712。在1714處,圖17指示視蝕刻而定,電場中之傾斜/彎曲如何影響特徵傾斜。若偏差與光柵1702對準,則存在極小影響或無影響。在此實例中,最大絕對傾斜1703之區域出現於晶圓1704之邊緣處或邊緣附近。
通常,完全非監督主成份分析(PCA)方法用於傾斜推斷(例如,估計或預測晶圓1704之邊緣處之傾斜)。原始光瞳量測經投影於數個線性基礎元件上,且該等原始光瞳量測中之一者基於預期傾斜行為而手動地選擇為表示傾斜信號。接著將自將信號投影至所選擇基礎元件上產生之係數擬合至指數模型(例如,在徑向座標-極座標中之指數)以提取預期與傾斜相關聯之信號組分且拒絕其他可能組分。有時,依賴於逆向問題(如CD重建構)之完整分佈度量衡亦可用於傾斜推斷。藉由此方法,建構物理模型,且使用電磁求解器來估計參數化堆疊信號。解決最佳化問題以找到確保最佳擬合之參數化,因此產生傾斜估計值。
有利地,本發明模組自動編碼器模型(例如,圖7中所展示之700)可經組態以使得晶圓先驗用以確保代替供基於PCA之方法使用之未告知方法,結合該未告知方法或除該未告知方法之外而執行告知分解。模組自動編碼器模型可經組態使得其編碼例如蝕刻腔室中電漿之行為,從而誘發跨越晶圓之(模型化)徑向行為。此係由於晶圓邊緣處之電場彎曲及/或其他因素。此徑向效應以視特定結構而定之行為投影至堆疊特徵上。舉例而言,對於無限光柵,基於垂直於晶圓且基於光柵定向)而預期關於電場彎曲方向之正弦變化。此可解譯為至光柵之法向向量上之投影(此為關於xy平面中1710之法向向量(「光柵傾斜量」));在正交於光柵之情況下最大,在平行於光柵之情況下最小。應注意,圖17為一實例,其意欲傳達各種概念,其中各種特徵可在所展示內容之間變化,但仍對應於本文中所描述之概念(例如,蝕刻電場彎曲可或多或少擴大)。
圖18說明將先驗施加1801(經由模型1800)至模組自動編碼器模型700上之示意圖。更具體言之,圖18說明用於產生標籤以便將先驗施加於模組自動編碼器模型700上之互連結構的示意圖。先驗可為及/或包括例如特定晶圓及/或圖案化程序變數之已知目標及/或以其他方式預定之值。施加先驗可包括確保模型根據某些規則及/或期望(例如基於先驗知識及/或物理理解)表現。此種知識通常不能自資料習得,因此施加先驗可將額外知識有效地新增至模型。
應注意,在圖18中,模型1806為模型708 (上文中所描述)之給定實例實施例。一般而言,模型1806包含在此實例中將潛在(例如707)連接至諸如傾斜之輸出的區塊(模型1806之輸出,如圖18中所展示,但模型1806可為任何通用預測模型)。輸出限制為屬於可由先驗編碼之一類信號。應注意,模型1800之輸出可僅屬於所允許信號之類別,而1806之輸出在此階段為自由的。
在訓練期間,本發明系統及方法經組態以藉由訓練模型1806之輸出以近似模型1800之輸出來確保模型1806之輸出屬於適當類別。在此情形下,模型1800可經訓練以模型化一類可能信號中之任何可容許信號。藉由確保模型1806之輸出近似模型1800之輸出,本發明系統及方法確保來自模型1806之輸出屬於所關注一類信號,同時仍允許使用資訊(其經提供至700)來決定經編碼之準確資訊。此為可能的,由於模型1800之輸出亦可改變為模型特定資料,只要此改變在該類可能信號內即可。
在一些實施例中,模組自動編碼器模型700包含一或多個輔助模型1802 (包括模型1802a、…、1802n),其經組態以產生潛在空間707中之低維度資料中之至少一些的標籤1804。標籤1804經組態以在1806 (或更大體而言,預測模型708至1806為預測模型之輸出或潛在空間中之條目)處使用以用於參數715 (例如諸如傾斜及/或其他參數)之估計(例如預測、推斷等)。在一些實施例中,標籤1804經組態以供模組自動編碼器模型700使用以將行為(例如基於一或多個自變數之行為)施加至潛在空間707及/或預測模型708之輸出(例如參數715之估計)上。行為與一類可能信號(例如,在此實例中,傾斜信號,但涵蓋任何數目個其他可能信號)相關聯。若預測模型為如圖18中由1806所描繪之簡單遮罩,則可對潛在空間之部分進行子選擇,且可將行為直接強加於潛在空間上。若不同模型用於預測模型(例如,不同模型708),則將施加行為新增至預測模型(例如,不同模型708)之輸出,其中至潛在空間之連結在其向後經過預測模型時不太直接。
在一些實施例中,一或多個輔助模型1802包含一或多個晶圓模型。晶圓模型表示將所需行為施加至潛在空間707上之可訓練模型。此促進在模組自動編碼器模型700之一或多個模型(例如,702、704、705、709、706、708及/或1802)之訓練期間併入關於蝕刻程序(在此實例中)的物理知識及其與堆疊之互動。如本文中所描述,此等模型可為神經網路、圖形模型及/或限制於模型預期物理行為(在此實例中為徑向及正弦傾斜行為)之其他模型。
在一些實施例中,一或多個晶圓模型(例如輔助模型1802)經組態以將堆疊及/或圖案特徵中之圖案傾斜與其他不對稱性分開。在此實例中,一或多個晶圓模型與圖案傾斜相關聯,且所產生標籤1804耦接至經預限定以對應於傾斜之潛在空間707中之維度資料,使得基於晶圓先驗之告知分解由模組自動編碼器模型700執行。
在一些實施例中,至一或多個晶圓模型(例如一或多個輔助模型1802)之輸入包含與晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用以產生、編碼及/或限制一類信號(例如在此實例中,傾斜信號)。至一或多個晶圓模型(例如輔助模型1802)之輸入可包含晶圓半徑1810 (r)及/或(光柵至晶圓)角度,其包含與晶圓上之圖案相關聯之極座標中之位置,及/或其他資訊。亦可使用與晶圓上之圖案相關聯之第二角1812 (ϕ),以及晶圓鑑別及/或其他資訊。此角由與晶圓上之圖案之定向相關聯之極座標角及恆定相位兩者構成。
在圖17中,展示關於晶圓之給定光柵定向。此判定在何處預期最大傾斜之全域旋轉。接著,基於晶圓上之實際位置,連同此全域旋轉,本發明系統可限定晶圓上之不同位置與傾斜值之間的關係。若角自1702變化,則整個影像1700旋轉。現在,在1700中之兩個不同位置上,傾斜關係基於位置之角度,同時亦考慮此全域旋轉。
如圖18中所展示,一或多個適當輔助模型可基於輸入進行選擇(例如藉由處理器) 1820並使用,使得標籤1804與跨越晶圓之潛在參數匹配。在此實例中,使用正弦函數,此係由於預期到正弦類行為。在此實例中,傾斜先驗模型具有兩個輸入,半徑r及角度phi。應注意,此角(在此實例中)為藉由與晶圓對準之光柵判定的恆定角(參見圖17中之1702)及與晶圓上之位置有關的角度(例如1706a)的總和。本發明模型可認作徑向行為之模型,其在電漿之傾斜完全正交於晶圓之XY平面中的光柵定向的狀況下產生最大傾斜值
Figure 02_image139
(亦即1820)。此值表示之傾斜先驗之之徑向組分。此組分可與堆疊傾斜相關聯,其視電漿與光柵對準(經由
Figure 02_image141
)而定,此係由於此對準視晶圓上之位置而改變。在建構用於傾斜之模型之後,其可與自動編碼器耦接(在1804處)。
舉例而言,圖18中所展示之以選擇sin投影開始之方程式sin (ϕ) l由用於蝕刻誘發之傾斜之模型引起。考慮位置1706a (圖17),其說明來自蝕刻電漿之離子關於光柵之給定對準。此影響光柵之傾斜,意義在於其與關於光柵彎曲至正交方向上的電漿之投影成比例地傾斜。在給出ϕ之適當定義之情況下,此可藉由sin (ϕ) l模型化。當sin (ϕ) = 0時,由於此投影,傾斜變成0例如(參見圖17中之1714)。在此情形下,電漿仍為彎曲的,其恰好不會導致光柵傾斜。
用於傾斜推斷之此等實例輸入並不意欲為限制性的。可存在其他輸入。舉例而言,另一傾斜誘導因素可為晶圓應力。在一些實施例中,圖案特徵密度可用以啟發基於位置之參數晶圓映射模型以用於傾斜。然而,應用相同類型之建構,具有不同的所得輔助模型。可強制實行之其他可能實例行為關於晶圓上正發生傾斜的位置,亦即晶圓邊緣處。輔助模型1802n可經組態(例如,經訓練)以確保晶圓之內部中之傾斜信號的值小至零。蝕刻腔室使用之知識可充當可連接至傾斜行為及/或量值(且可訓練至輔助模型1802n中)之另一類型之實例資訊。藉由此資訊,控制電場之壽命(例如,RF小時)或蝕刻器設定(例如,環高、DC電壓等)可與例如誘發之蝕刻傾斜的單調性變化相關。
應注意,上文提供的圖18之此描述不意欲為限制性的。舉例而言,存在用於不同應用之不同輸入。如上文所描述,傾斜相關輸入可與蝕刻腔室使用、光柵定向、徑向變化、圓周(正弦)變化、圖案特徵密度及/或其他堆疊資訊相關聯。然而,輸入(或先驗) (用於傾斜及/或任何其他應用)通常可認作可用以推斷、估計、預測或以其他方式判定與一或多個所關注參數715相關聯之形狀、幾何資訊及/或其他資訊(例如,待提取之任何資訊)的任何資料。至一或多個輔助模型1802之其他類型之輸入的實例包括光瞳資料、與狹縫形狀相關之資料等。
作為另一實例,比上文所描述之輔助模型更多或更少之輔助模型1802可包括於模組自動編碼器模型700中,及/或輔助模型1802與圖18中所示輔助模型不同地配置。舉例而言,一或多個輔助模型1802可嵌入於模組自動編碼器模型700中之一或多個其他模型(例如,編碼器部分705)中。作為第三實例,預測模型708可由超過一個個別模型形成。在一些實施例中,預測模型708包含一或多個預測模型,且一或多個預測模型經組態以基於標籤1804及/或來自一或多個輔助模型1802之一或多個不同輸出而估計一或多個參數715。作為第四實例,在一些實施例中,一或多個輔助模型1802經組態嵌套有一或多個其他輔助模型1802及/或模組自動編碼器模型700之一或多個其他模型(例如,702、704、706、708)。
應注意,光瞳例如可用作至輔助模型之輸入,該等光瞳可來源於一些特殊/專用目標及/或其他源。
在一些實施例中,一或多個輔助模型1802經組態以使用成本函數進行訓練,以最小化產生標籤1804與一或多個預測模型708之輸出(例如參數715)之間的差。一或多個預測模型708經組態以選擇適當潛在變數(例如,視所關注參數715而定)。一或多個輔助模型1802經組態以與一或多個輸入模型702、共同模型704、一或多個輸出模型706及/或預測模型708同時進行訓練。
應瞭解,本發明系統及方法之原理可用於任何應用中,其中其將有利於允許選擇遵循預期行為之所關注信號(例如,上文中所描述之實例中之傾斜信號),及可被誤認為所關注信號之分開之信號(例如,只要分開之信號遵循不同晶圓分佈即可)。可新增其他堆疊資訊(例如,作為一個實例之疊對)以幫助減少由信號相關性產生及/或出於其他原因之任何問題。此為可能的,此係由於可以高可信度鑑別其他參數(例如,在此實例中除傾斜以外之參數)之信號空間,且有可能確保彼等其他信號並不與所關注參數(例如傾斜)相關聯。
圖19為說明可執行及/或輔助實施本文中所揭示之方法、流程、系統或裝置之電腦系統100的方塊圖。電腦系統100包括用於傳送資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104 (或多個處理器104及105)。電腦系統100亦包括耦接至匯流排102以儲存待由處理器104執行之資訊及指令的主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體106亦可用於在執行待由處理器104執行之指令期間儲存暫時性變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以儲存用於處理器104之靜態資訊及指令的唯讀記憶體(ROM) 108或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件110,且該儲存器件110耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102耦接至用於向電腦使用者顯示資訊之顯示器112,諸如陰極射線管(CRT)或平板顯示器或觸摸面板顯示器。包括文數字按鍵及其他按鍵之輸入器件114耦接至匯流排102以用於將資訊及命令選擇傳送至處理器104。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳送至處理器104且用於控制顯示器112上之游標移動之游標控制件116,諸如滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸(例如,x)及第二軸(例如,y))上之兩個自由度,從而允許該器件指定平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。
根據一個實施例,本文中所描述之一或多種方法的部分可藉由電腦系統100回應於處理器104執行主記憶體106中所含有之一或多個指令的一或多個序列而執行。可將此類指令自另一電腦可讀媒體(諸如儲存器件110)讀取至主記憶體106中。主記憶體106中所含有之指令序列的執行使得處理器104執行本文中所描述之程序步驟。亦可採用呈多處理配置之一或多個處理器來執行主記憶體106中所含有之指令序列。在替代性實施例中,可代替軟體指令或與軟體指令組合而使用硬連線電路。因此,本文中之描述不限於硬體電路與軟體之任何特定組合。
如本文所使用之術語「電腦可讀媒體」或「機器可讀」指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括(但不限於)非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排102之電線。傳輸媒體亦可呈聲波或光波形式,諸如在射頻(RF)及紅外(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟磁碟、軟性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波或可供電腦讀取之任何其他媒體。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器104以供執行。舉例而言,可初始地將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線來發送指令。電腦系統100本端之數據機可接收電話線上之資料,且使用紅外傳輸器將資料轉化為紅外信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自該主記憶體擷取並執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存器件110上。
電腦系統100亦可包括耦接至匯流排102之通信介面118。通信介面118提供耦接至網路鏈路120之雙向資料通信,該網路鏈路連接至區域網路122。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以提供至對應類型之電話線之資料通信連接。作為另一實例,通信介面118可為區域網路(LAN)卡以提供至相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光信號。
網路鏈路120通常經由一或多個網路將資料通信提供至其他資料器件。舉例而言,網路鏈路120可經由區域網路122向主機電腦124或向由網際網路服務提供者(ISP) 126操作之資料設備提供連接。ISP 126又經由全球封包資料通信網路(現在通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128皆使用攜載數位資料串流之電信號、電磁信號或光信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號為輸送資訊之載波之例示性形式,該等信號將數位資料攜載至電腦系統100且自電腦系統100攜載數位資料。
電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息且接收資料,包括程式碼。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118傳輸用於應用程式之所請求程式碼。舉例而言,一個此類經下載應用程式可提供本文中所描述之方法的全部或部分。所接收程式碼可在其接收時由處理器104執行,及/或儲存於儲存器件110或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統100可獲得呈載波形式之應用程式碼。
圖20為圖1中所展示之微影投影裝置LA之替代性設計之詳細視圖。(圖1係關於DUV輻射,此係由於使用透鏡且使用透明倍縮光罩,而圖18係關於使用EUV輻射之微影裝置,此係由於使用鏡面及反射倍縮光罩。)如圖20中所展示,微影投影裝置可包括源SO、照明系統IL及投影系統PS。源SO經組態以使得可將真空環境維持於源SO之圍封結構220中。可藉由放電產生之電漿輻射源來形成發射電漿210之EUV (例如)輻射。可由氣體或蒸汽,例如Xe氣體、Li蒸汽或Sn蒸汽產生EUV輻射,其中產生電漿210以發射在電磁光譜之EUV範圍內之輻射。舉例而言,藉由產生至少部分地離子化之電漿之放電來產生電漿210。為了輻射之有效產生,可需要(例如) 10 Pa分壓之Xe、Li、Sn蒸汽或任何其他適合之氣體或蒸汽。在一些實施例中,提供經激勵錫(Sn)之電漿以產生EUV輻射。
經由定位於源腔室211之開口中或後方之視情況存在之氣體障壁或污染物截留器230 (在一些情況下亦稱為污染物障壁或箔片截留器)將由電漿210發射之輻射自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。腔室211可包括輻射收集器CO,該輻射收集器可為例如掠入射收集器。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可自光柵光譜濾光器240反射以沿著由線『O』指示之光軸而聚焦於虛擬源點IF中。虛擬源點IF通常稱為中間焦點,且源經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,該照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,該琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處之輻射光束21之所要角分佈,以及在圖案化器件MA處之輻射強度之所要均一性。在由支撐結構(台) T固持之圖案化器件MA處反射輻射光束21後,形成圖案化光束26,且圖案化光束26藉由投影系統PS經由反射元件28、30成像至由基板台WT固持之基板W上。比所展示元件更多之元件通常可存在於照明光學器件單元IL及投影系統PS中。視例如微影裝置之類型而定,可視情況存在光柵光譜濾光器240。此外,可存在比諸圖中所展示之鏡面更多的鏡面,例如,在投影系統PS中可存在比圖20中所展示之反射元件多1至6個的額外反射元件。
如圖20中所說明之收集器光學件CO描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255關於光軸O軸向對稱安置,且此類型之收集器光學器件CO可與通常稱為DPP源之放電產生電漿源組合使用。
在經編號條項之後續清單中揭示其他實施例: 1. 一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得電腦執行用於參數估計之模組自動編碼器模型,該模組自動編碼器模型包含: 一或多個輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合的第一級維度; 共同模型,其經組態以: 組合經處理輸入且降低組合的經處理輸入之維度以在潛在空間中產生低維度資料,潛在空間中之低維度資料具有小於第一級之第二級所得降低維度; 將潛在空間中之低維度資料擴展成一或多個輸入之一或多個擴展版本,與潛在空間中之低維度資料相比,一或多個輸入之一或多個擴展版本具有增大維度,一或多個輸入之一或多個擴展版本適合用於產生一或多個不同輸出; 一或多個輸出模型,其經組態以使用一或多個輸入之一或多個擴展版本以產生一或多個不同輸出,一或多個不同輸出為一或多個輸入之近似值,與一或多個輸入之擴展版本相比,一或多個不同輸出具有相同或增大維度;及 預測模型,其經組態以基於潛在空間中之低維度資料及/或一或多個不同輸出而估計一或多個參數。 2. 如條項1之媒體,其中個別輸入模型及/或輸出模型包含兩個或更多個子模型,兩個或更多個子模型與感測操作及/或製造程序之不同部分相關聯。 3. 如條項1或2之媒體,其中個別輸出模型包含兩個或更多個子模型,且兩個或更多個子模型包含用於半導體感測器操作之感測器模型及堆疊模型。 4. 如條項1至3中任一項之媒體,其中一或多個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,一或多個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 5. 如條項1至4中任一項之媒體,其中基於製造程序及/或感測操作之不同部分中之程序物理性質差異而判定一或多個輸入模型之數量及一或多個輸出模型之數量。 6. 如條項1至5中任一項之媒體,其中輸入模型之數量與輸出模型之數量不同。 7. 如條項1至6中任一項之媒體,其中: 共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構; 將一或多個輸入處理成第一級維度,且降低組合的經處理輸入之維度包含編碼;且 將潛在空間中之低維度資料擴展成一或多個輸入之一或多個擴展版本包含解碼。 8. 如條項1至7中任一項之媒體,其中藉由比較一或多個不同輸出與對應輸入,且調整一或多個輸入模型、共同模型及/或一或多個輸出模型之參數化,以減小或最小化輸出與對應輸入之間的差來訓練模組自動編碼器模型。 9. 如條項1至8中任一項之媒體,其中共同模型包含編碼器及解碼器,且其中模組自動編碼器模型藉由以下進行訓練: 將變化應用於潛在空間中之低維度資料,使得共同模型解碼相對更連續潛在空間以產生解碼器信號; 以遞歸方式將解碼器信號提供至編碼器以產生新低維度資料; 比較新低維度資料與低維度資料;及 基於比較而調整模組自動編碼器模型之一或多個組件,以減小或最小化新低維度資料與低維度資料之間的差。 10.    如條項1至9中任一項之媒體,其中: 一或多個參數為半導體製造程序參數; 一或多個輸入模型及/或一或多個輸出模型包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構; 共同模型包含前饋層及/或殘餘層;且 預測模型包含前饋層及/或殘餘層。 11.    如條項1至10中任一項之媒體,其中模組自動編碼器模型進一步包含一或多個輔助模型,其經組態以產生用於潛在空間中之低維度資料中之至少一些的標籤,該等標籤經組態以供用於估計之預測模型使用。 12.    如條項1至11中任一項之媒體,其中標籤經組態以供模組自動編碼器模型使用以將一行為施加於潛在空間及/或預測模型之輸出上,且其中該行為與一類可能信號相關聯。 13.    如條項1至12中任一項之媒體,其中該預測模型包含一或多個預測模型,且一或多個預測模型經組態以基於標籤及/或來自一或多個輔助模型之一或多個不同輸出而估計一或多個參數。 14.    如條項1至13中任一項之媒體,其中至一或多個輔助模型之輸入包含與晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。 15.    如條項1至14中任一項之媒體,其中: 一或多個輔助模型經組態以使用成本函數進行訓練,以最小化產生標籤與一或多個預測模型之輸出之間的差,其中一或多個預測模型經組態以選擇適當潛在變數;且 一或多個輔助模型經組態以與一或多個輸入模型、共同模型、一或多個輸出模型及/或預測模型同時進行訓練。 16.    如條項1至5中任一項之媒體,其中: 一或多個輔助模型包含一或多個晶圓模型; 至一或多個晶圓模型之輸入包含以下中之一或多者:晶圓半徑及/或角,其包含與晶圓上之圖案相關聯之極座標中之位置;第二角,其與晶圓上之圖案相關聯;及/或晶圓鑑別; 一或多個晶圓模型與圖案傾斜相關聯;且 產生標籤經耦接至潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之知情分解藉由模組自動編碼器模型執行。 17.    如條項1至16中任一項之媒體,其中一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之圖案傾斜與其他不對稱性分開。 18.    如條項1至17中任一項之媒體,其中一或多個輔助模型嵌套有模組自動編碼器模型之一或多個其他輔助模型及/或一或多個其他模型,且其中包括光瞳資料之其他輸入用作至一或多個輔助模型之輸入。 19.    一種用於參數估計之方法,該方法包含: 藉由模組自動編碼器模型之一或多個輸入模型將一或多個輸入處理成適合於與其他輸入組合的第一級維度; 藉由模組自動編碼器模型之共同模型組合經處理輸入,且降低組合的經處理輸入之維度以在潛在空間中產生低維度資料,潛在空間中之低維度資料具有小於第一級的第二級所得降低維度; 藉由共同模型將潛在空間中之低維度資料擴展成一或多個輸入之一或多個擴展版本,與潛在空間中之低維度資料相比,一或多個輸入之一或多個擴展版本具有增大維度,一或多個輸入之一或多個擴展版本適合用於產生一或多個不同輸出; 藉由模組自動編碼器模型之一或多個輸出模型,使用一或多個輸入之一或多個擴展版本以產生一或多個不同輸出,一或多個不同輸出為一或多個輸入之近似值,與一或多個輸入之擴展版本相比,一或多個不同輸出具有相同或增大維度;及 藉由模組自動編碼器模型之預測模型,基於潛在空間中之低維度資料及/或一或多個輸出而估計一或多個參數。 20.    如條項19之方法,其中個別輸入模型及/或輸出模型包含兩個或更多個子模型,兩個或更多個子模型與感測操作及/或製造程序之不同部分相關聯。 21.    如條項19或20之方法,其中個別輸出模型包含兩個或更多個子模型,且兩個或更多個子模型包含用於半導體感測器操作之感測器模型及堆疊模型。 22.    如條項19至21中任一項之方法,其中一或多個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,一或多個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 23.    如條項19至22中任一項之方法,其進一步包含基於製造程序及/或感測操作之不同部分中之程序物理性質差異而判定一或多個輸入模型之數量及/或一或多個輸出模型之數量。 24.    如條項19至23中任一項之方法,其中輸入模型之數量與輸出模型之數量不同。 25.    如條項19至24中任一項之方法,其中: 共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構; 將一或多個輸入處理成第一級維度,且降低組合的經處理輸入之維度包含編碼;且 將潛在空間中之低維度資料擴展成一或多個輸入之一或多個擴展版本包含解碼。 26.    如條項19至25中任一項之方法,其進一步包含藉由比較一或多個不同輸出與對應輸入,且調整一或多個輸入模型、共同模型及/或一或多個輸出模型之參數化,以減小或最小化輸出與對應輸入之間的差來訓練模組自動編碼器模型。 27.    如條項19至26中任一項之方法,其中共同模型包含編碼器及解碼器,該方法進一步包含藉由以下來訓練模組自動編碼器模型: 將變化應用於潛在空間中之低維度資料,使得共同模型解碼相對更連續潛在空間以產生解碼器信號; 以遞歸方式將解碼器信號提供至編碼器以產生新低維度資料; 比較新低維度資料與低維度資料;及 基於比較而調整模組自動編碼器模型之一或多個組件,以減小或最小化新低維度資料與低維度資料之間的差。 28.    如條項19至27中任一項之方法,其中: 一或多個參數為半導體製造程序參數; 一或多個輸入模型及/或一或多個輸出模型包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構; 共同模型包含前饋層及/或殘餘層;且 預測模型包含前饋層及/或殘餘層。 29.    如條項19至28中任一項之方法,其進一步包含藉由模組自動編碼器模型之一或多個輔助模型產生用於潛在空間中之低維度資料中之至少一些的標籤,該等標籤經組態以供用於估計之預測模型使用。 30.    如條項19至29中任一項之方法,其中標籤經組態以供模組自動編碼器模型使用以將一行為施加於潛在空間及/或預測模型之輸出上,且其中該行為與一類可能信號相關聯。 31.    如條項19至30中任一項之方法,其中該預測模型包含一或多個預測模型,且一或多個預測模型經組態以基於標籤及/或來自一或多個輔助模型之一或多個不同輸出來估計一或多個參數。 32.    如條項19至31中任一項之方法,其中至一或多個輔助模型之輸入包含與晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。 33.    如條項19至32中任一項之方法,其中: 一或多個輔助模型經組態以使用成本函數進行訓練,以最小化產生標籤與一或多個預測模型之輸出之間的差,其中一或多個預測模型經組態以選擇適當潛在變數;且 一或多個輔助模型經組態以與一或多個輸入模型、共同模型、一或多個輸出模型及/或預測模型同時進行訓練。 34.    如條項19至33中任一項之方法,其中: 一或多個輔助模型包含一或多個晶圓模型; 至一或多個晶圓模型之輸入包含以下中之一或多者:晶圓半徑及/或角,其包含與晶圓上之圖案相關聯之極座標中之位置;第二角,其與晶圓上之圖案相關聯;及/或晶圓鑑別; 一或多個晶圓模型與圖案傾斜相關聯;且 產生標籤經耦接至潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之知情分解藉由模組自動編碼器模型執行。 35.    如條項19至34中任一項之方法,其中一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之圖案傾斜與其他不對稱性分開。 36.    如條項19至35中任一項之方法,其中一或多個輔助模型嵌套有模組自動編碼器模型之一或多個其他輔助模型及/或一或多個其他模型,且其中包括光瞳資料之其他輸入用作至一或多個輔助模型之輸入。 37.    一種系統,其包含: 模組自動編碼器模型之一或多個輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度; 模組自動編碼器模型之共同模型,其經組態以: 組合經處理輸入且降低組合的經處理輸入之維度以在潛在空間中產生低維度資料,潛在空間中之低維度資料具有小於第一級之第二級所得降低維度; 將潛在空間中之低維度資料擴展成一或多個輸入之一或多個擴展版本,與潛在空間中之低維度資料相比,一或多個輸入之一或多個擴展版本具有增大維度,一或多個輸入之一或多個擴展版本適合用於產生一或多個不同輸出; 模組自動編碼器模型之一或多個輸出模型,其經組態以使用一或多個輸入之一或多個擴展版本以產生一或多個不同輸出,一或多個不同輸出為一或多個輸入之近似值,與一或多個輸入之擴展版本相比,一或多個不同輸出具有相同或增大維度;及 模組自動編碼器模型之預測模型,其經組態以基於潛在空間中之低維度資料及/或一或多個輸出而估計一或多個參數。 38.    如條項37之系統,其中個別輸入模型及/或輸出模型包含兩個或更多個子模型,兩個或更多個子模型與感測操作及/或製造程序之不同部分相關聯。 39.    如條項37或38之系統,其中個別輸出模型包含兩個或更多個子模型,且兩個或更多個子模型包含用於半導體感測器操作之感測器模型及堆疊模型。 40.    如條項37至39中任一項之系統,其中一或多個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,一或多個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 41.    如條項37至40中任一項之系統,其中基於製造程序及/或感測操作之不同部分中之程序物理性質差異而判定一或多個輸入模型之數量及一或多個輸出模型之數量。 42.    如條項37至41中任一項之系統,其中輸入模型之數量與輸出模型之數量不同。 43.    如條項37至42中任一項之系統,其中: 共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構; 將一或多個輸入處理成第一級維度,且降低組合的經處理輸入之維度包含編碼;且 將潛在空間中之低維度資料擴展成一或多個輸入之一或多個擴展版本包含解碼。 44.    如條項37至43中任一項之系統,其中藉由比較一或多個不同輸出與對應輸入,且調整一或多個輸入模型、共同模型及/或一或多個輸出模型之參數化,以減小或最小化輸出與對應輸入之間的差來訓練模組自動編碼器模型。 45.    如條項37至44中任一項之系統,其中共同模型包含編碼器及解碼器,且其中模組自動編碼器模型藉由以下進行訓練: 將變化應用於潛在空間中之低維度資料,使得共同模型解碼相對更連續潛在空間以產生解碼器信號; 以遞歸方式將解碼器信號提供至編碼器以產生新低維度資料; 比較新低維度資料與低維度資料;及 基於比較而調整模組自動編碼器模型之一或多個組件,以減小或最小化新低維度資料與低維度資料之間的差。 46.    如條項37至45中任一項之系統,其中: 一或多個參數為半導體製造程序參數; 一或多個輸入模型及/或一或多個輸出模型包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構; 共同模型包含前饋層及/或殘餘層;且 預測模型包含前饋層及/或殘餘層。 47.    如條項37至46中任一項之系統,其中模組自動編碼器模型進一步包含一或多個輔助模型,其經組態以產生用於潛在空間中之低維度資料中之至少一些的標籤,該等標籤經組態以供用於估計之預測模型使用。 48.    如條項37至47中任一項之系統,其中標籤經組態以供模組自動編碼器模型使用以將一行為施加於潛在空間及/或預測模型之輸出上,且其中該行為與一類可能信號相關聯。 49.    如條項37至48中任一項之系統,其中該預測模型包含一或多個預測模型,且一或多個預測模型經組態以基於標籤及/或來自一或多個輔助模型之一或多個不同輸出而估計一或多個參數。 50.    如條項37至49中任一項之系統,其中至一或多個輔助模型之輸入包含與晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。 51.    如條項37至50中任一項之系統,其中: 一或多個輔助模型經組態以使用成本函數進行訓練,以最小化產生標籤與一或多個預測模型之輸出之間的差,其中一或多個預測模型經組態以選擇適當潛在變數;且 一或多個輔助模型經組態以與一或多個輸入模型、共同模型、一或多個輸出模型及/或預測模型同時進行訓練。 52.    如條項37至51中任一項之系統,其中: 一或多個輔助模型包含一或多個晶圓模型; 至一或多個晶圓模型之輸入包含以下中之一或多者:晶圓半徑及/或角,其包含與晶圓上之圖案相關聯之極座標中之位置;第二角,其與晶圓上之圖案相關聯;及/或晶圓鑑別; 一或多個晶圓模型與圖案傾斜相關聯;且 產生標籤經耦接至潛在空間中之維度資料,該維度資料經定義以對應於傾斜,使得基於晶圓先驗之知情分解藉由模組自動編碼器模型執行。 53.    如條項37至52中任一項之系統,其中一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之圖案傾斜與其他不對稱性分開。 54.    如條項37至53中任一項之系統,其中一或多個輔助模型嵌套有模組自動編碼器模型之一或多個其他輔助模型及/或一或多個其他模型,且其中包括光瞳資料之其他輸入用作至一或多個輔助模型之輸入。 55.    一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得電腦執行用於參數估計之機器學習模型,該機器學習模型包含: 一或多個第一模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之第一級維度; 第二模型,其經組態以: 組合經處理一或多個輸入且降低組合的經處理一或多個輸入之維度; 將組合的經處理一或多個輸入擴展成一或多個輸入之一或多個恢復版本,一或多個輸入之一或多個恢復版本適合用於產生一或多個不同輸出; 一或多個第三模型,其經組態以使用一或多個輸入之一或多個恢復版本以產生一或多個不同輸出;及 第四模型,其經組態以基於降低維度組合的經壓縮輸入及一或多個不同輸出而估計參數。 56.    如條項55之媒體,其中一或多個第三模型之個別模型包含兩個或更多個子模型,兩個或更多個子模型與製造程序及/或感測操作之不同部分相關聯。 57.    如條項55或56之媒體,其中兩個或更多個子模型包含用於半導體製造程序之感測器模型及堆疊模型。 58.    如條項55至57中任一項之媒體,其中一或多個第一模型、第二模型及一或多個第三模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除機器學習模型中之其他模型之外,一或多個第一模型、第二模型及/或一或多個第三模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 59.    如條項55至58中任一項之媒體,其中基於製造程序及/或感測操作之不同部分中之程序物理性質差異而判定一或多個第一模型之數量及一或多個第三模型之數量。 60.    如條項55至59中任一項之媒體,其中第一模型之數目與第二模型之數目不同。 61.    如條項55至60中任一項之媒體,其中: 第二模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構; 壓縮一或多個輸入包含編碼;且 將組合的經壓縮一或多個輸入擴展成一或多個輸入之一或多個恢復版本包含解碼。 62.    如條項55至61中任一項之媒體,其中藉由比較一或多個不同輸出與對應輸入,且調整一或多個第一模型、第二模型及/或一或多個第三模型以減小或最小化輸出與對應輸入之間的差來訓練機器學習模型。 63.    如條項55至62中任一項之媒體,其中第二模型包含編碼器及解碼器,且其中第二模型藉由以下進行訓練: 應用潛在空間中之變化低維度資料,使得第二模型解碼相對更連續潛在空間以產生解碼器信號; 以遞歸方式將解碼器信號提供至編碼器以產生新低維度資料; 比較新低維度資料與低維度資料;及 基於比較而調整第二模型以減小或最小化新低維度資料與低維度資料之間的差。 64.    如條項55至63中任一項之媒體,其中: 參數為半導體製造程序參數; 一或多個第一模型及/或一或多個第三模型包含機器學習模型之密集前饋層、廻旋層及/或殘餘網路架構; 第二模型包含前饋層及/或殘餘層;且 第四模型包含前饋層及/或殘餘層。 65.    如條項55至64中任一項之媒體,其中機器學習模型進一步包含一或多個第五模型,其經組態以產生降低維度組合的經處理輸入中之至少一些的標籤,該等標籤經組態以供用於估計之第四模型使用。 66.    如條項55至65中任一項之媒體,其中標籤經組態以供機器學習模型使用以將一行為施加於潛在空間及/或第四模型之輸出上,且其中該行為與一類可能信號相關聯。 67.    如條項55至66中任一項之媒體,其中該第四模型包含一或多個第四模型,且一或多個第四模型經組態以基於標籤及/或來自一或多個第五模型之一或多個不同輸出而估計一或多個參數。 68.    如條項55至67中任一項之媒體,其中至一或多個第五模型之輸入包含與晶圓圖案形狀及/或晶圓座標相關聯之資料,該資料經組態以用於產生、編碼及/或限制一類信號。 69.    如條項55至68中任一項之媒體,其中: 一或多個第五模型經組態以使用成本函數進行訓練,以最小化產生標籤與一或多個第四模型之輸出之間的差,其中一或多個第四模型經組態以選擇適當潛在變數;且 一或多個第五模型經組態以與一或多個第一模型、第二模型、一或多個第三模型及/或第四模型同時進行訓練。 70.    如條項55至69中任一項之媒體,其中: 一或多個第五模型包含一或多個晶圓模型; 至一或多個晶圓模型之輸入包含以下中之一或多者:晶圓半徑及/或角,其包含與晶圓上之圖案相關聯之極座標中之位置;第二角,其與晶圓上之圖案相關聯;及/或晶圓鑑別; 一或多個晶圓模型與圖案傾斜相關聯;且 產生標籤耦接至潛在空間中之維度資料,該維度資料經預定義以對應於傾斜,使得基於晶圓先驗之知情分解藉由機器學習模型執行。 71.    如條項55至70中任一項之媒體,其中一或多個晶圓模型經組態以將堆疊及/或圖案特徵中之圖案傾斜與其他不對稱性分開。 72.    如條項55至71中任一項之媒體,其中一或多個第五模型嵌套有機器學習模型之一或多個其他第五模型及/或一或多個其他模型,且其中包括光瞳資料之其他輸入用作至一或多個第五模型之輸入。 73.    一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得電腦執行模組自動編碼器模型,該模組自動編碼器模型用於藉由基於可用通道使用複數個輸入模型之子集估計資訊內容之可擷取數量而從來自光學度量衡平台之量測資料之可用通道之組合估計所關注參數,該等指令引起包含以下之操作: 使複數個輸入模型基於可用通道而壓縮複數個輸入,使得複數個輸入適合於彼此組合;及 使共同模型組合經壓縮輸入且基於組合的經壓縮輸入而在潛在空間中產生低維度資料,其中低維度資料估計可擷取數量,且其中潛在空間中之低維度資料經組態以供一或多個額外模型使用以產生複數個輸入之近似值及/或基於低維度資料而估計參數。 74.    如條項73之媒體,該等指令引起包含以下之其他操作: 藉由以下訓練模組自動編碼器模型: 反覆地變化藉由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集; 比較一或多個訓練近似值及/或基於訓練低維度資料而產生或預測之訓練參數與對應參考;及 基於比較而調整複數個輸入模型中之一或多者、共同模型及/或額外模型中之一或多者以減小或最小化該一或多個訓練近似值及/或訓練參數與參考之間的差; 使得共同模型經組態以組合經壓縮輸入且產生該低維度資料以用於產生近似值及/或估計參數,而不管複數個輸入中之哪些輸入由共同模型組合。 75.    如條項73或74之媒體,其中個別反覆之變化為隨機的,或其中個別反覆之變化以統計學上有意義之方式變化。 76.    如條項73至75中任一項之媒體,其中個別反覆之變化經組態以使得在目標數目次反覆之後,經壓縮輸入中之每一者已至少一次包括於壓縮輸入子集中。 77.    如條項73至76中任一項之媒體,其中反覆地變化由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集包含自可能可用通道之集合當中進行通道選擇,可能可用通道之集合與光學度量衡平台相關聯。 78.    如條項73至77中任一項之媒體,其中重複反覆地變化、比較及調整直至目標收斂。 79.    如條項73至78中任一項之媒體,其中反覆地變化、比較及調整經組態以減小或消除可針對跨越通道之組合搜尋發生之偏差。 80.    如條項73至79中任一項之媒體,其中一或多個額外模型包含一或多個輸出模型,其經組態以產生一或多個輸入之近似值;及預測模型,其經組態以基於低維度資料估計參數,且 其中複數個輸入模型、共同模型及/或額外模型中之一或多者經組態以進行調整以減小或最小化一或多個訓練近似值及/或訓練製造程序參數與對應參考之間的差。 81.    如條項73至80中任一項之媒體,其中複數個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,複數個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 82.    如條項73至81中任一項之媒體,其中: 個別輸入模型包含神經網路區塊,其包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且 共同模型包含神經網路區塊,其包含前饋層及/或殘餘層。 83.    一種用於從來自光學度量衡平台之量測資料之可用通道之組合估計所關注參數之方法,所述估計藉由基於可用通道使用模組自動編碼器模型之複數個輸入模型之子集估計資訊內容之可擷取數量進行,該方法包含: 使複數個輸入模型基於可用通道而壓縮複數個輸入,使得複數個輸入適合於彼此組合;及 使得模組自動編碼器模型之共同模型組合壓縮輸入且基於組合的經壓縮輸入而在潛在空間中產生低維度資料,其中低維度資料估計可擷取數量,且其中潛在空間中之低維度資料經組態以供一或多個額外模型使用以產生複數個輸入之近似值及/或基於低維度資料而估計參數。 84.    如條項83之方法,該方法進一步包含: 藉由以下訓練模組自動編碼器模型: 反覆地變化藉由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集; 比較一或多個訓練近似值及/或基於訓練低維度資料而產生或預測之訓練參數與對應參考;及 基於比較而調整複數個輸入模型中之一或多者、共同模型及/或額外模型中之一或多者以減小或最小化一或多個訓練近似值及/或訓練參數與參考之間的差; 使得共同模型經組態以組合經壓縮輸入且產生低維度資料以用於產生近似值及/或估計參數,而不管複數個輸入中之哪些輸入由共同模型組合。 85.    如條項83或84之方法,其中個別反覆之變化為隨機的,或其中個別反覆之變化以統計學上有意義之方式變化。 86.    如條項83至85中任一項之方法,其中個別反覆之變化經組態以使得在目標數目次反覆之後,經壓縮輸入中之每一者已至少一次包括於經壓縮輸入之子集中。 87.    如條項83至86中任一項之方法,其中反覆地變化由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集包含自可能可用通道之集合當中進行通道選擇,可能可用通道之集合與光學度量衡平台相關聯。 88.    如條項83至87中任一項之方法,其中重複反覆地變化、比較及調整直至目標收斂。 89.    如條項83至88中任一項之方法,其中反覆地變化、比較及調整經組態以減小或消除可針對跨越通道之組合搜尋發生之偏差。 90.    如條項83至89中任一項之方法,其中一或多個額外模型包含一或多個輸出模型,其經組態以產生一或多個輸入之近似值;及預測模型,其經組態以基於低維度資料來估計參數,且 其中複數個輸入模型、共同模型及/或額外模型中之一或多者經組態以進行調整以減小或最小化一或多個訓練近似值及/或訓練製造程序參數與對應參考之間的差。 91.    如條項83至90中任一項之方法,其中複數個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,複數個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 92.    如條項83至91中任一項之方法,其中: 個別輸入模型包含神經網路區塊,其包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且 共同模型包含神經網路區塊,其包含前饋層及/或殘餘層。 93.    一種用於從來自光學度量衡平台之量測資料之可用通道之組合估計所關注參數之系統,該估計藉由基於可用通道使用模組自動編碼器模型之複數個輸入模型之子集估計資訊內容之可擷取數量進行,該系統包含: 複數個輸入模型,該複數個輸入模型經組態以基於可用通道而壓縮複數個輸入,使得複數個輸入適合於彼此組合;及 模組自動編碼器模型之共同模型組合經壓縮輸入且基於組合的經壓縮輸入而在潛在空間中產生低維度資料,其中低維度資料估計可擷取數量,且其中潛在空間中之低維度資料經組態以供一或多個額外模型使用以產生複數個輸入之近似值及/或基於低維度資料而估計參數。 94.    如條項93之系統,其中模組自動編碼器模型經組態以藉由以下進行訓練: 反覆地變化藉由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集; 比較一或多個訓練近似值及/或基於訓練低維度資料而產生或預測之訓練參數與對應參考;及 基於比較而調整複數個輸入模型中之一或多者、共同模型及/或額外模型中之一或多者以減小或最小化一或多個訓練近似值及/或訓練參數與參考之間的差; 使得共同模型經組態以組合經壓縮輸入且產生低維度資料以用於產生近似值及/或估計參數,而不管複數個輸入中之哪些輸入由共同模型組合。 95.    如條項93或94之系統,其中個別反覆之變化為隨機的,或其中個別反覆之變化以統計學上有意義之方式變化。 96.    如條項93至95中任一項之系統,其中個別反覆之變化經組態以使得在目標數目次反覆之後,經壓縮輸入中之每一者已至少一次包括於經壓縮輸入之子集中。 97.    如條項93至96中任一項之系統,其中反覆地變化由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集包含自可能可用通道之集合當中進行通道選擇,可能可用通道之集合與光學度量衡平台相關聯。 98.    如條項93至97中任一項之系統,其中重複反覆地變化、比較及調整直至目標收斂。 99.    如條項93至98中任一項之系統,其中反覆地變化、比較及調整經組態以減小或消除可針對跨越通道之組合搜尋發生的偏差。 100.  如條項93至99中任一項之系統,其中一或多個額外模型包含一或多個輸出模型,其經組態以產生一或多個輸入之近似值;及預測模型,其經組態以基於低維度資料而估計參數,且 其中複數個輸入模型、共同模型及/或額外模型中之一或多者經組態以進行調整以減小或最小化一或多個訓練近似值及/或訓練製造程序參數與對應參考之間的差。 101.  如條項93至100中任一項之系統,其中複數個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,複數個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 102.  如條項93至101中任一項之系統,其中: 個別輸入模型包含神經網路區塊,其包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且 共同模型包含神經網路區塊,其包含前饋層及/或殘餘層。 103.  一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得電腦執行用於參數估計之模組自動編碼器模型,該等指令引起包含以下之操作: 使複數個輸入模型壓縮複數個輸入,使得複數個輸入適合於彼此組合;及 使得共同模型組合經壓縮輸入且基於組合的經壓縮輸入而在潛在空間中產生低維度資料,潛在空間中之低維度資料經組態以供一或多個額外模型使用以產生一或多個輸入之近似值及/或基於低維度資料而預測參數, 其中共同模型經組態以組合經壓縮輸入且產生低維度資料,而不管複數個輸入中之哪些輸入由共同模型組合。 104.  如條項103之媒體,該等指令引起包含以下之其他操作: 藉由以下訓練模組自動編碼器: 反覆地變化藉由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集; 比較一或多個訓練近似值及/或基於訓練低維度資料而產生或估計之訓練參數與對應參考;及 基於比較而調整複數個輸入模型、共同模型及/或額外模型中之一或多者以減小或最小化一或多個訓練近似值及/或訓練參數與參考之間的差; 使得共同模型經組態以組合經壓縮輸入且產生低維度資料以用於產生近似值及/或估計程序參數,而不管複數個輸入中之哪些輸入由共同模型組合。 105.  如條項103或104之媒體,其中個別反覆之變化為隨機的,或其中個別反覆之變化以統計學上有意義之方式變化。 106.  如條項103至105中任一項之媒體,其中個別反覆之變化經組態以使得在目標數目次反覆之後,經壓縮輸入中之每一者已至少一次包括於經壓縮輸入子集中。 107.  如條項103至106中任一項之媒體,其中一或多個額外模型包含一或多個輸出模型,其經組態以產生一或多個輸入之近似值;及預測模型,其經組態以基於低維度資料而估計參數,且 其中基於比較而調整複數個輸入模型、共同模型及/或額外模型中之一或多者以減小或最小化一或多個訓練近似值及/或訓練參數與參考之間的差包含調整至少一個輸出模型及/或預測模型。 108.  如條項103至107中任一項之媒體,其中複數個輸入模型、共同模型及一或多個輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,複數個輸入模型、共同模型及/或一或多個輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 109.  如條項103至108中任一項之媒體,其中反覆地變化由共同模型組合且用於產生訓練低維度資料之經壓縮輸入之子集包含自可能通道之集合當中進行通道選擇,可能通道之集合與一半導體製造程序及/或感測操作之一或多個態樣相關聯。 110.  如條項103至109中任一項之媒體,其中重複反覆地變化、比較及調整直至目標收斂。 111.  如條項103至110中任一項之媒體,其中反覆地變化、比較及調整經組態以減小或消除可針對跨越通道之組合搜尋發生之偏差的偏差。 112.  如條項103至111中任一項之媒體,其中: 參數為半導體製造程序參數; 個別輸入模型包含神經網路區塊,其包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構;且 共同模型包含神經網路區塊,其包含前饋層及/或殘餘層。 113.  一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得電腦執行具有延伸應用性範圍之模組自動編碼器模型,該模組自動編碼器模型用於藉由在模組自動編碼器模型之解碼器中強制執行至模組自動編碼器模型之輸入之已知屬性來估計光學度量衡操作之所關注參數,該等指令引起包含以下之操作: 使得模組自動編碼器模型之編碼器編碼輸入以在潛在空間中產生輸入之低維度表示;及 使得模組自動編碼器模型之解碼器藉由解碼低維度表示而產生對應於輸入之輸出,其中解碼器經組態以在解碼期間強制執行編碼輸入之已知屬性以產生輸出,其中已知屬性與潛在空間中之低維度表示與輸出之間的已知物理關係相關聯,且其中所關注參數基於輸出及/或潛在空間中之輸入之低維度表示而進行估計。 114.  如條項113之媒體,其中強制執行包含使用與解碼器相關聯之成本函數中之懲罰項來懲罰輸出與應根據已知屬性產生之輸出之間的差。 115.  如條項113或114之媒體,其中懲罰項包含輸入之經由物理先驗彼此相關的低維度表示之解碼版本之間的差。 116.  如條項113至115中任一項之媒體,其中已知屬性為已知對稱性屬性,且其中懲罰項包含輸入之低維度表示之解碼版本之間的差,該等解碼版本相對於彼此跨越對稱點反射或圍繞對稱點旋轉。 117.  如條項113至116中任一項之媒體,其中編碼器及/或解碼器經組態以基於低維度表示之解碼版本之間的任何差而進行調整,其中調整包含調整與編碼器及/或解碼器之層相關聯的至少一個權重。 118.  如條項113至117中任一項之媒體,其中輸入包含與半導體製造程序中之感測操作相關聯之感測器信號,輸入之低維度表示為感測器信號之經壓縮表示,且輸出為輸入感測器信號之近似值。 119.  如條項113至118中任一項之媒體,其中感測器信號包含光瞳影像,且其中光瞳影像之編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。 120.  如條項113至119中任一項之媒體,其中該等指令引起包含以下之其他操作: 藉由模組自動編碼器模型之輸入模型將輸入處理成適合於與其他輸入組合的第一級維度,且將處理輸入提供至編碼器; 藉由模組自動編碼器模型之輸出模型,自解碼器接收輸入之擴展版本,且基於擴展版本產生輸入之近似值;及 藉由模組自動編碼器模型之預測模型,基於潛在空間中之輸入之低維度表示及/或輸出(輸出包含輸入之近似值及/或與近似值相關)估計所關注參數。 121.  如條項113至120中任一項之媒體,其中輸入模型、編碼器/解碼器及輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,輸入模型、編碼器/解碼器及/或輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 122.  如條項113至121中任一項之媒體,其中解碼器經組態以在訓練階段期間強制執行經編碼輸入之已知對稱性屬性,使得模組自動編碼器模型在推斷階段期間遵從強制執行的已知對稱性屬性。 123.  一種用於估計光學度量衡操作之所關注參數的方法,該估計藉由具有延伸應用性範圍之模組自動編碼器模型,藉由在模組自動編碼器模型之解碼器中強制執行至模組自動編碼器模型之輸入之已知屬性進行,該等指令引起包含以下之操作: 使得模組自動編碼器模型之編碼器編碼輸入以在潛在空間中產生輸入之低維度表示;及 使得模組自動編碼器模型之解碼器藉由解碼低維度表示而產生對應於輸入之輸出,其中解碼器經組態以在解碼期間強制執行編碼輸入之已知屬性以產生輸出,其中已知屬性與潛在空間中之低維度表示與輸出之間的已知物理關係相關聯,且其中所關注參數基於輸出及/或潛在空間中之輸入之低維度表示而進行估計。 124.  如條項123之方法,其中強制執行包含使用與解碼器相關聯之成本函數中之懲罰項來懲罰輸出與應根據已知屬性產生之輸出之間的差。 125.  如條項123或124之方法,其中懲罰項包含輸入之經由物理先驗彼此相關的低維度表示之解碼版本之間的差。 126.  如條項123至125中任一項之方法,其中已知屬性為已知對稱性屬性,且其中懲罰項包含輸入之低維度表示之經解碼版本之間的差,該等解碼版本相對於彼此跨越對稱點反射或圍繞對稱點旋轉。 127.  如條項123至126中任一項之方法,其中編碼器及/或解碼器經組態以基於低維度表示之經解碼版本之間的任何差而進行調整,其中調整包含調整與編碼器及/或解碼器之層相關聯之至少一個權重。 128.  如條項123至127中任一項之方法,其中輸入包含與半導體製造程序中之感測操作相關聯之感測器信號,輸入之低維度表示為感測器信號之經壓縮表示,且輸出為輸入感測器信號之近似值。 129.  如條項123至128中任一項之方法,其中感測器信號包含光瞳影像,且其中光瞳影像之經編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。 130.  如條項123至129中任一項之方法,該方法進一步包含: 藉由模組自動編碼器模型之輸入模型將輸入處理成適合於與其他輸入組合的第一級維度,且將經處理輸入提供至編碼器; 藉由模組自動編碼器模型之輸出模型,自解碼器接收輸入之擴展版本,且基於擴展版本而產生輸入之近似值;及 藉由模組自動編碼器模型之預測模型,基於潛在空間中之輸入之低維度表示及/或輸出(輸出包含輸入之近似值及/或與近似值相關)而估計所關注參數。 131.  如條項123至130中任一項之方法,其中輸入模型、編碼器/解碼器及輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,輸入模型、編碼器/解碼器及/或輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 132.  如條項123至131中任一項之方法,其中解碼器經組態以在訓練階段期間強制執行編碼輸入之已知對稱性屬性,使得模組自動編碼器模型在推斷階段期間遵從強制執行的已知對稱性屬性。 133.  一種系統,其經組態以執行具有延伸應用性範圍之模組自動編碼器模型,該模組自動編碼器模型用於藉由在模組自動編碼器模型之解碼器中強制執行至模組自動編碼器模型之輸入之已知屬性來估計光學度量衡操作之所關注參數,該系統包含: 模組自動編碼器模型之編碼器,其經組態以編碼輸入以在潛在空間中產生輸入之低維度表示;及 模組自動編碼器模型之解碼器,該解碼器經組態以藉由解碼低維度表示而產生對應於輸入之輸出,其中解碼器經組態以在解碼期間強制執行編碼輸入之已知屬性以產生輸出,其中已知屬性與潛在空間中之低維度表示與輸出之間的已知物理關係相關聯,且其中所關注參數基於輸出及/或潛在空間中之輸入之低維度表示而進行估計。 134.  如條項133之系統,其中強制執行包含使用與解碼器相關聯之成本函數中之懲罰項來懲罰輸出與應根據已知屬性產生之輸出之間的差。 135.  如條項133或134之系統,其中懲罰項包含輸入之經由物理先驗彼此相關的低維度表示之解碼版本之間的差。 136.  如條項133至135中任一項之系統,其中已知屬性為已知對稱性屬性,且其中懲罰項包含輸入之低維度表示之解碼版本之間的差,該等解碼版本相對於彼此跨越對稱點反射或圍繞對稱點旋轉。 137.  如條項133至136中任一項之系統,其中編碼器及/或解碼器經組態以基於低維度表示之經解碼版本之間的任何差而進行調整,其中調整包含調整與編碼器及/或解碼器之層相關聯之至少一個權重。 138.  如條項133至137中任一項之系統,其中輸入包含與半導體製造程序中之感測操作相關聯之感測器信號,輸入之低維度表示為感測器信號之經壓縮表示,且輸出為輸入感測器信號之近似值。 139.  如條項133至138中任一項之系統,其中感測器信號包含光瞳影像,且其中光瞳影像之經編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。 140.  如條項133至139中任一項之系統,其進一步包含: 模組自動編碼器模型之輸入模型,其經組態以將輸入處理成適合於與其他輸入組合的第一級維度,且將處理輸入提供至編碼器; 模組自動編碼器模型之輸出模型,其經組態以自解碼器接收輸入之擴展版本,且基於擴展版本而產生輸入之近似值;及 模組自動編碼器模型之預測模型,其經組態以基於潛在空間中之輸入之低維度表示而估計所關注參數。 141.  如條項133至140中任一項之系統,其中輸入模型、編碼器/解碼器及輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,輸入模型、編碼器/解碼器及/或輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 142.  如條項133至141中任一項之系統,其中解碼器經組態以在訓練階段期間強制執行經編碼輸入之已知對稱性屬性,使得模組自動編碼器模型在推斷階段期間遵從強制執行的已知對稱性屬性。 143.  一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得電腦執行模組自動編碼器模型,該模組自動編碼器模型經組態以基於輸入而產生輸出,該等指令引起包含以下之操作: 使得模組自動編碼器模型之編碼器編碼輸入以在潛在空間中產生輸入之低維度表示;及 使得模組自動編碼器模型之解碼器藉由解碼低維度表示以產生輸出,其中解碼器經組態以在解碼期間強制執行經編碼輸入之已知屬性以產生輸出,已知屬性與潛在空間中之低維度表示與輸出之間的已知物理關係相關聯。 144.  如條項143之媒體,其中強制執行包含使用與解碼器相關聯之成本函數中之懲罰項來懲罰輸出與應根據已知屬性產生之輸出之間的差。 145.  如條項143或144之媒體,其中懲罰項包含輸入之經由物理先驗彼此相關的低維度表示之經解碼版本之間的差。 146.  如條項143至145中任一項之媒體,其中編碼器及/或解碼器經組態以基於低維度表示之經解碼版本之間的任何差而進行調整,其中調整包含調整與編碼器及/或解碼器之層相關聯之至少一個權重。 147.  如條項143至146中任一項之媒體,其中輸入包含與半導體製造程序中之感測操作相關聯之感測器信號,輸入之低維度表示為感測器信號之經壓縮表示,且輸出為輸入感測器信號之近似值。 148.  如條項143至147中任一項之媒體,其中感測器信號包含光瞳影像,且其中光瞳影像之經編碼表示經組態以用於估計疊對(作為許多可能所關注參數之一個實例)。 149.  如條項143至148中任一項之媒體,其中模組自動編碼器模型進一步包含: 輸入模型,其經組態以將輸入處理成適合於與其他輸入組合的第一級維度,且將經處理輸入提供至編碼器; 輸出模型,其經組態以自解碼器接收輸入之擴展版本,且基於擴展版本產生輸入之近似值;及 預測模型,其經組態以基於潛在空間中之輸入之低維度表示而估計製造程序參數。 150.  如條項143至149中任一項之媒體,其中: 參數為半導體製造程序參數; 輸入模型包含神經網路區塊,其包含模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構; 編碼器及/或解碼器包含神經網路區塊,其包含前饋層及/或殘餘層;且 預測模型包含神經網路區塊,其包含前饋層及/或殘餘層。 151.  如條項143至150中任一項之媒體,其中輸入模型、編碼器/解碼器及輸出模型彼此分開且對應於製造程序及/或感測操作之不同部分中之程序物理性質差異,使得除模組自動編碼器模型中之其他模型之外,輸入模型、編碼器/解碼器及/或輸出模型中之每一者可基於製造程序及/或感測操作之對應部分之程序物理性質而一起及/或分開地訓練,但個別地進行組態。 152.  如條項143至150中任一項之媒體,其中解碼器經組態以在訓練階段期間強制執行經編碼輸入之已知對稱性屬性,使得模組自動編碼器模型在推斷階段期間遵從強制執行的已知對稱性屬性。
本文中所揭示之概念可模擬或數學上模型化用於使子波長特徵成像之任何通用成像系統,且可尤其供能夠產生愈來愈短波長之新興成像技術使用。已經在使用中之新興技術包括能夠藉由使用ArF雷射來產生193 nm波長且甚至能夠藉由使用氟雷射來產生157 nm波長之極紫外線(EUV)、DUV微影。此外,EUV微影能夠藉由使用同步加速器或藉由用高能電子撞擊材料(固體或電漿)來產生在20 nm至5 nm之範圍內的波長,以便產生在此範圍內之光子。
儘管本文中所揭示之概念可用於在諸如矽晶圓之基板上成像,但應理解,所揭示概念可與任何類型之微影成像系統一起使用,例如用於在除矽晶圓以外之基板上成像之微影成像系統及/或度量衡系統。另外,所揭示元件之組合及子組合可包含分開之實施例。舉例而言,預測複電場影像及判定諸如疊對之度量衡度量可藉由相同參數化模型及/或不同參數化模型進行。此等特徵可包含分開之實施例,及/或此等特徵可在同一實施例中共同使用。
儘管可在本文中特定地參考在微影裝置之內容背景中之本發明之實施例,但本發明之實施例可用於其他裝置中。本發明之實施例可形成遮罩檢驗裝置、微影裝置或量測或處理諸如晶圓(或其他基板)或遮罩(或其他圖案化器件)之物件的任何裝置之部分。此等裝置可一般被稱為微影工具。此類微影工具可使用真空條件或環境(非真空)條件。
儘管上文可能已經特定地參考在光學微影之上下文中對本發明之實施例的使用,但應瞭解,在上下文允許之情況下,本發明不限於光學微影,且可用於其他應用,例如壓印微影中。儘管上文已描述本發明之特定實施例,但應瞭解,可以與所描述不同之其他方式來實踐本發明。以上描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者而言將顯而易見,可在不脫離下文所陳述之申請專利範圍之範疇的情況下對如所描述之本發明進行修改。
21:輻射光束 22:琢面化場鏡面器件 24:琢面化光瞳鏡面器件 26:圖案化光束 28:反射元件 30:反射元件 40:寬頻(白光)輻射投影儀 42:基板 44:光譜儀偵測器 46:光譜 48:重建構 50:編碼器-解碼器架構 52:編碼部分 54:解碼部分 56:預測光瞳影像 62:神經網路 64:潛在空間 72i:感測器模型 100:電腦系統 102:匯流排 104:處理器 105:處理器 106:主記憶體 108:唯讀記憶體 110:儲存器件 112:顯示器 114:輸入器件 116:游標控制件 118:通信介面 120:網路鏈路 122:區域網路 124:主機電腦 126:網際網路服務提供者 128:網際網路 130:伺服器 210:電漿 211:源腔室 212:收集器腔室 220:圍封結構 221:開口 230:污染物截留器 240:光柵光譜濾光器 251:上游輻射收集器側 252:下游輻射收集器側 253:掠入射反射器 254:掠入射反射器 255:掠入射反射器 700:模組自動編碼器模型 702:輸入模型 702b:輸入模型 702n:輸入模型 702a:輸入模型 704:共同模型 705:編碼器部分 706:輸出模型 706a:輸出模型 706b:輸出模型 706n:輸出模型 707:潛在空間 708:預測模型 709:解碼器部分 711:輸入 711a:輸入 711b:輸入 711n:輸入 713:輸出 713a:輸出 713b:輸出 713n:輸出 715:參數 720a:子模型 720b:子模型 720n:子模型 722:子模型 1050:輸入通道 1052:輸入通道 1100:通道數目 1102:成本函數 1201:點 1202:感測程序 1203:曲線 1205:信號 1207:參數 1209:線 1211:線 1213:零交叉 1301:壓縮步驟 1303:嵌入 1305:回歸步驟 1307:推斷 1311:未標記資料集 1313:較小經標記資料集 1500:成本函數 1502:成本函數 1600:方法 1602:步驟 1604:步驟 1606:步驟 1608:步驟 1610:步驟 1612:步驟 1700:傾斜 1701:傾斜 1702:光柵 1703:傾斜 1704:晶圓 1706a:實例 1706b:實例 1708:電場方向 1710:傾斜不變方向 1712:光柵傾斜量 1714:傾斜 1800:模型 1801:施加 1802:輔助模型 1802a:模型 1802n:模型 1804:標籤 1806:模型 1810:晶圓半徑 1812:第二角 1820:傾斜值 B:輻射光束 BD:光束遞送系統 BK:烘烤板 C:目標部分 CH:冷卻板 CL:電腦系統 CO:輻射收集器 DE:顯影器 I/O1:輸入/輸出埠 I/O2:輸入/輸出埠 IF:位置量測系統、虛擬源點 IL:照明系統 LA:微影裝置 LACU:微影控制單元 LB:裝載匣 LC:微影單元 M1:遮罩對準標記 M2:遮罩對準標記 MA:圖案化器件 MT:遮罩支撐件、度量衡裝置、散射計 P1:基板對準標記 P2:基板對準標記 PEB:曝光後烘烤 PM:第一定位器 PS:投影系統 PU:處理單元 PW:第二定位器 RO:機器人 SC:旋塗器 SC1:第一標度 SC2:第二標度 SC3:第三標度 SCS:監督控制系統 SO:輻射源 T:遮罩支撐件 TCU:塗佈顯影系統控制單元 W:基板 WT:基板支撐件
併入於本說明書中且構成本說明書之一部分的附圖說明一或多個實施例且連同本說明書解釋此等實施例。現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應元件符號指示對應部分,且在該等圖式中:
圖1描繪根據實施例之微影裝置之示意圖概述。
圖2描繪根據實施例之微影單元之示意圖概述。
圖3描繪根據實施例之整體微影之示意性表示,其表示用以最佳化半導體製造之三種技術之間的協作。
圖4說明根據實施例之諸如散射計之實例度量衡裝置。
圖5說明根據實施例之編碼器-解碼器架構。
圖6說明根據實施例之神經網路內之編碼器-解碼器架構。
圖7說明根據實施例之本發明模組自動編碼器模型之一實施例。
圖8說明根據實施例之包含兩個或更多個子模型之模組自動編碼器模型之輸出模型。
圖9說明根據實施例之可在參數推斷(例如,估計及/或預測)期間使用的模組自動編碼器模型之實施例。
圖10說明根據實施例之模組自動編碼器模型如何經組態以藉由基於可用通道使用複數個輸入模型之子集估計資訊內容之可擷取數量而從來自一或多個感測(例如光學度量衡及/或其他感測)平台之量測資料之可用通道之組合估計所關注參數。
圖11說明根據實施例之模組自動編碼器模型之共同模型、輸出模型(在此實例中對應於每一輸入通道之神經網路區塊)及其他組件。
圖12說明根據實施例之強制執行經編碼輸入之已知屬性以產生輸出的圖形解釋。
圖13說明根據實施例之用於半監督學習之模組自動編碼器模型之應用。
圖14說明在一些實施例中,模組自動編碼器模型如何經組態以包括遞歸深度學習自動編碼器結構。
圖15亦說明在一些實施例中,模組自動編碼器模型如何經組態以包括遞歸深度學習自動編碼器結構。
圖16說明根據實施例之用於參數估計的方法。
圖17說明根據實施例之針對單一光柵之蝕刻器誘發之傾斜的實例。
圖18說明根據實施例之用於產生標籤以便將先驗施加於模組自動編碼器模型上之互連結構的示意圖。
圖19為根據實施例之實例電腦系統之方塊圖。
圖20為根據實施例之圖1之微影裝置的替代性設計。
700:模組自動編碼器模型
702:輸入模型
702a:輸入模型
702b:輸入模型
702n:輸入模型
704:共同模型
705:編碼器部分
706:輸出模型
706a:輸出模型
706b:輸出模型
706n:輸出模型
707:潛在空間
708:預測模型
709:解碼器部分
711:輸入
711a:輸入
711b:輸入
711n:輸入
713:輸出
713a:輸出
713b:輸出
713n:輸出
715:參數

Claims (15)

  1. 一種用於參數估計之方法,該方法包含: 藉由一模組自動編碼器模型之一或多個輸入模型將一或多個輸入處理成適合於與其他輸入組合之一第一級維度; 藉由該模組自動編碼器模型之一共同模型組合該等經處理輸入,且降低該等組合的經處理輸入之一維度以在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料具有小於該第一級的一第二級所得降低維度; 藉由該共同模型將該潛在空間中之該低維度資料擴展成該一或多個輸入之一或多個擴展版本,與該潛在空間中之該低維度資料相比,該一或多個輸入之該一或多個擴展版本具有增大維度,該一或多個輸入之該一或多個擴展版本適合用於產生一或多個不同輸出; 藉由該模組自動編碼器模型之一或多個輸出模型,使用該一或多個輸入之該一或多個擴展版本以產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值,與該一或多個輸入之該等擴展版本相比,該一或多個不同輸出具有相同或增大維度;及 藉由該模組自動編碼器模型之一預測模型,基於該潛在空間中之該低維度資料及/或該一或多個輸出而估計一或多個參數。
  2. 如請求項1之方法,其中個別輸入模型及/或輸出模型包含兩個或更多個子模型,該兩個或更多個子模型與一感測操作及/或一製造程序之不同部分相關聯。
  3. 如請求項1或2之方法,其中一個別輸出模型包含該兩個或更多個子模型,且該兩個或更多個子模型包含用於一半導體感測器操作之一感測器模型及一堆疊模型。
  4. 如請求項1或2之方法,其中該一或多個輸入模型、該共同模型及該一或多個輸出模型彼此分開且對應於一製造程序及/或一感測操作之不同部分中之程序物理性質差異,使得除該模組自動編碼器模型中之其他模型之外,該一或多個輸入模型、該共同模型及/或該一或多個輸出模型中之每一者可基於該製造程序及/或感測操作之一對應部分之該程序物理性質而一起及/或分開地訓練,但個別地進行組態。
  5. 如請求項1或2之方法,其進一步包含基於一製造程序及/或一感測操作之不同部分中之程序物理性質差異而判定該一或多個輸入模型之一數量及/或該一或多個輸出模型之一數量。
  6. 如請求項1或2之方法,其中輸入模型之該數量與輸出模型之該數量不同。
  7. 如請求項1或2之方法,其中: 該共同模型包含編碼器-解碼器架構及/或變分編碼器-解碼器架構; 將該一或多個輸入處理成該第一級維度,且降低該等組合的經處理輸入之該維度包含編碼;且 將該潛在空間中之該低維度資料擴展成該一或多個輸入之該一或多個擴展版本包含解碼。
  8. 如請求項1或2之方法,其進一步包含藉由比較該一或多個不同輸出與對應輸入,且調整該一或多個輸入模型、該共同模型及/或該一或多個輸出模型之一參數化,以減小或最小化一輸出與一對應輸入之間的一差來訓練該模組自動編碼器模型。
  9. 如請求項1或2之方法,其中該共同模型包含一編碼器及一解碼器,該方法進一步包含藉由以下來訓練該模組自動編碼器模型: 將變化應用於該潛在空間中之該低維度資料,使得該共同模型解碼一相對更連續潛在空間以產生一解碼器信號; 以遞歸方式將該解碼器信號提供至該編碼器以產生新低維度資料; 比較該新低維度資料與該低維度資料;及 基於該比較而調整該模組自動編碼器模型之一或多個組件,以減小或最小化該新低維度資料與該低維度資料之間的一差。
  10. 如請求項1或2之方法,其中: 該一或多個參數為半導體製造程序參數; 該一或多個輸入模型及/或該一或多個輸出模型包含該模組自動編碼器模型之密集前饋層、廻旋層及/或殘餘網路架構; 該共同模型包含前饋層及/或殘餘層;且 該預測模型包含前饋層及/或殘餘層。
  11. 如請求項1或2之方法,其進一步包含藉由該模組自動編碼器模型之一或多個輔助模型產生用於該潛在空間中之該低維度資料中之至少一些的標籤,該等標籤經組態以供用於估計之該預測模型使用。
  12. 一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得一電腦執行用於參數估計之一模組自動編碼器模型,該模組自動編碼器模型包含: 一或多個輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度; 一共同模型,其經組態以: 組合該等經處理輸入且降低該等組合的經處理輸入之一維度以在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料具有小於該第一級的一第二級所得降低維度; 將該潛在空間中之該低維度資料擴展成該一或多個輸入之一或多個擴展版本,與該潛在空間中之該低維度資料相比,該一或多個輸入之該一或多個擴展版本具有增大維度,該一或多個輸入之該一或多個擴展版本適合用於產生一或多個不同輸出; 一或多個輸出模型,其經組態以使用該一或多個輸入之該一或多個擴展版本以產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值,與該一或多個輸入之該等擴展版本相比,該一或多個不同輸出具有相同或增大維度;及 一預測模型,其經組態以基於該潛在空間中之該低維度資料及/或該一或多個不同輸出而估計一或多個參數。
  13. 如請求項12之媒體,其中該模組自動編碼器模型進一步包含一或多個輔助模型,其經組態以產生用於該潛在空間中之該低維度資料中之至少一些的標籤,該等標籤經組態以供用於估計之該預測模型使用。
  14. 一種系統,其包含: 一模組自動編碼器模型之一或多個輸入模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度; 該模組自動編碼器模型之一共同模型,其經組態以: 組合該等經處理輸入且降低該等組合的經處理輸入之一維度以在一潛在空間中產生低維度資料,該潛在空間中之該低維度資料具有小於該第一級的一第二級所得降低維度; 將該潛在空間中之該低維度資料擴展成該一或多個輸入之一或多個擴展版本,與該潛在空間中之該低維度資料相比,該一或多個輸入之該一或多個擴展版本具有增大維度,該一或多個輸入之該一或多個擴展版本適合用於產生一或多個不同輸出; 該模組自動編碼器模型之一或多個輸出模型,其經組態以使用該一或多個輸入之該一或多個擴展版本以產生該一或多個不同輸出,該一或多個不同輸出為該一或多個輸入之近似值,與該一或多個輸入之該等擴展版本相比,該一或多個不同輸出具有相同或增大維度;及 該模組自動編碼器模型之一預測模型,其經組態以基於該潛在空間中之該低維度資料及/或該一或多個不同輸出而估計一或多個參數。
  15. 一種其上具有指令之非暫時性電腦可讀媒體,該等指令經組態以使得一電腦執行用於參數估計之一機器學習模型,該機器學習模型包含: 一或多個第一模型,其經組態以將一或多個輸入處理成適合於與其他輸入組合之一第一級維度; 一第二模型,其經組態以: 組合該經處理一或多個輸入且降低該組合的經處理一或多個輸入之一維度; 將該組合的經處理一或多個輸入擴展成該一或多個輸入之一或多個恢復版本,該一或多個輸入之該一或多個恢復版本適合用於產生一或多個不同輸出; 一或多個第三模型,其經組態以使用該一或多個輸入之該一或多個恢復版本以產生該一或多個不同輸出;及 一第四模型,其經組態以基於該等降低維度組合的經壓縮輸入及該一或多個不同輸出而估計一參數。
TW110149291A 2020-12-30 2021-12-29 用於製造程序參數估計之模組自動編碼器模型 TWI806324B (zh)

Applications Claiming Priority (14)

Application Number Priority Date Filing Date Title
EP20217883.6 2020-12-30
EP20217886 2020-12-30
EP20217888.5 2020-12-30
EP20217883 2020-12-30
EP20217888 2020-12-30
EP20217886.9 2020-12-30
EP21168592.0 2021-04-15
EP21168585.4 2021-04-15
EP21168592.0A EP4075340A1 (en) 2021-04-15 2021-04-15 Modular autoencoder model for manufacturing process parameter estimation
EP21168585.4A EP4075339A1 (en) 2021-04-15 2021-04-15 Modular autoencoder model for manufacturing process parameter estimation
EP21169035.9A EP4075341A1 (en) 2021-04-18 2021-04-18 Modular autoencoder model for manufacturing process parameter estimation
EP21169035.9 2021-04-18
EP21187893.9 2021-07-27
EP21187893 2021-07-27

Publications (2)

Publication Number Publication Date
TW202240310A true TW202240310A (zh) 2022-10-16
TWI806324B TWI806324B (zh) 2023-06-21

Family

ID=79287794

Family Applications (3)

Application Number Title Priority Date Filing Date
TW110149292A TWI818397B (zh) 2020-12-30 2021-12-29 用於製造程序參數估計之模組自動編碼器模型
TW110149291A TWI806324B (zh) 2020-12-30 2021-12-29 用於製造程序參數估計之模組自動編碼器模型
TW110149293A TWI807563B (zh) 2020-12-30 2021-12-29 用於製造程序參數估計之模組自動編碼器模型

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110149292A TWI818397B (zh) 2020-12-30 2021-12-29 用於製造程序參數估計之模組自動編碼器模型

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110149293A TWI807563B (zh) 2020-12-30 2021-12-29 用於製造程序參數估計之模組自動編碼器模型

Country Status (5)

Country Link
US (2) US20240061347A1 (zh)
KR (1) KR20230125793A (zh)
IL (2) IL304024A (zh)
TW (3) TWI818397B (zh)
WO (3) WO2022144203A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
EP3224676A1 (en) 2014-11-26 2017-10-04 ASML Netherlands B.V. Metrology method, computer product and system
WO2016202695A1 (en) 2015-06-17 2016-12-22 Asml Netherlands B.V. Recipe selection based on inter-recipe consistency
WO2019190627A1 (en) * 2018-03-26 2019-10-03 Hrl Laboratories, Llc System and method for estimating uncertainty of the decisions made by a supervised machine learner
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
US11301748B2 (en) * 2018-11-13 2022-04-12 International Business Machines Corporation Automatic feature extraction from aerial images for test pattern sampling and pattern coverage inspection for lithography
CN111582468B (zh) * 2020-04-02 2022-08-09 清华大学 光电混合智能数据生成计算系统及方法

Also Published As

Publication number Publication date
WO2022144204A1 (en) 2022-07-07
WO2022144205A1 (en) 2022-07-07
KR20230125793A (ko) 2023-08-29
IL303879A (en) 2023-08-01
TWI806324B (zh) 2023-06-21
WO2022144203A1 (en) 2022-07-07
TWI818397B (zh) 2023-10-11
TW202244793A (zh) 2022-11-16
IL304024A (en) 2023-08-01
TW202240311A (zh) 2022-10-16
US20240060906A1 (en) 2024-02-22
TWI807563B (zh) 2023-07-01
US20240061347A1 (en) 2024-02-22

Similar Documents

Publication Publication Date Title
US11847570B2 (en) Deep learning for semantic segmentation of pattern
TWI764339B (zh) 用參數化模型預測製程資訊之方法和系統
TWI757855B (zh) 用於在參數化模型預測中提高確定性的方法
CN112789558A (zh) 提供经过训练的神经网络以及确定实体系统的特性
US20240152060A1 (en) Method and system for predicting process information with a parameterized model
EP4075341A1 (en) Modular autoencoder model for manufacturing process parameter estimation
TWI806324B (zh) 用於製造程序參數估計之模組自動編碼器模型
EP4075340A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP4254266A1 (en) Methods related to an autoencoder model or similar for manufacturing process parameter estimation
TWI826092B (zh) 用於器件內度量衡推理之機器學習模型的潛在空間同步
CN116710933A (zh) 用于制造过程参数估计的模块式自动编码器模型
TWI807819B (zh) 確保橫越度量衡工具之參數量測匹配之系統與方法
EP3828632A1 (en) Method and system for predicting electric field images with a parameterized model
CN118265953A (zh) 获得表征制造过程的参数
CN111316169A (zh) 量测术中的数据估计