KR20230125793A - 제조 프로세스 파라미터 추정을 위한 모듈식 오토인코더모델 - Google Patents

제조 프로세스 파라미터 추정을 위한 모듈식 오토인코더모델 Download PDF

Info

Publication number
KR20230125793A
KR20230125793A KR1020237022307A KR20237022307A KR20230125793A KR 20230125793 A KR20230125793 A KR 20230125793A KR 1020237022307 A KR1020237022307 A KR 1020237022307A KR 20237022307 A KR20237022307 A KR 20237022307A KR 20230125793 A KR20230125793 A KR 20230125793A
Authority
KR
South Korea
Prior art keywords
model
models
inputs
input
modular
Prior art date
Application number
KR1020237022307A
Other languages
English (en)
Inventor
알렉산드루 오노세
바르트 야코부스 마르티누스 티메스마
닉 베르헬
렘코 더크스
다비데 바르비에리
라르호벤 헨드릭 아드리안 반
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP21168592.0A external-priority patent/EP4075340A1/en
Priority claimed from EP21168585.4A external-priority patent/EP4075339A1/en
Priority claimed from EP21169035.9A external-priority patent/EP4075341A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20230125793A publication Critical patent/KR20230125793A/ko

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • G06N3/0455Auto-encoder networks; Encoder-decoder networks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/0895Weakly supervised learning, e.g. semi-supervised or self-supervised learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Software Systems (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Biophysics (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Biomedical Technology (AREA)
  • Artificial Intelligence (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Immunology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Pathology (AREA)
  • Image Analysis (AREA)
  • General Factory Administration (AREA)
  • Branch Pipes, Bends, And The Like (AREA)
  • Feedback Control In General (AREA)
  • Testing And Monitoring For Control Systems (AREA)

Abstract

모듈식 오토인코더 모델이 설명된다. 모듈식 오토인코더 모델은, 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 입력 모델; 공통 모델로서, 잠재 공간 내의 저차원 데이터를 생성하도록, 조합된 처리된 입력들의 차원수를 감소시키고, 상기 잠재 공간 내의 저차원 데이터를 하나 이상의 상이한 출력을 생성하기에 적합한 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델; 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 출력 모델 - 하나 이상의 상이한 출력은 하나 이상의 입력의 근사화임 -; 및 잠재 공간 내의 저차원 데이터에 기반하여 하나 이상의 파라미터를 추정하도록 구성된 예측 모델을 포함한다.

Description

제조 프로세스 파라미터 추정을 위한 모듈식 오토인코더 모델
관련 출원에 대한 상호 참조
본 출원은 2020 년 12 월 30 일에 출원된 EP 출원 제 20217883.6, 2021 년 4 월 18 일에 출원된 EP 출원 제 21169035.9, 2021 년 7 월 27 일에 출원된 EP 출원 제 21187893.9, 2020 년 12 월 30 일에 출원된 EP 출원 제 20217886.9, 및 2021년 4월 15일에 출원된 EP 출원 제 21168585.4, 2020년 12월 30일에 출원된 EP 출원 제 20217888.5, 및 2021년 4월 15일에 출원된 EP 출원 제 21168592.0에 대한 우선권을 주장하는데, 이들 모두는 그 전체 내용이 원용되어 본원에 통합된다.
본 명세서는 제조 프로세스 파라미터를 모듈식 오토인코더 모델로써 추정하기 위한 방법 및 시스템에 관한 것이다.
리소그래피 장치는 원하는 패턴을 기판에 적용하도록 구성된 기계이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조 시에 사용될 수 있다. 리소그래피 장치는 예를 들어 패터닝 디바이스(예를 들어 마스크)에서의 패턴("디자인 레이아웃" 또는 "디자인"이라고도 불림)을 기판(예를 들어, 웨이퍼) 위에 제공된 방사선-감응 재료(레지스트)의 층에 투영시킬 수 있다.
기판에 패턴을 투영하기 위하여, 리소그래피 장치는 전자기 방사선을 사용할 수 있다. 이러한 방사선의 파장이 기판 상에 형성될 수 있는 피쳐의 최소 크기를 결정한다. 현재 사용되는 통상적인 파장은 365 nm(i-라인), 248 nm, 193 nm 및 13.5 nm이다. 4 - 20 nm의 범위, 예를 들어 6.7 nm 또는 13.5 nm에 속하는 파장을 가지는 극자외(EUV) 방사선을 사용하는 리소그래피 장치는, 예를 들어 193 nm의 파장을 가지는 전자기 방사선을 사용하는 리소그래피 장치보다 더 작은 피쳐를 기판 위에 형성하기 위해 사용될 수 있다.
리소그래피 장치의 전통적인 분해능 한계보다 작은 치수의 피쳐를 처리하기 위하여 저-k1 리소그래피가 사용될 수 있다. 이러한 프로세스에서, 분해능 공식은 CD = k1×λ/NA로 표현될 수 있는데, λ는 채용된 방사선의 파장이고, NA는 리소그래피 장치 내의 투영 광학기의 개구수이며, CD는 "임계 치수"(일반적으로 인쇄된 최소 피쳐 크기이지만 이러한 경우에는 하프-피치임)이고, k1은 경험적 분해능 인자이다. 일반적으로, k1이 더 작을 수록 특정한 전기적 기능성과 성능을 얻기 위해서 회로 디자이너에 의하여 계획된 형상과 치수를 닮은 패턴을 기판 상에 재현하는 것은 더 어려워진다.
이러한 문제점을 해결하기 위하여, 복잡한 미세-튜닝 단계들이 리소그래피 투영 장치 및/또는 설계 레이아웃에 적용될 수 있다. 예를 들어, 이것은 NA, 맞춤화된 조명 방식, 위상 시프트 패터닝 디바이스의 사용, 설계 레이아웃에서의 광학 근접 정정(optical proximity correction; OPC, 가끔 "광학적 및 프로세스 정정"이라고도 불림)의 설계 레이아웃의 다양한 최적화, 또는 일반적으로 "분해능 향상 기법(resolution enhancement techniques; RET)"이라고 규정되는 다른 방법을 포함하지만, 이들로 한정되는 것은 아니다. 또는, 리소그래피 장치의 안정성을 제어하기 위한 엄격 제어 루프가 낮은 k1에서 패턴의 재현을 개선하기 위하여 사용될 수 있다.
오토인코더는 파라미터 추론을 위한 및/또는 다른 목적을 위한 계측 및/또는 다른 솔루션에서 사용되도록 구성될 수 있다. 이러한 딥러닝 모델 아키텍처는 일반적이고, 임의의 크기 및 복잡도로 스케일링될 수 있다. 오토인코더는 고차원 신호(예를 들어 반도체 제조 프로세스 내의 퓨필 이미지)를 동일한 신호의 효율적인 저차원 표현으로 압축하도록 구성된다. 그 다음에, 파라미터 추론(즉, 회귀)이 저차원 표현으로부터 공지된 라벨들의 세트를 향하여 수행된다. 신호를 처음 압축함으로써, 추론 문제가 고차원 신호에 직접적으로 회귀를 수행하는 것에 비하여 크게 단순화된다.
그러나, 통상적인 오토인코더 내에서 정보의 흐름을 이해하는 것은 흔히 어려운 일이다. 정보에 대해서, 입력에서, 압축된 저차원 표현에서, 그리고 출력에서 추론할 수 있다. 이러한 포인트들 사이의 정보는 쉽게 해석할 수 없다.
현재의 모듈식 오토인코더 모델은 통상적인 모놀리식 오토인코더 모델과 비교할 때 강성이 적다. 현재의 모듈식 오토인코더 모델은 많은 개수의 훈련가능한 및/또는 그렇지 않으면 조절가능한 컴포넌트를 가진다. 현재의 모델의 모듈성(modularity)이 해석, 규정, 및 확장시키는 것을 더 쉽게 만든다. 현재의 모델의 복잡도는 쉽게 조절되고, 모델에 제공되는 데이터를 생성하는 프로세스를 모델링하기에는 충분히 높지만, 모델링 노이즈 또는 다른 원치 않는 특성을 피하기에 충분히 낮다(예를 들어, 현재의 모델은 제공된 데이터를 과다근사화(overfitting)하는 것을 피하도록 구성됨). 데이터를 생성하는 프로세스(또는 적어도 프로세스의 양태)가 흔히 알려지지 않기 때문에, 적합한 네트워크 복잡도를 선택하는 것은 보통 어느 정도의 직관과 시행착오를 수반한다. 이러한 이유로, 모듈식이고, 이해하기가 쉬우며, 복잡도를 높이거나 낮추도록 스케일링하기가 쉬운 모델 아키텍처를 제공하는 것이 매우 바람직하다.
모듈식 오토인코더 모델과 연관되어 사용된 오토인코더(autoencoder)라는 용어는 일반적으로 파라미터 추정을 위해서 잠재 공간을 사용하는 부분 지도 학습을 위해 구성된 하나 이상의 오토인코더, 및/또는 다른 오토인코더를 가리킬 수 있다는 것에 주의한다. 이것은 단일 오토인코더를 포함할 수 있는데, 이것은, 예를 들어 반지도 학습을 사용하여 훈련된다.
일 실시형태에 따르면, 명령을 저장한 비-일시적 컴퓨터 판독가능 매체가 제공된다. 명령은 컴퓨터로 하여금 파라미터 추정을 위해서 모듈식 오토인코더 모델을 실행하게 하도록 구성된다. 모듈식 오토인코더 모델은 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 하나 이상의 입력 모델을 포함한다. 모듈식 오토인코더 모델은, 공통 모델로서, 잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키고 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델을 포함하고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지며, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합하다. (근사화가 최종 출력 상에 집행되기 때문에, 확장된 버전이 반드시 공통 모델로의 입력을 근사화하는 것이 아니라는 것에 주의한다.) 모듈식 오토인코더 모델은 상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 하나 이상의 출력 모델을 포함하는데, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가진다. 모듈식 오토인코더 모델은 상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 상이한 출력에 기반하여 하나 이상의 파라미터를 추정하도록 구성된 예측 모델을 포함한다. 일부 실시형태들에서, 모듈식 오토인코더 모델(및/또는 본 명세서에서 설명되는 모델의 개별적인 컴포넌트 중 임의의 컴포넌트)은 훈련 데이터를 보기 이전 및/또는 이후에 구성될 수 있다.
일부 실시형태들에서, 개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된다. 일부 실시형태들에서, 개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함한다.
일부 실시형태들에서, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 하나 이상의 입력 모델의 양, 및 상기 하나 이상의 출력 모델의 양은 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여 결정된다.
일부 실시형태들에서, 상기 입력 모델의 양은 상기 출력 모델의 양과 다르다.
일부 실시형태들에서, 상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고, 상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함한다.
일부 실시형태들에서, 상기 모듈식 오토인코더 모델은, 상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 훈련된다.
일부 실시형태들에서, 상기 공통 모델은 인코더 및 디코더를 포함하고, 상기 모듈식 오토인코더 모델은, 상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것; 새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것; 상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및 상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 상기 비교에 기반하여 조절하는 것에 의하여 훈련된다.
일부 실시형태들에서, 상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고, 상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함할 수 있고(단순히 비한정적인 예로서), 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함할 수 있으며(단순히 비한정적인 예로서), 상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함할 수 있다(단순히 비한정적인 예로서).
일부 실시형태들에서, 모듈식 오토인코더 모델은 잠재 공간 내에서의 저차원 데이터 중 적어도 일부에 대한 라벨(예를 들어, 정보)을 생성하도록 구성된 하나 이상의 보조 모델을 포함한다. 라벨은 추정을 위해서 예측 모델에 의해서 사용되도록 구성된다.
일부 실시형태들에서, 라벨은 잠재 공간 및/또는 예측 모델의 출력에 거동을 부과하기 위해서 모듈식 오토인코더 모델에 의해 사용되도록 구성된다. 거동은 가능한 신호들의 클래스와 연관된다.
일부 실시형태들에서, 상기 예측 모델 하나 이상의 예측 모델을 포함하고, 상기 하나 이상의 예측 모델은 상기 하나 이상의 보조 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함한다.
일부 실시형태들에서, 하나 이상의 보조 모델은 비용 함수를 사용하여, 상기 하나 이상의 예측 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성된다. 하나 이상의 예측 모델은 적절한 잠재 변수를 선택하도록 구성된다. 이것은, 예측 모델이 잠재 공간을 보조 모델에 의해 생성된 라벨들을 매칭시키는 것을 목적으로 하는 출력에 연결시키는 신경망인 시나리오를 포함하도록 일반화될 수 있다. 하나 이상의 보조 모델은 하나 이상의 입력 모델, 공통 모델, 하나 이상의 출력 모델, 및/또는 예측 모델과 동시에 훈련되도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델은 하나 이상의 웨이퍼 모델을 포함하고, 상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 타겟과 연관된 극좌표의 위치(예를 들어, 제품 구조체 또는 전문화된 타겟일 수 있는, 측정이 이루어진 패턴의 위치)를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며, 상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고, 생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 모듈식 오토인코더 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된다.
일부 실시형태들에서, 상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델은 상기 모듈식 오토인코더 모델의 하나 이상의 다른 보조 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested), 퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 보조 모델로의 입력으로서 사용된다.
다른 실시형태에 따르면, 파라미터 추정을 위한 방법이 제공된다. 이러한 방법은, 모듈식 오토인코더 모델(modular autoencoder model) 중 하나 이상의 입력 모델을 사용하여, 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하는 단계; 상기 모듈식 오토인코더 모델의 공통 모델을 사용하여, 잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키는 단계 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -; 상기 공통 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 단계 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -; 상기 모듈식 오토인코더 모델의 하나 이상의 출력 모델을 사용하여, 상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하는 단계 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및 상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하는 단계를 포함한다. 일부 실시형태들에서, 개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된다.
일부 실시형태들에서, 개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함한다.
일부 실시형태들에서, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 방법은, 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여, 상기 하나 이상의 입력 모델의 양, 및/또는 상기 하나 이상의 출력 모델의 양을 결정하는 단계를 더 포함한다.
일부 실시형태들에서, 상기 입력 모델의 양은 상기 출력 모델의 양과 다르다.
일부 실시형태들에서, 상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고, 상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함한다.
일부 실시형태들에서, 상기 방법은, 상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 모듈식 오토인코더 모델을 훈련하는 단계를 더 포함한다.
일부 실시형태들에서, 상기 공통 모델은 인코더 및 디코더를 포함하고, 상기 방법은, 상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것; 새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것; 상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및 상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 상기 비교에 기반하여 조절하는 것에 의하여 모듈식 오토인코더 모델을 훈련하는 단계를 더 포함한다.
일부 실시형태들에서, 상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고, 상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함할 수 있고(단순히 비한정적인 예로서), 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함할 수 있으며(단순히 비한정적인 예로서), 상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함할 수 있다(단순히 비한정적인 예로서).
일부 실시형태들에서, 방법은 상기 모듈식 오토인코더 모델의 하나 이상의 보조 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하는 단계를 포함한다. 라벨은 추정을 위해서 예측 모델에 의해서 사용되도록 구성된다.
일부 실시형태들에서, 라벨은 잠재 공간 및/또는 예측 모델의 출력에 거동을 부과하기 위해서 모듈식 오토인코더 모델에 의해 사용되도록 구성된다. 거동은 가능한 신호들의 클래스와 연관된다.
일부 실시형태들에서, 상기 예측 모델 하나 이상의 예측 모델을 포함하고, 상기 하나 이상의 예측 모델은 상기 하나 이상의 보조 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함한다.
일부 실시형태들에서, 하나 이상의 보조 모델은 비용 함수를 사용하여, 상기 하나 이상의 예측 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성된다. 하나 이상의 예측 모델은 적절한 잠재 변수를 선택하도록 구성된다. 하나 이상의 보조 모델은 하나 이상의 입력 모델, 공통 모델, 하나 이상의 출력 모델, 및/또는 예측 모델과 동시에 훈련되도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델은 하나 이상의 웨이퍼 모델을 포함하고, 상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며, 상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고, 생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 모듈식 오토인코더 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된다.
일부 실시형태들에서, 상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델은 상기 모듈식 오토인코더 모델의 하나 이상의 다른 보조 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested), 퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 보조 모델로의 입력으로서 사용된다.
다른 실시형태에 따르면, 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된, 모듈식 오토인코더 모델의 하나 이상의 입력 모델; 상기 모듈식 오토인코더 모델의 공통 모델로서, 잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키고 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -; 상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 하나 이상의 출력 모델 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및 상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하도록 구성된, 상기 모듈식 오토인코더 모델의 예측 모델을 포함하는, 시스템이 제공된다.
일부 실시형태들에서, 개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된다. 일부 실시형태들에서, 개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함한다. 일부 실시형태들에서, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 하나 이상의 입력 모델의 양, 및 상기 하나 이상의 출력 모델의 양은 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여 결정된다.
일부 실시형태들에서, 상기 입력 모델의 양은 상기 출력 모델의 양과 다르다.
일부 실시형태들에서, 상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고, 상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함한다.
일부 실시형태들에서, 상기 모듈식 오토인코더 모델은, 상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 훈련된다.
일부 실시형태들에서, 상기 공통 모델은 인코더 및 디코더를 포함하고, 상기 모듈식 오토인코더 모델은, 상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것; 새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것; 상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및 상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 상기 비교에 기반하여 조절하는 것에 의하여 훈련된다.
일부 실시형태들에서, 상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고, 상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함할 수 있고(단순히 비한정적인 예로서), 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함할 수 있으며(단순히 비한정적인 예로서), 상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함할 수 있다(단순히 비한정적인 예로서).
일부 실시형태들에서, 모듈식 오토인코더 모델은 잠재 공간 내에서의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하도록 구성된 하나 이상의 보조 모델을 포함한다. 라벨은 추정을 위해서 예측 모델에 의해서 사용되도록 구성된다.
일부 실시형태들에서, 라벨은 잠재 공간 및/또는 예측 모델의 출력에 거동을 부과하기 위해서 모듈식 오토인코더 모델에 의해 사용되도록 구성된다. 거동은 가능한 신호들의 클래스와 연관된다.
일부 실시형태들에서, 상기 예측 모델 하나 이상의 예측 모델을 포함하고, 상기 하나 이상의 예측 모델은 상기 하나 이상의 보조 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함한다.
일부 실시형태들에서, 하나 이상의 보조 모델은 비용 함수를 사용하여, 상기 하나 이상의 예측 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성된다. 하나 이상의 예측 모델은 적절한 잠재 변수를 선택하도록 구성된다. 하나 이상의 보조 모델은 하나 이상의 입력 모델, 공통 모델, 하나 이상의 출력 모델, 및/또는 예측 모델과 동시에 훈련되도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델은 하나 이상의 웨이퍼 모델을 포함하고, 상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며, 상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고, 생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 모듈식 오토인코더 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된다.
일부 실시형태들에서, 상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 보조 모델은 상기 모듈식 오토인코더 모델의 하나 이상의 다른 보조 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested), 퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 보조 모델로의 입력으로서 사용된다.
다른 실시형태에 따르면, 명령을 저장한 비-일시적 컴퓨터 판독가능 매체가 제공된다. 명령은 컴퓨터로 하여금 파라미터 추정을 위한 머신-러닝 모델을 실행하게 하도록 구성된다. 상기 머신-러닝 모델은, 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 하나 이상의 제 1 모델; 제 2 모델로서, 처리된 하나 이상의 입력을 조합하고, 조합된 처리된 하나 이상의 입력의 차원수를 감소시키도록, 조합된 처리된 하나 이상의 입력을 상기 하나 이상의 입력의 하나 이상의 복원된 버전으로 확장시키도록 구성된, 제 2 모델 - 상기 하나 이상의 입력의 하나 이상의 복원된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -; 상기 하나 이상의 입력의 하나 이상의 복원된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 하나 이상의 제 3 모델; 및 감소된 차원수의 조합된 압축된 입력 및 상기 하나 이상의 상이한 출력에 기반하여 파라미터를 추정하도록 구성된 제 4 모델을 포함한다. 일부 실시형태들에서, 하나 이상의 제 3 모델의 개별적인 모델은 두 개 이상의 서브-모델을 포함하고, 상기 두 개 이상의 서브-모델은 제조 프로세스 및/또는 감지 동작의 상이한 부분과 연관된다.
일부 실시형태들에서, 상기 두 개 이상의 서브-모델은 반도체 제조 공정을 위한 센서 모델 및 스택 모델을 포함한다.
일부 실시형태들에서, 상기 하나 이상의 제 1 모델, 상기 제 2 모델, 및 상기 하나 이상의 제 3 모델은 서로 별개이고, 상기 하나 이상의 제 1 모델, 상기 제 2 모델, 및/또는 상기 하나 이상의 제 3 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 하나 이상의 제 1 모델의 양, 및 상기 하나 이상의 제 3 모델의 양은 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여 결정된다.
일부 실시형태들에서, 제 1 모델의 개수는 제 2 모델의 개수와 다르다.
일부 실시형태들에서, 상기 제 2 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고, 상기 하나 이상의 입력을 압축하는 것은 인코딩을 포함하며, 조합된 압축된 하나 이상의 입력을 상기 하나 이상의 입력의 하나 이상의 복원된 버전으로 확장시키는 것은 디코딩을 포함한다.
일부 실시형태들에서, 상기 머신 러닝 모델은, 상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 제 1 모델, 상기 제 2 모델, 및/또는 상기 하나 이상의 제 3 모델을 조절함으로써 훈련된다.
일부 실시형태들에서, 상기 제 2 모델은 인코더 및 디코더를 포함하고, 상기 제 2 모델은, 상기 제 2 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것; 새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것; 상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및 상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 제 2 모델을 상기 비교에 기반하여 조절하는 것에 의하여 훈련된다.
일부 실시형태들에서, 상기 파라미터는 반도체 제조 프로세스 파라미터이고, 상기 하나 이상의 제 1 모델 및/또는 상기 하나 이상의 제 3 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하며, 상기 제 2 모델은 피드 포워드 및/또는 잔차 계층을 포함하고, 상기 제 4 모델은 피드 포워드 및/또는 잔차 계층을 포함한다.
일부 실시형태들에서, 상기 머신-러닝 모델은 감소된 차원수의 조합된 처리된 입력들 중 적어도 일부에 대한 라벨을 생성하도록 구성되는 하나 이상의 제 5 모델을 포함한다. 라벨은 추정을 위해서 제 4 모델에 의해 사용되도록 구성된다.
일부 실시형태들에서, 상기 라벨은 잠재 공간 및/또는 상기 제 4 모델의 출력에 거동을 부과하기 위해서 상기 머신-러닝 모델에 의해 사용되도록 구성되고, 상기 거동은 가능한 신호들의 클래스와 연관된다.
일부 실시형태들에서, 상기 제 4 모델은 하나 이상의 제 4 모델을 포함하고, 상기 하나 이상의 제 4 모델은 하나 이상의 제 5 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 제 5 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함한다.
일부 실시형태들에서, 하나 제 5 모델은 비용 함수를 사용하여, 상기 하나 이상의 제 4 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성된다. 하나 이상의 제 4 모델은 적절한 잠재 변수를 선택하도록 구성되고; 하나 이상의 제 5 모델은 하나 이상의 제 1 모델, 제 2 모델, 하나 이상의 제 3 모델, 및/또는 제 4 모델과 동시에 훈련되도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 제 5 모델은 하나 이상의 웨이퍼 모델을 포함하고, 상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며, 상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고, 생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 머신-러닝 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된다.
일부 실시형태들에서, 상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 제 5 보조 모델은 상기 머신-러닝 모델의 하나 이상의 다른 제 5 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested), 퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 제 5 모델로의 입력으로서 사용된다.
데이터-드리븐 추론 접근법(Data driven inference approach)이 반도체 계측 동작을 위해서 제안되었고, 파라미터 추정의 태스크를 위해서 사용되어 왔다. 이들은 상당량의 수집된 측정치 및 측정된 피쳐를 관심 파라미터로 매핑하는 모델에 의존하는데, 이러한 파라미터에 대한 라벨은 웨이퍼 상의 조심스럽게 설계된 타겟을 통해서 획득되거나 제 3 자 측정치로부터 획득된다. 현재의 접근법은 많은 개수의 채널(다수의 파장, 여러 이퍼 회전 하에서의 관측치, 개의 광 편광 스킴 등)을 측정하는 성능을 가진다. 그러나, 실무 상의 타이밍 제약에 기인하여, 채널의 개수는 생산 측정을 위해 이용가능한 것들의 서브세트로 한정될 필요가 있다. 최선의 채널을 선택하기 위하여, 모든 가능한 채널 조합을 테스트하는 강제 대입(brute force) 접근법이 흔히 사용된다. 이것은 시간이 많이 걸리고, 결과적으로 긴 측정 시간 및/또는 프로세스 레시피 생성 시간이 초래된다. 추가적으로, 강제 대입 접근법은 과다 근사화에 노출될 수 있고, 채널별로 상이한 바이어스, 및/또는 다른 단점을 도입하게 된다.
바람직하게는, 본 발명의 모듈식 오토인코더 모델은 이용가능 채널에 기반하여 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하기 위해서 구성된다. 본 발명의 모델은 반복 훈련 단계 중에 입력을 근사화하기 위하여 사용되는 여러 채널을 무작위로 또는 그렇지 않으면 반복적으로 변경함으로써 훈련되도록 구성된다. 이러한 반복적인 변경 / 서브-선택은, 이러한 모델이 입력 채널들의 임의의 조합에 대하여 예측성/일관성을 유지하도록 보장한다. 더욱이, 입력 내에 존재하는 정보 콘텐츠가 모든 채널을 나타내기 때문에(예를 들어, 각각의 채널이 적어도 하나의 훈련 반복에 대하여 선택된 채널의 서브세트의 일부였기 때문임), 결과적으로 얻어지는 모델은 하나의 특정 채널에 특이적인 바이어스를 포함하지 않을 것이다.
모듈식 오토인코더 모델과 연관되어 사용된 오토인코더(autoencoder)라는 용어는 일반적으로 파라미터 추정을 위해서 잠재 공간을 사용하는 부분 지도 학습을 위해 구성된 하나 이상의 오토인코더, 및/또는 다른 오토인코더를 가리킬 수 있다는 것에 주의한다.
일 실시형태에 따르면, 명령을 저장한 비-일시적 컴퓨터 판독가능 매체가 제공된다. 명령은 컴퓨터로 하여금, 이용가능 채널에 기반하여 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하기 위한 모듈식 오토인코더 모델을 실행하게 하도록 구성된다. 명령은, 복수 개의 입력이 서로 조합되기에 적합해지도록, 상기 복수 개의 입력 모델로 하여금 복수 개의 입력을 이용가능한 채널에 기반하여 압축하게 하는 것; 및 공통 모델로 하여금 압축된 입력들을 조합하고, 잠재 공간 내의 저차원 데이터를 조합된 압축된 입력에 기반하여 생성하게 하는 것을 포함하는 동작을 초래하고, 상기 저차원 데이터는 상기 취출가능 양을 추정하며, 상기 잠재 공간 내의 저차원 데이터는, 상기 저차원 데이터에 기반하여 복수 개의 입력을 생성하고 및/또는 파라미터를 추정하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성된다.
일부 실시형태들에서, 상기 명령은, 상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것; 훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및 상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하도록, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것에 의하여 상기 모듈식 오토인코더 모델을 훈련시킴으로써, 상기 공통 모델이 압축된 입력들을 조합하고, 상기 근사화 및/또는 추정된 파라미터를 생성하기 위한 저차원 데이터를 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 생성하도록 구성되게 하는 것을 포함하는 추가적인 동작을 초래한다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 랜덤이고, 또는 개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동된다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된다.
일부 실시형태들에서, 상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은, 가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함하고, 상기 가능한 이용가능 채널들의 세트는 광계측 플랫폼과 연관된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고, 상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상은, 하나 이상의 훈련용 근사화, 및/또는 훈련 제조 프로세스 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하게끔 조절되도록 구성된다.
일부 실시형태들에서, 상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고, 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함한다.
다른 실시형태에 따르면, 이용가능 채널에 기반하여 모듈식 오토인코더 모델의 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하기 위한 방법이 제공된다. 명령은, 복수 개의 입력이 서로 조합되기에 적합해지도록, 상기 복수 개의 입력 모델로 하여금 복수 개의 입력을 이용가능한 채널에 기반하여 압축하게 하는 것; 및 모듈식 오토인코더 모델의 공통 모델로 하여금 압축된 입력들을 조합하고, 잠재 공간 내의 저차원 데이터를 조합된 압축된 입력에 기반하여 생성하게 하는 것을 포함하는 동작을 초래하고, 상기 저차원 데이터는 상기 취출가능 양을 추정하며, 상기 잠재 공간 내의 저차원 데이터는, 상기 저차원 데이터에 기반하여 복수 개의 입력을 생성하고 및/또는 파라미터를 추정하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성된다.
일부 실시형태들에서, 상기 방법은, 상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것; 훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및 상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것에 의하여 상기 모듈식 오토인코더 모델을 훈련시킴으로써, 상기 공통 모델이 압축된 입력들을 조합하고, 상기 근사화 및/또는 추정된 파라미터를 생성하기 위한 저차원 데이터를 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 생성하도록 구성되게 하는 단계를 더 포함한다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 랜덤이고, 또는 개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동된다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된다.
일부 실시형태들에서, 상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은, 가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함하고, 상기 가능한 이용가능 채널들의 세트는 광계측 플랫폼과 연관된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고, 상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상은, 하나 이상의 훈련용 근사화, 및/또는 훈련 제조 프로세스 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하게끔 조절되도록 구성된다.
일부 실시형태들에서, 상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고, 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함한다.
다른 실시형태에 따르면, 이용가능 채널에 기반하여 모듈식 오토인코더 모델의 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하기 위한 시스템이 제공된다. 상기 시스템은, 복수 개의 입력이 서로 조합되기에 적합해지도록, 상기 복수 개의 입력 모델로 하여금 복수 개의 입력을 이용가능한 채널에 기반하여 압축하도록 구성된 복수 개의 입력 모델; 및 압축된 입력들을 조합하고, 잠재 공간 내의 저차원 데이터를 조합된 압축된 입력에 기반하여 생성하게 하는 것을 포함하는 동작을 초래하고, 상기 저차원 데이터는 상기 취출가능 양을 추정하도록 구성된 모듈식 오토인코더 모델의 공통 모델을 포함하고, 상기 잠재 공간 내의 저차원 데이터는, 상기 저차원 데이터에 기반하여 복수 개의 입력을 생성하고 및/또는 파라미터를 추정하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성된다.
일부 실시형태들에서, 상기 모듈식 오토인코더 모델은, 상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것; 훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및 상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것에 의하여 훈련됨으로써, 상기 공통 모델이 압축된 입력들을 조합하고, 상기 근사화 및/또는 추정된 파라미터를 생성하기 위한 저차원 데이터를 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 생성하도록 구성되게 한다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 랜덤이고, 또는
개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동된다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된다.
일부 실시형태들에서, 상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은, 가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함하고, 상기 가능한 이용가능 채널들의 세트는 광계측 플랫폼과 연관된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고, 상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상은, 하나 이상의 훈련용 근사화, 및/또는 훈련 제조 프로세스 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하게끔 조절되도록 구성된다.
일부 실시형태들에서, 상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고, 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함한다.
다른 실시형태에 따르면, 명령을 저장한 비-일시적 컴퓨터 판독가능 매체가 제공되는데, 이러한 명령은 컴퓨터로 하여금 파라미터 추정을 위한 모듈식 오토인코더 모델을 실행하게 하도록 구성된다. 상기 명령은, 복수 개의 입력이 서로 조합되기에 적합해지도록, 복수 개의 입력 모델로 하여금 복수 개의 입력을 압축하게 하는 것; 및 공통 모델로 하여금 압축된 입력들을 조합하고, 조합된 압축된 입력에 기반하여 잠재 공간 내의 저차원 데이터를 생성하게 하는 것 - 상기 잠재 공간 내의 저차원 데이터는 하나 이상의 입력의 근사화를 생성하고 및/또는 저차원 데이터에 기반하여 파라미터를 예측하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성됨 -을 포함하는 동작을 초래하고, 상기 공통 모델은 압축된 입력들을 조합하고 복수 개의 입력 중 어느 것이 공통 모델에 의해 조합되는지와 무관하게 상기 저차원 데이터를 생성하도록 구성된다.
일부 실시형태들에서, 상기 명령은, 상기 모듈식 오토인코더를, 상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것; 훈련용 저차원 데이터에 기반하여 생성되거나 추정된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및 상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델을 조절하는 것에 의하여 훈련시킴으로써, 상기 공통 모델이 압축된 입력들을 조합하고, 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 상기 근사화를 생성하고 및/또는 파라미터를 추정하기 위한 저차원 데이터를 생성하도록 구성되게 하는 것을 포함하는 추가적인 동작을 초래한다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 랜덤이고, 또는
개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동된다. 일부 실시형태들에서, 개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된다.
일부 실시형태들에서, 상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고, 하나 이상의 훈련용 근사화, 및/또는 훈련 파라미터, 및 레퍼런스 사이의 차이를 감소 또는 최소화하도록 상기 비교에 기반하여 상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것은, 적어도 하나의 출력 모델 및/또는 예측 모델을 조절하는 것을 포함한다.
일부 실시형태들에서, 상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은, 가능한 채널들의 세트로부터의 채널 선택을 포함하고, 상기 가능한 채널들의 세트는 반도체 제조 프로세스 및/또는 감지 동작의 하나 이상의 양태와 연관된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복된다.
일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스에 대한 바이어스를 감소 또는 제거하도록 구성된다.
일부 실시형태들에서, 파라미터는 반도체 제조 프로세스 파라미터이고, 개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고, 상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함한다.
반도체 제조 시에, 임계 스택 파라미터를 제품(예를 들어, 패터닝된 웨이퍼) 구조체 상에서 직접 측정하기 위하여 광계측이 사용될 수 있다. 계측 플랫폼을 사용하여 획득된 광학적 산란측정 데이터의 위에 흔히 머신-러닝 방법이 적용된다. 이러한 머신-러닝 방법은 개념적으로 지도 학습(supervised learning) 접근법, 즉 라벨링된 데이터세트로부터 학습하는 것이 된다. 이러한 방법의 성공은 라벨의 품질에 매우 크게 의존한다. 흔히, 라벨링된 데이터세트는 웨이퍼 상의 공지된 타겟을 측정 및 라벨링함으로써 생성된다.
이러한 방식으로 타겟을 사용하는 것의 주된 도전과제 중 하나는, 이들이 매우 정확한 상대적 라벨만을 제공한다는 사실이다. 이것은 타겟들의 하나의 클러스터 내에서, 그 위에서 정확한 라벨이 알려져 있는 알려지지 않은 일부 클러스터 바이어스가 존재한다는 것을 의미한다. 이러한 미지의 클러스터 바이어스를 결정하고, 따라서 절대적 라벨을 획득하는 것은 타겟-기반 레시피의 정확도에 중요한 것이다. 클러스터 바이어스를 추정하는 프로시저는 보통 라벨 정정(label correction)이라고 불린다.
바람직하게는, 본 발명의 모듈식 오토인코더 모델은, 입력(예를 들어, 도메인 지식)의 공지된 속성이 훈련 페이즈 도중에 모델 내에 임베딩될 수 있도록 구성되는데, 이것은 모델에 의해서 이루어지는 후속 추론 내에서 임의의 이러한 바이어스를 감소시키거나 제거한다. 다르게 말하면, 본 발명의 모듈식 오토인코더는, 입력의 공지된(예를 들어, 대칭) 속성이 모델의 디코딩부 내에 임베딩되도록 구성되고, 그리고 이러한 임베딩된 공지된 속성이 모델로 하여금 바이어스가 없는 추론을 할 수 있게 한다.
모듈식 오토인코더 모델과 연관되어 사용된 오토인코더(autoencoder)라는 용어는 일반적으로 파라미터 추정을 위해서 잠재 공간을 사용하는 부분 지도 학습을 위해 구성된 하나 이상의 오토인코더, 및/또는 다른 오토인코더를 가리킬 수 있다는 것에 주의한다.
일 실시형태에 따르면, 명령을 저장한 비-일시적 컴퓨터 판독가능 매체가 제공된다. 상기 명령은 컴퓨터로 하여금, 모듈식 오토인코더 모델의 디코더 내에 상기 모듈식 오토인코더 모델로의 입력의 공지된 속성을 집행(enforcing)함으로써 광계측 동작을 위한 관심 파라미터를 추정하기 위하여 확장된 적용 범위를 가지는 모듈식 오토인코더 모델을 실행하게 하도록 구성된다. 상기 명령은, 상기 모듈식 오토인코더 모델의 인코더로 하여금 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하게 하는 것; 및 상기 모듈식 오토인코더 모델의 디코더로 하여금, 상기 저차원 표현을 디코딩함으로써 상기 입력에 대응하는 출력을 생성하게 하는 것을 포함하는 동작을 초래한다. 상기 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성된다. 상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현과 상기 출력 사이의 공지된 물리적 관계와 연관된다. 상기 관심 파라미터는 상기 출력 및/또는 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정된다.
일부 실시형태들에서, 상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함한다.
일부 실시형태들에서, 상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 공지된 속성은 공지된 대칭 속성이고, 상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 상기 입력의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고, 상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함한다.
일부 실시형태들에서, 상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고, 상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며, 상기 출력은 입력 센서 신호의 근사화이다.
일부 실시형태들에서, 상기 센서 신호는 퓨필 이미지를 포함하고, 상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된다.
®일부 실시형태들에서, 상기 명령은, 상기 모듈식 오토인코더 모델의 입력 모델을 사용하여, 상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하는 것; 상기 모듈식 오토인코더 모델의 출력 모델을 사용하여, 상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하는 것; 및 상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 관심 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현 및/또는 상기 출력(상기 출력은 상기 입력의 근사화를 포함하고 및/또는 상기 근사화에 관련됨)에 기반하여 추정하는 것을 포함하는 추가적인 동작을 초래한다.
일부 실시형태들에서, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된다.
일부 실시형태들에서, 모듈식 오토인코더 모델의 디코더 내에 상기 모듈식 오토인코더 모델로의 입력의 공지된 속성을 집행(enforcing)함으로써 광계측 동작을 위한 관심 파라미터를 확장된 적용 범위를 가지는 모듈식 오토인코더 모델을 사용하여 추정하기 위한 방법이 제공된다. 상기 방법은, 상기 모듈식 오토인코더 모델의 인코더로 하여금 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하게 하는 단계; 및 상기 모듈식 오토인코더 모델의 디코더로 하여금, 상기 저차원 표현을 디코딩함으로써 상기 입력에 대응하는 출력을 생성하게 하는 단계를 포함한다. 상기 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성된다. 상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현과 상기 출력 사이의 공지된 물리적 관계와 연관된다. 상기 관심 파라미터는 상기 출력 및/또는 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정된다.
일부 실시형태들에서, 상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함한다.
일부 실시형태들에서, 상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 공지된 속성은 공지된 대칭 속성이고, 상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 상기 입력의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고, 상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함한다.
일부 실시형태들에서, 상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고, 상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며, 상기 출력은 입력 센서 신호의 근사화이다.
일부 실시형태들에서, 상기 센서 신호는 퓨필 이미지를 포함하고, 상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된다.
일부 실시형태들에서, 상기 방법은, 상기 모듈식 오토인코더 모델의 입력 모델을 사용하여, 상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하는 단계; 상기 모듈식 오토인코더 모델의 출력 모델을 사용하여, 상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하는 단계; 및 상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 관심 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현 및/또는 상기 출력(상기 출력은 상기 입력의 근사화를 포함하고 및/또는 상기 근사화에 관련됨)에 기반하여 추정하는 단계를 더 포함한다.
일부 실시형태들에서, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된다.
다른 실시형태에 따르면, 컴퓨터로 하여금, 모듈식 오토인코더 모델의 디코더 내에 상기 모듈식 오토인코더 모델로의 입력의 공지된 속성을 집행(enforcing)함으로써 광계측 동작을 위한 관심 파라미터를 추정하기 위하여 확장된 적용 범위를 가지는 모듈식 오토인코더 모델을 실행하게 하도록 구성되는 시스템이 제공된다. 상기 시스템은, 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하도록 구성된 상기 모듈식 오토인코더 모델의 인코더; 및 상기 저차원 표현을 디코딩함으로써 상기 입력에 대응하는 출력을 생성하게 하는 상기 모듈식 오토인코더 모델의 디코더를 포함한다. 상기 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성된다. 상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현과 상기 출력 사이의 공지된 물리적 관계와 연관된다. 상기 관심 파라미터는 상기 출력 및/또는 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정된다.
일부 실시형태들에서, 상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함한다.
일부 실시형태들에서, 상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 공지된 속성은 공지된 대칭 속성이고, 상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 상기 입력의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고, 상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함한다.
일부 실시형태들에서, 상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고, 상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며, 상기 출력은 입력 센서 신호의 근사화이다.
일부 실시형태들에서, 상기 센서 신호는 퓨필 이미지를 포함하고, 상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된다.
일부 실시형태들에서, 상기 시스템은, 상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하도록 구성된, 상기 모듈식 오토인코더 모델의 입력 모델; 상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 출력 모델; 및 관심 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현 및/또는 상기 출력(상기 출력은 상기 입력의 근사화를 포함하고 및/또는 상기 근사화에 관련됨)에 기반하여 추정하도록 구성된, 상기 모듈식 오토인코더 모델의 예측 모델을 더 포함한다.
일부 실시형태들에서, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된다.
일부 실시형태들에서, 명령을 저장한 비-일시적 컴퓨터 판독가능 매체가 제공되고, 상기 명령은 컴퓨터로 하여금 모듈식 오토인코더 모델을 실행하게 하도록 구성되며, 상기 모듈식 오토인코더 모델은 입력에 기반하여 출력을 생성하도록 구성된다. 상기 명령은, 상기 모듈식 오토인코더 모델의 인코더로 하여금 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하게 하는 것; 및 상기 모듈식 오토인코더 모델의 디코더로 하여금, 상기 저차원 표현을 디코딩함으로써 출력을 생성하게 하는 것을 포함하는 동작을 초래한다. 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성되고, 공지된 속성은 잠재 공간 내의 저차원 표현 및 출력 사이의 공지된 물리적 관계와 연관된다.
일부 실시형태들에서, 상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함한다.
일부 실시형태들에서, 상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함한다.
일부 실시형태들에서, 상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고, 상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함한다.
일부 실시형태들에서, 상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고, 상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며, 상기 출력은 입력 센서 신호의 근사화이다.
일부 실시형태들에서, 상기 센서 신호는 퓨필 이미지를 포함하고, 상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된다.
일부 실시형태들에서, 상기 모듈식 오토인코더 모델은, 상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하도록 구성된 입력 모델; 상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하도록 구성된 출력 모델; 및 제조 프로세스 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정하도록 구성된 예측 모델을 더 포함한다.
일부 실시형태들에서, 상기 파라미터는 반도체 제조 프로세스 파라미터이고, 상기 입력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하며, 상기 인코더 및/또는 디코더는 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하고, 상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함한다.
일부 실시형태들에서, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된다.
일부 실시형태들에서, 상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된다.
본 명세서에 통합되며 그 일부를 구성하는 첨부 도면은 하나 이상의 실시형태를 예시하고, 상세한 설명과 함께 여기에 이러한 실시형태를 설명한다. 본 발명의 실시형태가 오직 예시를 통하여, 대응하는 참조 심벌들이 대응하는 부분을 표시하는 첨부된 개략도를 참조하여 이제 설명될 것이다.
도 1은 본 발명의 일 실시형태에 따르는 리소그래피 장치의 개략적인 개요를 보여준다.
도 2는 일 실시형태에 따르는 리소그래피 셀의 개략적인 개요를 보여준다.
도 3은 일 실시형태에 따르는, 반도체 제조를 최적화하기 위한 세 가지 기법들 사이의 협력을 나타내는 홀리스틱 리소그래피의 개략도를 보여준다.
도 4는 일 실시형태에 따르는 예시적인 계측 장치, 예컨대 산란계를 예시한다.
도 5는 일 실시형태에 따르는 인코더-디코더 아키텍처를 예시한다.
도 6은 일 실시형태에 따르는, 신경망 내의 인코더-디코더 아키텍처를 예시한다.
도 7은 일 실시형태에 따르는 본 발명의 모듈식 오토인코더 모델의 일 실시형태를 도시한다.
도 8은은 일 실시형태에 따르는 두 개 이상의 서브-모델을 포함하는 모듈식 오토인코더 모델의 출력 모델을 예시한다.
도 9는 일 실시형태에 따르는, 파라미터 추론(예를 들어, 추정 및/또는 예측) 중에 사용될 수 있는 모듈식 오토인코더 모델의 일 실시형태를 도시한다.
도 10은 일 실시형태에 따라서, 어떻게 모듈식 오토인코더 모델이 이용가능 채널에 기반하여 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 하나 이상의 감지(예를 들어, 광계측 및/또는 다른 감지)로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하도록 구성되는지를 예시한다.
도 11은 일 실시형태에 따르는, 모듈식 오토인코더 모델의 공통 모델, 출력 모델(신경망 블록 - 이러한 예에서는 각각의 입력 채널에 대응함), 및 다른 컴포넌트를 예시한다.
도 12는 일 실시형태에 따라서 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하는 것의 그래픽 해석을 예시한다.
도 13은 일 실시형태에 따라서 모듈식 오토인코더 모델을 반지도 학습을 위해서 적용하는 것을 예시한다.
도 14는 일부 실시형태들에서, 모듈식 오토인코더 모델이 어떻게 재귀적 딥러닝 오토인코더 구조체를 포함하도록 구성되는지를 예시한다.
도 15 도 역시 일부 실시형태들에서, 모듈식 오토인코더 모델이 어떻게 재귀적 딥러닝 오토인코더 구조체를 포함하도록 구성되는지를 예시한다.
도 16은 일 실시형태에 따른 파라미터 추정을 위한 방법을 예시한다.
도 17은 일 실시형태에 따른, 단일 격자에 대한 식각기-유발 틸트의 일 예를 도시한다.
도 18은 일 실시형태에 따라서 모듈식 오토인코더 모델 상에 사전지식(priors)을 부과하기 위해서 라벨을 생성하기 위한 상호연결 구조체의 개략도를 도시한다.
도 19는 일 실시형태에 따르는 예시적인 컴퓨터 시스템의 블록도이다.
도 20은 일 실시형태에 따르는 도 1의 리소그래피 장치의 대안적인 디자인이다.
전술된 바와 같이, 오토인코더는 파라미터 추론을 위한 및/또는 다른 목적을 위한 계측 및/또는 다른 솔루션에서 사용되도록 구성될 수 있다. 이러한 딥러닝 모델 아키텍처는 일반적이고, 임의의 크기 및 복잡도로 스케일링될 수 있다. 오토인코더는 고차원 신호(예를 들어 반도체 계측 플랫폼 내의 퓨필 이미지)를 동일한 신호의 효율적인 저차원 표현으로 압축하도록 구성된다. 그 다음에, 파라미터 추론(즉, 회귀)이 저차원 표현으로부터 공지된 라벨들의 세트를 향하여 수행된다. 신호를 처음 압축함으로써, 추론 문제가 고차원 신호에 직접적으로 회귀를 수행하는 것에 비하여 크게 단순화된다.
그러나, 통상적인 오토인코더 내에서 정보의 흐름을 이해하는 것은 흔히 어려운 일이다. 정보에 대해서, 입력에서, 압축된 저차원 표현에서, 그리고 출력에서 추론할 수 있다. 이러한 포인트들 사이의 정보는 쉽게 해석할 수 없다.
데이터-드리븐 추론 접근법(data driven inference approach)도 역시 반도체 계측 동작을 위해서 제안되었고, 파라미터 추정의 태스크를 위해서 사용되어 왔다. 이들은 상당량의 수집된 측정치 및 측정된 피쳐를 관심 파라미터로 매핑하는 모델에 의존하는데, 이러한 파라미터에 대한 라벨은 웨이퍼 상의 조심스럽게 설계된 타겟을 통해서 획득되거나 제 3 자 측정치로부터 획득된다. 현재의 접근법은 많은 개수의 채널(다수의 파장, 여러 웨이퍼 회전 하에서의 관측치, 개의 광 편광 스킴 등)을 측정하는 성능을 가진다. 그러나, 실무 상의 타이밍 제약에 기인하여, 채널의 개수는 생산 측정을 위해 이용가능한 것들의 서브세트로 한정될 필요가 있다. 최선의 채널을 선택하기 위하여, 모든 가능한 채널 조합을 테스트하는 강제 대입(brute force) 접근법이 흔히 사용된다. 이것은 시간이 많이 걸리고, 결과적으로 긴 측정 시간 및/또는 프로세스 레시피 생성 시간이 초래된다. 추가적으로, 강제 대입 접근법은 과다 근사화에 노출될 수 있고, 채널별로 상이한 바이어스, 및/또는 다른 단점을 도입하게 된다.
반도체 제조 시에, 임계 스택 파라미터를 제품(예를 들어, 패터닝된 웨이퍼) 구조체 상에서 직접 측정하기 위하여 광계측이 사용될 수 있다. 계측 플랫폼을 사용하여 획득된 광학적 산란측정 데이터의 위에 흔히 머신-러닝 방법이 적용된다. 이러한 머신-러닝 방법은 개념적으로 지도 학습(supervised learning) 접근법, 즉 라벨링된 데이터세트로부터 학습하는 것이 된다. 이러한 방법의 성공은 라벨의 품질에 매우 크게 의존한다. 흔히, 라벨링된 데이터세트는 웨이퍼 내의 공지된 타겟을 측정 및 라벨링함으로써 생성된다. 이러한 방식으로 타겟을 사용하는 것의 주된 도전과제 중 하나는, 이들이 매우 정확한 상대적 라벨만을 제공한다는 사실이다. 이것은 타겟들의 하나의 클러스터 내에서, 그 위에서 정확한 라벨이 알려져 있는 알려지지 않은 일부 클러스터 바이어스가 존재한다는 것을 의미한다. 이러한 미지의 클러스터 바이어스를 결정하고, 따라서 절대적 라벨을 획득하는 것은 타겟-기반 레시피의 정확도에 중요한 것이다. 클러스터 바이어스를 추정하는 프로시저는 보통 라벨 정정(label correction)이라고 불린다.
현재의 모듈식 오토인코더 모델은 통상적인 모놀리식 오토인코더 모델과 비교할 때 강성이 적다. 현재의 모듈식 오토인코더 모델은 많은 개수의 훈련가능한 및/또는 그렇지 않으면 조절가능한 컴포넌트를 가진다. 현재의 모델의 모듈성(modularity)이 해석, 규정, 및 확장시키는 것을 더 쉽게 만든다. 현재의 모델의 복잡도는 모델에 제공되는 데이터를 생성하는 프로세스를 모델링하기에는 충분히 높지만, 모델링 노이즈 또는 다른 원치 않는 특성을 피하기에 충분히 낮다(예를 들어, 현재의 모델은 제공된 데이터를 과다근사화(overfitting)하는 것을 피하도록 구성됨). 데이터를 생성하는 프로세스(또는 적어도 프로세스의 양태)가 흔히 알려지지 않기 때문에, 적합한 네트워크 복잡도를 선택하는 것은 보통 어느 정도의 직관과 시행착오를 수반한다. 이러한 이유로, 모듈식이고, 이해하기가 쉬우며, 복잡도를 높이거나 낮추도록 스케일링하기가 쉬운 모델 아키텍처를 제공하는 것이 매우 바람직하다.
추가적으로, 본 발명의 모듈식 오토인코더 모델은 이용가능 채널에 기반하여 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하기 위해서 구성된다. 본 발명의 모델은 반복 훈련 단계 중에 입력을 근사화하기 위하여 사용되는 여러 채널을 무작위로 또는 그렇지 않으면 반복적으로 변경함으로써 훈련되도록 구성된다. 이러한 반복적인 변동 / 서브-선택은, 이러한 모델이 입력 채널들의 임의의 조합에 대하여 예측성/일관성을 유지하도록 보장한다. 더욱이, 입력 내에 존재하는 정보 콘텐츠가 모든 채널을 나타내기 때문에(예를 들어, 각각의 채널이 적어도 하나의 훈련 반복에 대하여 선택된 채널의 서브세트의 일부였기 때문임), 결과적으로 얻어지는 모델은 하나의 특정 채널에 특이적인 바이어스를 포함하지 않을 것이다.
본 발명의 모듈식 오토인코더 모델은, 입력(예를 들어, 도메인 지식)의 공지된 속성이 훈련 페이즈 도중에 모델 내에 임베딩될 수 있도록 구성되기도 하는데, 이것은 모델에 의해서 이루어지는 후속 추론 내에서 (예를 들어, 클러스터) 바이어스를 감소시키거나 제거한다. 다르게 말하면, 본 발명의 모듈식 오토인코더는, 입력의 공지된(예를 들어, 대칭) 속성이 모델의 디코딩부 내에 임베딩되도록 구성되고, 그리고 이러한 임베딩된 공지된 속성이 모델로 하여금 바이어스가 없는 추론을 할 수 있게 한다.
모듈식 오토인코더 모델과 연관되어 사용된 오토인코더(autoencoder)라는 용어는 일반적으로 파라미터 추정 및/또는 다른 동작을 위해서 잠재 공간을 사용하는 부분 지도 학습을 위해 구성된 하나 이상의 오토인코더, 또는 오토인코더의 하나 이상의 부품을 가리킬 수 있다는 것에 주의한다. 추가적으로, 전술된 다양한 단점(예를 들어, 종래의 시스템의 단점) 및 장점(본 발명의 모듈식 오토인코더 모델의 장점)은 그 외의 많은 가능한 단점 및 장점의 예이고, 한정하는 것으로 여겨져서는 안 된다.
마지막으로, 비록 본 명세서에서는 집적 회로를 제조하는 것을 특별히 참조하였지만, 본 명세서의 기재 내용은 그 외의 많은 가능한 애플리케이션들을 가진다. 예를 들어, 이것은 집적된 광학 시스템, 자기 도메인 메모리를 위한 유도 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등 제조에 채용될 수 있다. 이러한 대안적인 애플리케이션들에서, 당업자는 이러한 다른 응용예의 문맥에서 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 및 "타겟부"와 같은 좀 더 일반적인 용어와 상호 교체가능할 수 있음을 이해할 것이다. 또한, 본 명세서에서 설명되는 방법이 언어 처리 시스템, 자율주행 차량, 의료용 이미징 및 진단, 의미 세그멘트화, 노이즈 제거, 칩 디자인, 전자 디자인 자동화 등과 같은 다양한 분야에서 그 외의 가능한 많은 애플리케이션을 가질 수 있다는 것에 주의해야 한다. 본 발명의 방법은 머신 러닝 모델 예측에서 불확실성을 정량화하는 것이 유리한 임의의 분야에 적용될 수 있다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 자외 방사선(예를 들어 365, 248, 193, 157 또는 126 nm의 파장을 가지는 방사선) 및 EUV(예를 들어 약 5-100 nm 범위의 파장을 가지는 극자외 방사선)를 포함하는 모든 타입의 전자기 방사선을 망라하도록 사용된다.
패터닝 디바이스는 하나 이상의 설계 레이아웃을 포함하거나 형성할 수 있다. 디자인 레이아웃은 CAD(컴퓨터-보조 설계) 프로그램을 활용하여 생성될 수 있다. 이러한 프로세스는 흔히 EDA(전자 설계 자동화)라고 불린다. 기능성 설계 레이아웃/패터닝 디바이스를 생성하기 위하여 거의 모든 CAD 프로그램은 선결정된 설계 규칙의 세트를 따른다. 이러한 규칙들은 처리 및 설계 제한사항에 기반하여 설정된다. 예를 들어, 디자인 규칙은, 디바이스 또는 라인이 바람직하지 않은 방식으로 서로 상호작용하지 않도록 디바이스들(예컨대, 게이트, 커패시터 등) 또는 상호연결 라인들 사이의 공간 공차를 규정한다. 디자인 규칙 제한사항 중 하나 이상은 "임계 치수(CD)"라고 불릴 수 있다. 어떤 디바이스의 임계 치수는 선 또는 홀의 최소 폭 또는 두 개의 선들 또는 두 개의 홀들 사이의 최소 공간이라고 정의될 수 있다. 따라서, CD는 설계된 디바이스의 전체 크기 및 밀도를 규정한다. 디바이스 제조의 목적들 중 하나는 원래의 설계 의도를 기판 상에 충실하게 재현하는 것이다(패터닝 디바이스를 통해).
"레티클", "마스크" 또는 "패터닝 디바이스"라는 용어는 본 명세서에서 채용될 때, 인입하는 방사선 빔에 기판의 타겟부 내에 생성될 패턴에 대응하여 패터닝된 단면을 부여하기 위하여 사용될 수 있는 일반적 패터닝 디바이스를 지칭하는 것으로 넓게 해석될 수 있다. "광 밸브(light valve)"라는 용어도 이러한 콘텍스트에서 사용될 수 있다. 종래의 마스크(투과형 또는 반사형; 이진, 위상-천이, 하이브리드 등) 이외에, 이러한 그 외의 패터닝 디바이스들의 예는 프로그램가능 미러 어레이를 포함한다.
간략해 소개된 바와 같이, 도 1은 리소그래피 장치(LA)를 개략적으로 묘사한다. 리소그래피 장치(LA)는 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선 또는 EUV 방사선)을 조절하도록 구성되는 조명 시스템(조명기(IL)라고도 불림), 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스(MA)를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(PM)에 연결되는 마스크(예를 들어, 마스크 테이블)(T), 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판 지지대를 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 커플링되는 기판 지지대(예를 들어, 웨이퍼 테이블)(WT), 및 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이를 포함) 상에 투영하도록 구성되는 투영 시스템(예를 들어, 굴절성 투영 렌즈 시스템)(PS)을 포함한다.
동작 시에, 조명 시스템(IL)은 방사선 빔을 빔 전달 시스템(BD)을 통해 방사선 소스(SO)로부터 수광한다. 조명 시스템(IL)은 방사선을 지향시키고, 성형(shaping)하며, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절식, 반사, 자기적, 전자기, 정전기 및/또는 다른 유형의 광 컴포넌트, 및/또는 이들의 임의의 조합을 포함할 수도 있다. 조명기(IL)는 방사선 빔(B)이 패터닝 디바이스(MA)의 평면 상에 그 단면에서 원하는 공간 및 각도 세기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
본 명세서에서 사용되는 "투영 시스템(PS)"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적절한, 굴절식, 반사식, 반사 굴절식(catadioptric), 애너모픽(anamorphic), 자기식, 전자기식, 및/또는 정전식 광학 시스템, 및/또는 이들의 임의의 조합을 포함하는 다양한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어의 모든 사용은 더 일반적인 용어인 "투영 시스템(PS)"과 같은 의미인 것으로 간주될 수도 있다.
리소그래피 장치(LA)는, 투영 시스템(PS)과 기판(W) 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있으며 이것은 침지 리소그래피라고도 불린다. 침지 기법에 대한 더 많은 정보가 본 명세서에서 원용에 의해 통합되는 US6952253에 제공된다.
리소그래피 장치(LA)는 둘 이상의 기판 지지대(WT)를 가지는 타입일 수도 있다("듀얼 스테이지"라고도 불림). 이러한 "다중 스테이지" 머신에서, 기판 지지대(WT)는 병렬적으로 사용될 수 있고, 및/또는 기판(W)의 후속 노광을 준비하는 단계들이 기판 지지대(WT) 중 하나 상에 위치될 수 있는 반면에, 다른 기판 지지대(WT) 상의 다른 기판(W)은 다른 기판(W) 상에 패턴을 노광시키기 위해서 사용되고 있다.
기판 지지대(WT)에 추가하여, 리소그래피 장치(LA)는 측정 스테이지를 포함할 수 있다. 측정 스테이지는 센서 및/또는 세정 디바이스를 홀딩하도록 구성된다. 센서는 투영 시스템(PS)의 속성 또는 방사선 빔(B)의 속성을 측정하도록 구성될 수 있다. 측정 스테이지는 다수의 센서를 홀딩할 수 있다. 세정 디바이스는 리소그래피 장치의 부분, 예를 들어 투영 시스템(PS)의 부분 또는 침지액을 제공하는 시스템의 부분을 세정하도록 구성될 수 있다. 측정 스테이지는, 기판 지지대(WT)가 투영 시스템(PS)으로부터 멀어질 때 투영 시스템(PS) 아래에서 이동할 수 있다.
동작 시에, 방사선 빔(B)은 패터닝 디바이스, 예를 들어 지지 구조체(MT) 상에 홀딩되는 마스크(MA) 상에 입사하고, 그리고 패터닝 디바이스(MA) 상에 있는 패턴(디자인 레이아웃)에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 측정 시스템(IF)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 있는 상이한 타겟부들(C)을 포커싱되고 정렬된 위치에 위치설정하기 위하여, 기판 지지대(WT)가 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 디바이스(PM) 및 가능하게는 다른 위치 센서(도 1에는 명확하게 묘사되지 않음)가, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들(P1, P2)이 전용 타겟부들 점유하지만, 이들은 타겟부들 사이의 공간에 위치될 수도 있다. 기판 정렬 마크(P1, P2)는 타겟부들(C) 사이에 위치되면 스크라이브 레인 정렬 마크라고 알려져 있다.
도 2는 리소그래피 셀(LC)의 개략적인 개요를 도시한다. 도 2에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 (리소)클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있고, 이는 또한 기판(W) 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는, 예를 들어 레지스트층 내의 솔벤트를 조절하기 위해서 예를 들어 기판(W)의 온도를 조절하기 위하여, 레지스트층을 증착하도록 구성된 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 냉각 플레이트(chill plate; CH), 및 베이크 플레이트(bake plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판(W)을 픽업하여, 이들을 상이한 프로세스 장치 간에 이동시키며, 기판(W)을 리소그래피 장치(LA)의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는, 리소셀 내의 디바이스는 통상적으로 감독 제어 시스템(supervisory control system; SCS)에 의해 제어될 수 있는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치(LA)를 제어할 수 있다.
리소그래피 장치(LA)에 의해 노광되는 기판(W; 도 1)이 정확하고 일정하게 노광되도록 하기 위해서는, 기판을 검사하여 후속 층들 사이의 오버레이 오차, 라인 두께, 임계 치수(CD) 등과 같은, 패터닝된 구조체의 속성을 측정하는 것이 바람직할 수 있다. 이러한 목적을 위해, 검사 툴(미도시)이 리소셀(LC) 내에 포함될 수 있다. 오차가 검출되면, 특히 검사가 동일한 배치 또는 로트의 다른 기판(W)이 여전히 노광되거나 처리되어야 하기 전에 이루어진다면, 예를 들어 후속 기판의 노광에 또는 기판(W) 상에서 수행될 다른 프로세스 단계에 조절이 이루어질 수 있다.
계측 장치라고도 불릴 수 있는 검사 장치가(도 1), 기판(W)의 속성, 및 구체적으로 상이한 기판(W; 도 1)의 속성이 또는 동일 기판(W)의 상이한 층과 연관된 속성이 층에 따라 어떻게 변화하는지를 결정하기 위해 사용된다. 또는, 검사 장치는 기판(W) 상의 결점을 식별하도록 구성될 수 있고, 예를 들어 리소셀(LC)의 일부일 수 있으며, 또는 리소그래피 장치(LA)에 통합될 수 있고, 또는 심지어 독립형 디바이스일 수도 있다. 검사 장치는 잠상(노광 후의 레지스트 층 내의 이미지), 또는 반-잠상(노광후 베이크 단계(PEB) 후의 레지스트 층 내의 이미지), 또는 현상된 레지스트 이미지(레지스트의 노광되거나 비노광된 부분이 제거되었음), 또는 심지어 에칭된 이미지(에칭과 같은 패턴 전사 단계 이후)의 속성을 측정할 수 있다.
도 3은 반도체 제조를 최적화하기 위한 세 가지 기술들 사이의 협력을 나타내는, 홀리스틱 리소그래피의 개략적인 표현을 도시한다. 통상적으로, 리소그래피 장치(LA) 내에서의 패터닝 프로세스는, 기판(W; 도 1) 상의 구조체의 높은 치수 및 배치 정확도를 요구하는, 처리 중 가장 중요한 단계들 중 하나이다. 이러한 높은 정확도를 보장하기 위하여, 개략적으로 도 3에서 도시되는 것과 같은 소위 "홀리스틱" 제어 환경에서 세 가지 시스템이 통합될 수 있다(이런 예에서). 3. 이러한 시스템 중 하나는 계측 장치(예를 들어, 계측 툴(MT)(제 2 시스템) 및 컴퓨터 시스템(CL)(제 3 시스템)에 (가상적으로) 연결되는 리소그래피 장치(LA)이다. "홀리스틱" 환경은, 전체 프로세스 윈도우를 개선하고 리소그래피 장치(LA)에 의해 수행되는 패터닝이 프로세스 윈도우 내에 유지되도록 보장하기 위한 엄격 제어 루프를 제공하기 위하여, 이러한 세 개의 시스템들 사이의 협력을 최적화하도록 구성될 수 있다. 프로세스 윈도우는 그 안에서 특정한 제조 프로세스가 규정된 결과(예를 들어 기능성 반도체 디바이스)를 제공하는 프로세스 파라미터(예를 들어 선량, 초점, 오버레이)의 범위를 규정한다 - 통상적으로 리소그래피 프로세스 또는 패터닝 프로세스의 프로세스 파라미터는 그 안에서 변할 수 있다.
컴퓨터 시스템(CL)은, 사용할 분해능 향상 기법을 예측하기 위하여 패터닝될 디자인 레이아웃(또는 그 일부)을 사용하고, 어떤 마스크 레이아웃 및 리소그래피 장치 설정이 패터닝 프로세스의 최대 전체 프로세스 윈도우를 획득하는지를 결정하기 위하여(도 3에서 제 1 스케일(SC1)에서의 이중 화살표로 도시됨) 계산적 리소그래피 시뮬레이션 및 연산을 수행할 수 있다. 통상적으로, 분해능 향상 기법은 리소그래피 장치(LA)의 패터닝 가능성과 매칭되도록 구현된다. 컴퓨터 시스템(CL)은, 예를 들어 최적에 미달하는 처리에 기인하여 결함이 존재할 수 있는지 여부를 예측하기 위해서, 프로세스 윈도우 내의 어디에서 리소그래피 장치(LA)가 현재 동작하고 있는지를 검출(예를 들어 계측 툴(MT)로부터의 입력을 사용함)하기 위해서도 사용될 수 있다(도 3에서 제 2 스케일(SC2)에서 "0" 을 가리키는 화살표에 의해 표현됨).
계측 장치(툴)(MT)는 정확한 시뮬레이션 및 예측을 가능하게 하는 입력을 컴퓨터 시스템(CL)에 제공할 수 있고, 예를 들어 리소그래피 장치(LA)의 교정 상태에 있을 수 있는 드리프트(도 3에서 제 3 스케일(SC3))에서 여러 화살표로 표시됨)를 식별하기 위한 피드백을 리소그래피 장치(LA)에 제공할 수 있다.
리소그래피 프로세스에서, 생성된 구조체를, 예를 들어 프로세스를 제어하고 검증하기 위해서 자주 측정하는 것이 바람직하다. 이러한 측정을 하기 위한 툴은 계측 툴(장치)(MT)을 포함한다. 스캐닝 전자 현미경 또는 다양한 형태의 산란계 계측 툴(MT)을 포함하는, 이러한 측정을 하기 위한 상이한 타입의 계측 툴(MT)이 알려져 있다. 산란계는, 센서를 산란계의 대물 렌즈의 퓨필 평면 또는 퓨필과 공액인 평면에 있게 함으로써(이러한 경우 측정은 보통 퓨필 기반 측정이라고 불림), 또는 센서를 이미지 평면 또는 이미지 평면과 공액인 평면에 있게 함으로써(이러한 경우 측정은 보통 이미지 또는 필드 기반 측정이라고 불림), 리소그래피 프로세스의 파라미터의 측정이 가능해지게 하는 다기능 기구이다. 이러한 산란계 및 연관된 측정 기법은 특허 출원 US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032 또는 EP1,628,164A에 더 상세히 설명되는데, 이들은 그 전체 내용이 원용되어 본원에 통합된다. 앞서 언급된 산란계는 소프트 x-선 및 가시광선 내지 근적외선 파장 범위로부터의 광을 사용하여 격자와 같은 기판의 피쳐를 측정할 수 있다.
일부 실시형태에서, 산란계(MT)는 각도 분해 산란계이다. 이러한 실시형태들에서, 산란계 재구성 방법은 기판 내의 격자 및/또는 다른 피쳐의 속성을 재구성 또는 계산하기 위해서, 측정된 신호에 적용될 수 있다. 이러한 재구성은, 예를 들어 산란된 방사선과 타겟 구조체의 수학적 모델의 상호작용을 시뮬레이션하고 시뮬레이션 결과를 측정의 결과와 비교함으로써 이루어질 수 있다. 수학적 모델의 파라미터는, 시뮬레이션된 상호작용이 실제 타겟으로부터 관찰된 것과 유사한 회절 패턴을 생성할 때까지 조절된다.
일부 실시형태에서, 산란계(MT)는 분광식 산란계(MT)이다. 이러한 실시형태들에서, 분광식 산란계(MT)는, 방사선 소스에 의해 방출된 방사선이 기판의 타겟 피쳐 상으로 지향되고 타겟으로부터 반사되거나 산란된 방사선은 분광계 검출기로 지향되며, 이것이 경면 반사된 방사선의 스펙트럼(즉 파장의 함수인 세기의 측정치)을 측정하도록 구성될 수 있다. 이러한 데이터로부터, 예를 들어 정밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 시뮬레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 타겟의 구조 또는 프로파일이 재구성될 수도 있다.
일부 실시형태에서, 산란계(MT)는 편광 해석(ellipsometric) 산란계이다. 편광 해석 산란계는, 산란된 방사선을 각각의 편광 상태에 대해 측정함으로써 리소그래피 프로세스의 파라미터를 결정할 수 있게 한다. 이러한 계측 장치(MT)는 편광된 광(예컨대 선형, 원형, 또는 타원 광)을, 예를 들어 계측 장치의 조명 섹션 내의 적절한 편광 필터를 사용함으로써 방출한다. 계측 장치를 위해 적합한 소스는 편광된 방사선도 역시 제공할 수 있다. 현존하는 편광 해석 산란계의 다양한 실시형태가 그 전체가 본 명세서에 원용에 의해 통합되는 US 특허 출원 제 11/451,599, 제 11/708,678, 제 12/256,780, 제 12/486,449, 제 12/920,968, 제 12/922,587, 제 13/000,229, 제 13/033,135, 제 13/533,110 및 제 13/891,410에 설명된다.
일 실시형태에서, 산란계(MT)는 두 개의 오정렬된 격자 또는 주기적 구조체의 오버레이(및/또는 기판의 그 외의 목표 피쳐)를, 반사된 스펙트럼 및/또는 검출 구조 내의 비대칭을 측정함으로써 측정하도록 적응되는데, 비대칭은 오버레이의 정도에 관련된다. 두 개의(통상적으로 중첩함) 격자 구조체는 두 개의 상이한 층(연속하는 층이어야 하는 것은 아님)에 적용될 수 있고, 실질적으로 웨이퍼 상의 동일한 위치에 형성될 수 있다. 산란계는 예를 들어 특허 출원 EP1,628,164A에 설명된 바와 같은 대칭적 검출 구성을 가져서, 임의의 비대칭이 명확하게 구별가능하게 할 수 있다. 그러면 격자 내의 오정렬을 측정하기 위한 방식이 제공된다. 오버레이를 측정하기 위한 추가적인 예는, 그 전체가 본 명세서에 원용에 의해 통합되는 PCT 특허 출원 공개 번호 제 WO2011/012624 또는 US 특허 출원 제 US 20160161863에서 발견될 수 있다.
다른 관심 파라미터는 초점 및 선량일 수 있다. 초점 및 선량은, 그 전체 내용이 본 명세서에서 원용에 의해 통합되는 US 특허 출원 US2011-0249244에 기술된 바와 같은 산란측정에 의해(또는 대안적으로 스캐닝 전자 현미경 검사에 의해) 동시에 결정될 수 있다. 초점 에너지 행렬(FEM - 또한 초점 노광 행렬이라고 불림) 내의 각각의 포인트에 대한 임계 치수 및 측벽각 측정치의 고유한 조합을 가지는 단일 구조체(예를 들어, 기판 내의 피쳐)가 사용될 수 있다. 임계 치수 및 측벽각의 이러한 고유한 조합이 사용가능하다면, 초점 및 선량 값은 이러한 측정으로부터 고유하게 결정될 수 있다.
계측 타겟은, 공통적으로 레지스트 내에 있지만, 예를 들어 에칭 프로세스 이후에도 리소그래피 프로세스에 의해 형성되는 기판 내의 합성물 격자들 및/또는 그 외의 피쳐들의의 모듬(ensemble)일 수 있다. 일부 실시형태들에서, 타겟의 하나 이상의 그룹은 웨이퍼 주위의 상이한 위치 내에 클러스터링될 수 있다. 통상적으로 격자 내의 구조체의 피치 및 선폭은, 계측 타겟으로부터 오는 회절 차수를 캡쳐할 수 있으려면 측정 광학기(특히 광학기의 NA)에 의존한다. 회절된 신호는 두 층들 사이의 천이('오버레이'라고도 불림)를 결정하기 위하여 사용될 수 있고, 또는 리소그래피 프로세스에 의해 생성되는 원본 격자의 적어도 일부를 재구성하기 위하여 사용될 수 있다. 이러한 재구성은 리소그래피 프로세스의 품질을 유도하기 위하여 사용될 수 있고, 리소그래피 프로세스의 적어도 일부를 제공하기 위하여 사용될 수 있다. 타겟은, 타겟 내의 디자인 레이아웃의 기능성 부분의 치수를 모방하도록 구성되는 더 작은 서브-세그먼트를 가질 수 있다. 유사한 이러한 서브-세그먼트화에 기인하여, 타겟은 디자인 레이아웃의 기능성 부분과 더 유사하게 동작하게 되어, 전체 프로세스 파라미터 측정이 디자인 레이아웃의 기능성 부분을 닮을 수 있게 될 것이다. 타겟은 언더필된 모드 또는 오버필된 모드에서 측정될 수 있다. 언더필된 모드에서, 측정 빔은 전체 타겟보다 작은 스폿을 생성한다. 오버필된 모드에서, 측정 빔은 전체 타겟보다 큰 스폿을 생성한다. 이러한 오버필된 모드에서, 상이한 타겟들을 동시에 측정하여, 상이한 처리 파라미터를 동시에 결정하는 것도 가능할 수 있다.
특정 타겟을 사용하는 리소그래피 파라미터의 전체 측정 품질은 이러한 리소그래피 파라미터를 측정하기 위하여 사용되는 측정 레시피에 의하여 적어도 부분적으로 결정된다. "기판 측정 레시피"라는 용어는 측정 자체의 하나 이상의 파라미터, 측정된 하나 이상의 패턴의 하나 이상의 파라미터, 또는 양자 모두를 포함할 수 있다. 예를 들어, 기판 측정 레시피에서 사용되는 측정이 회절-기초 광학적 측정이라면, 측정의 파라미터 중 하나 이상은 방사선의 파장, 방사선의 편광, 기판에 대한 방사선의 입사각, 기판 상의 패턴에 대한 방사선의 입사각, 등을 포함할 수 있다. 측정 레시피를 선택하는 기준들 중 하나는, 예를 들어 처리 변이에 대한 측정 파라미터 중 하나의 감도일 수 있다. 본 명세서에서 그 전체가 원용에 의해 포함되는 더 많은 예들이 본 명세서에 그 전체가 원용에 의해 통합되는 미국 특허 출원 US 2016-0161863 및 공개된 미국 특허 출원 US 2016-0370717A에 기술된다.
도 4는 산란계와 같은 예시적인 계측 장치(툴 또는 플랫폼)(MT)를 도시한다. MT는 방사선을 기판(42) 상에 투영하는 광대역(백색 광) 방사선 프로젝터(40)를 포함한다. 반사 또는 산란된 방사선은 분광계 검출기(44)로 전달되는데, 이것은 정반사(specular reflected) 방사선의 스펙트럼(46)(즉, 파장의 함수로서의 세기의 측정치)을 측정한다. 이러한 데이터로부터, 예를 들어 정밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 도 3의 하단에 도시한 바와 같은 시물레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 구조 또는 프로파일이 처리 유닛(PU)에 의해 재구성될 수도 있다(48). 일반적으로, 재구성을 위해서는, 그 구조의 전반적인 형태가 알려져 있으며 일부 파라미터는 이 구조를 제조하는 프로세스에 대한 정보로부터 가정되어, 이 구조의 소수의 파라미터만이 산란측정 데이터(scatterometry data)로부터 결정되도록 남게 된다. 이러한 산란계는, 예를 들어 수직 입사(normal-incidence) 산란계 또는 경사 입사(oblique-incidence) 산란계로서 구성될 수 있다.
흔히, 패터닝 프로세스가 기판 상에 소망되는 패턴을 어떻게 생성할지를 계산을 통해서 결정할 수 있으면 바람직할 것이다. 수치적 결정은, 예컨대 시뮬레이션 및/또는 모델링을 포함할 수 있다. 모델 및/또는 시뮬레이션은 제조 프로세스의 하나 이상의 부분에 대해서 제공될 수 있다. 예를 들어, 패터닝 디바이스 패턴을 기판의 레지스트 층 상에 전사하는 리소그래피 프로세스 및 레지스트의 현상 이후에 해당 레지스트 층 내에 제공된 패턴을 시뮬레이션하고, 오버레이의 결정과 같은 계측 동작을 시뮬레이션하며, 및/또는 다른 시뮬레이션을 수행할 수 있는 것이 바람직하다. 시뮬레이션의 목적은, 예를 들어 계측 메트릭(예를 들어, 오버레이, 임계 치수, 기판의 피쳐의 3 차원의 프로파일의 재구성, 기판의 피쳐가 리소그래피 장치로 인쇄될 순간에서의 리소그래피 장치의 선량 또는 초점 등), 제조 프로세스 파라미터(예를 들어, 에지 배치, 공간상 세기 기울기, 서브 분해능 지원 피쳐(sub resolution assist feature; SRAF) 등), 및/또는 그 이후에 의도된 디자인 또는 목표 디자인이 획득되었는지 여부를 결정하기 위하여 사용될 수 있는 다른 정보를 정확하게 예측하는 것일 수 있다. 의도된 설계는 일반적으로, GDSII, OASIS 또는 다른 파일 포맷과 같은 표준화된 디지털 파일 포맷으로 제공될 수 있는 사전 광학 근접 정정 설계 레이아웃으로 정의된다.
시뮬레이션 및/또는 모델링은 하나 이상의 계측 메트릭(예를 들어, 오버레이 및/또는 다른 계측 측정을 수행함)을 결정하고, 패터닝 디바이스 패턴의 하나 이상의 피쳐를 구성하며(예를 들어, 광학 근접 정정을 수행함), 조명의 하나 이상의 피쳐를 구성하고(예를 들어, 조명의 공간/각도 세기 분포의 하나 이상의 특성의 변경, 예컨대 형상을 변경함), 투영 광학기의 하나 이상의 피쳐(예를 들어, 개구수 등)를 구성하기 위하여, 및/또는 다른 목적을 위하여 사용될 수 있다. 이러한 결정 및/또는 구성은 일반적으로, 예를 들어 마스크 최적화, 소스 최적화, 및/또는 투영 최적화라고 불릴 수 있다. 이러한 최적화는 독자적으로, 또는 상이한 조합으로 조합되어 수행될 수 있다. 이러한 하나의 예는 소스-마스크 최적화(SMO)인데, 이것은 패터닝 디바이스 패턴의 하나 이상의 피쳐를 조명의 하나 이상의 피쳐와 함께 구성하는 것을 수반한다. 최적화는 본 명세서에서 설명되는 파라미터화된 모델을 사용하여, 예를 들어 다양한 파라미터(이미지 등을 포함함)의 값을 예측할 수 있다.
일부 실시형태들에서, 어떤 시스템의 최적화 프로세스는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최소화하는 시스템의 파라미터(디자인 변수, 프로세스 변수, 검사 동작 변수 등)의 세트를 찾는 것을 포함할 수 있다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템의 특정한 특성(평가 포인트)의 이러한 특성의 의도된 값(예를 들어, 이상적인 값)에 대한 편차의 가중된 평균제곱근(RMS)일 수 있다. 비용 함수는 또한 이러한 편차들 중 최대값(즉, 최악의 편차)일 수도 있다. "평가 포인트"라는 용어는 시스템 또는 제조 방법의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템의 설계 및 프로세스 변수는 유한 범위로 한정되거나 및/또는 시스템 및/또는 방법의 구현형태들의 실용성 때문에 상호의존적일 수 있다. 리소그래피 투영 및/또는 검사 장치의 경우, 이러한 제약은 흔히 하드웨어의 물리적 성질 및 특성, 예컨대 튜닝가능한 범위, 및/ 장치 디바이스 제조가능성 디자인 규칙과 연관된다. 예를 들어, 평가 포인트는 기판 상의 레지스트상 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.
일부 실시형태들에서, 본 발명의 시스템(들) 및 방법(들)은 본 명세서에서 설명되는 동작 중 하나 이상을 수행하는 실험 모델을 포함할 수 있다. 실험 모델은 다양한 입력들(예를 들어, 퓨필 이미지의 하나 이상의 특성, 복소 전기장 이미지의 하나 이상의 특성, 디자인 레이아웃의 하나 이상의 특성, 패터닝 디바이스의 하나 이상의 특성, 리소그래피 프로세스에서 사용되는 조명의 하나 이상의 특성, 예컨대 파장 등) 사이의 상관에 기반하여 출력을 예측할 수 있다.
일 예로서, 실험 모델은 파라미터화된 모델 및/또는 그 외의 모델일 수 있다. 파라미터화된 모델은 머신 러닝 모델 및/또는 임의의 다른 파라미터화된 모델일 수 있다. 일부 실시형태들에서, 머신 러닝 모델(예를 들어)은, 수학식, 플롯, 차트, 네트워크(예를 들어, 신경망), 및/ 툴 및 머신 러닝 모델 컴포넌트일 수 있고 및/또는 이들을 포함할 수도 있다. 예를 들어, 머신 러닝 모델은 입력층, 출력층, 및 하나 이상의 중간층 또는 은닉층을 가지는 하나 이상의 신경망(예를 들어, 신경망 블록)이고 및/또는 이러한 신경망을 포함할 수도 있다. 일부 실시형태들에서, 하나 이상의 신경망은 심층 신경망(예를 들어, 입력층과 출력층 사이에 하나 이상의 중간층 또는 은닉층을 가지는 신경망)이고 및/또는 이러한 신경망을 포함할 수도 있다.
일 예로서, 하나 이상의 신경망은 신경 단위(또는 인공 뉴런)의 방대한 콜렉션에 기반할 수 있다. 하나 이상의 신경망은 생물학적 뇌가 동작하는 방식(예를 들어, 액손에 의해 연결된 생물학적 뉴런들의 방대한 클러스터를 통하여)을 약하게 모방할 수 있다. 신경망의 각각의 신경 단위는 해당 신경망의 다른 많은 신경 단위와 연결될 수 있다. 이러한 연결은 연결된 신경 단위들의 활성화 상태에 대한 효과에 있어서 강화하거나 방해하는 것일 수 있다. 일부 실시형태들에서, 각각의 개별적인 신경 단위는 자신의 모든 입력들을 합산하는 합산 기능을 가질 수 있다. 일부 실시형태들에서, 각각의 연결(또는 신경 단위 자체)은 임계 함수를 가질 수 있어서,신호가 다른 신경 단위로 전파되도록 허용되기 이전에 반드시 해당 임계를 초과해야 한다. 이러한 신경망 시스템은 명시적으로 프로그래밍되는 것이 아니라 자기-학습 및 훈련될 수 있고, 전통적인 컴퓨터 프로그램과 비교할 때 특정한 문제 풀이의 영역에서는 훨씬 더 양호하게 기능할 수 있다. 일부 실시형태들에서, 하나 이상의 신경망은 다수의 층(예를 들어, 신호 경로가 전방 층으로부터 후방 층으로 횡단하는 층)을 포함할 수 있다. 일부 실시형태들에서, 순방향 자극이 "전방(front)"의 신경 단위에 대한 가중치를 리셋하기 위하여 사용되는 역전파 기법이 신경망에 의해서 활용될 수 있다. 일부 실시형태들에서, 하나 이상의 신경망에 대한 자극 및 억제는 더 자유롭게 흘러갈 수 있고, 연결들은 더 혼란스럽고 복잡한 방식으로 상호작용한다. 일부 실시형태들에서, 하나 이상의 신경망의 중간층은 하나 이상의 콘볼루션 층, 하나 이상의 반복 층, 및/또는 다른 층을 포함한다.
하나 이상의 신경망은 훈련 데이터(예를 들어, 실측 정보)의 세트를 사용하여 훈련될 수 있다(즉, 그들의 파라미터가 결정됨). 훈련 데이터는 훈련 샘플들의 세트를 포함할 수 있다. 각각의 샘플은 입력 객체(통상적으로 이미지, 측정치, 피쳐 텐서 또는 벡터라고 불릴 수 있는 텐서 또는 벡터임) 및 소망되는 출력 값(지도 신호라고도 불림)을 포함하는 쌍일 수 있다. 훈련 알고리즘은 훈련 데이터를 분석하고, 훈련 데이터에 기반하여 신경망의 파라미터(예를 들어, 하나 이상의 층의 가중치)를 조절함으로써 신경망의 거동을 조절한다. 예를 들어, 형태가 인 N 개의 훈련 샘플의 세트가 주어져서 가 i번째 예의 피쳐 텐서/벡터이고 가 그 지도 신호가 된다면, 훈련 알고리즘은 신경망 를 찾으려고 시도하는데, 여기에서 는 입력 공간이고 는 출력 공간이다. 피쳐 텐서/벡터는 일부 대상물(예를 들어, 복잡한 전기장 이미지)을 나타내는 수치 피쳐의 n-차원의 텐서/벡터이다. 이러한 벡터와 연관된 텐서/벡터 공간은 흔히 피쳐 또는 잠재 공간이라고 불린다. 훈련된 이후에, 신경망은 새로운 샘플을 사용하여 예측하기 위해서 사용될 수 있다.
본 명세서에서 설명된 바와 같이, 본 발명의 모듈식 오토인코더 모델은 인코더-디코더 아키텍처, 및/또는 다른 모델을 사용하는 하나 이상의 파라미터화된 모델(예를 들어, 신경망과 같은 머신 러닝 모델)을 포함한다. 모델(예를 들어, 신경망)의 중간(예를 들어, 중간 계층)에서, 본 발명의 모델은 정보를 모델로의 입력(예를 들어, 퓨필 이미지 및/또는 반도체 제조 및/또는 계측(및/또는 다른 감지) 프로세스의 패턴 또는 다른 피쳐와 연관된 다른 입력) 내에 정보를 캡슐화하는 저차원 인코딩(예를 들어, 잠재 공간 내의 인코딩)을 공식화한다. 본 발명의 모듈식 오토인코더 모델은 잠재 공간의 저차원성(low dimensionality) 및 콤팩트성(compactness)을 활용하여 파라미터 추정 및/또는 예측을 수행한다.
비한정적인 예시를 사용하여, 도 5는 일반적인 인코더-디코더 아키텍처(50)를 예시한다. 인코더-디코더 아키텍처(50)는 인코딩부(52)(인코더) 및 디코딩부(54)(디코더)를 가진다. 도 5에 도시되는 예에서, 인코더-디코더 아키텍처(50)는, 예를 들어 예측된 퓨필 이미지(56) 및/또는 다른 출력을 출력할 수 있다.
비한정적인 예시를 사용하여, 도 6은 신경망(62) 내의 인코더-디코더 아키텍처(50)를 예시한다. 인코더-디코더 아키텍처(50)는 인코딩부(52) 및 디코딩부(54)를 포함한다. 도 6에서, x는 인코더 입력(예를 들어, 입력 퓨필 이미지 및/또는 입력 퓨필 이미지의 추출된 피쳐)를 나타내고, x'는 디코더 출력(예를 들어, 예측된 출력 이미지 및/또는 출력 이미지의 예측된 피쳐)를 나타낸다. 일부 실시형태들에서, x'는, 예를 들어 신경망의 중간 계층으로부터의 출력(전체 모델의 최종 출력과 비교됨) 및/또는 다른 출력을 나타낼 수도 있다. 도 6에서, z는 잠재 공간(64) 및/또는 저차원 인코딩(텐서 / 벡터)을 나타낸다. 일부 실시형태들에서, z는 잠재 변수이거나 잠재 변수에 관련된다.
일부 실시형태들에서, 저차원 인코딩(z)은 입력(예를 들어, 퓨필 이미지)의 하나 이상의 피쳐를 나타낸다. 입력의 하나 이상의 피쳐는 입력의 중요(key) 피쳐 또는 임계 피쳐라고 여겨질 수 있다. 피쳐는 입력의 중요 피쳐 또는 임계 피쳐라고 여겨질 수 있는데, 그 이유는 이들이, 예를 들어 소망되는 출력의 다른 피쳐보다 상대적으로 더 많이 예측성(predictive)이고 및/또는 다른 특성을 가지기 때문이다. 저차원 인코딩에서 표현된 하나 이상의 피쳐(차원)는 미리 결정될 수 있고(예를 들어, 본 발명의 모듈식 오토인코더 모델을 생성할 때에 프로그래머에 의하여), 신경망의 종래의 계층에 의해서 결정될 수 있고, 본 명세서에서 설명되는 시스템과 연관된 사용자 인터페이스를 통하여 사용자에 의해 조절될 수 있고, 및/또는 다른 방식으로 결정될 수도 있다. 일부 실시형태들에서, 저차원 인코딩에서 표현된 피쳐들의 양(차원)은 미리 결정될 수 있고(예를 들어, 본 발명의 모듈식 오토인코더 모델을 생성할 때에 프로그래머에 의하여), 신경망의 종래의 계층으로부터의 출력에 기반하여 결정될 수 있고, 본 명세서에서 설명되는 시스템과 연관된 사용자 인터페이스를 통하여 사용자에 의해 조절될 수 있고, 및/또는 다른 방식에 의하여 결정될 수도 있다.
머신 러닝 모델, 신경망, 및/또는 인코더-디코더 아키텍처가 본 명세서 전체에서 언급되지만, 머신 러닝 모델, 신경망, 및 인코더-디코더 아키텍처는 예에 불과할 뿐이고, 본 명세서에서 설명되는 동작은 그 외의 파라미터화된 모델에도 적용될 수 있다는 것에 주의해야 한다.
전술된 바와 같이, 프로세스 정보(예를 들어, 이미지, 측정치, 프로세스 파라미터, 계측 메트릭 등)는 다양한 제조 동작을 가이드하기 위해서 사용될 수 있다. 프로세스 정보를 예측 및/또는 그 외에 결정하기 위해서 잠재 공간의 상대적으로 더 낮은 차원수를 활용하는 것은 더 빠르고, 더 효율적이며, 더 적은 계산 리소스를 요구하고, 및/또는 프로세스 정보를 결정하기 위한 종래의 방법보다 양호한 그 외의 장점을 가질 수 있다.
도 7은 본 발명의 모듈식 오토인코더 모델(700)의 일 실시형태를 도시한다. 일반적으로, 오토인코더 모델은 파라미터 추론을 위하여 및/다른 목적을 위하여 계측 및/또는 다른 솔루션에서 사용되도록 적응될 수 있다. 추론은 데이터 및/또는 다른 동작으로부터 관심 파라미터를 추정하는 것을 포함할 수 있다. 예를 들어, 이것은 잠재적인 표현을 인코더를 평가함으로써 순방향으로, 또는 반전 문제(inverse problem)를 디코더를 사용하여 풀이함으로써(본 명세서에서 설명된 바와 같이) 역방향으로 찾아내는 것을 포함할 수 있다. 잠재적인 표현을 찾아낸 이후에, 관심 파라미터는 예측/추정 모델을 평가함으로써(역시 본 명세서에서 설명된 바와 같이) 발견될 수 있다. 추가적으로, 잠재적인 표현은 출력들의 세트를 제공하고(잠재적인 표현이 주어지면 디코더를 평가할 수 있기 때문임), 이것이, 예를 들어 데이터와 비교될 수 있다. 본질적으로, 본 명세서의 문맥에서는, 추론 및 추정(관심 파라미터의 추론 및 추정)은 상호교환가능하도록 사용될 수 있다. 오토인코더 모델 아키텍처는 일반적이고, 임의의 크기 및 복잡도로 스케일링될 수 있다. 오토인코더 모델은 고차원 신호(입력)를 동일한 신호의 효율적인 저차원 표현으로 압축하도록 구성된다. 파라미터 추론(예를 들어, 회귀 및/또는 다른 연산을 포함할 수 있음)은 저차원 표현, 하나 이상의 출력, 및/또는 그 외의 정보로부터 공지된 라벨들의 세트를 향해 수행된다. 라벨은 지도 학습에서 사용되는 "레퍼런스(reference)"일 수 있다. 본 명세서의 문맥에서는, 이것은 재현하기를 희망할 외부 레퍼런스, 또는 조심스럽게 세공된(carefully crafted) 계측 타겟의 디자인 중 하나를 의미할 수 있다. 조심스럽게 세공된 계측 타겟은 공지된 (절대적/상대적) 속성(예를 들어 오버레이 및/또는, 예를 들어 다른 속성)을 가지는 공지된 타겟을 측정하는 것을 포함할 수 있다. (입력) 신호를 처음 압축함으로써, 추론 문제가 고차원 신호에 직접적으로 회귀 및/또는 다른 연산을 수행하는 것에 비하여 크게 단순화된다.
그러나, 통상적인 오토인코더 내에서 정보의 흐름을 이해하는 것은 어려운 일이다. 이것의 아키텍처는 흔히 불투명 및/또는 비-투명하고, 보통은 모델 입력에서, 모델 출력에서, 및 압축 시에(즉, 잠재 공간 내의) 정보에 대해서만 추론할 수 있다. 정보는 이러한 포인트들 사이에서는 해석하기가 쉽지 않다. 실무에서는, 보조 정보, 예컨대 웨이퍼 상의 타겟 및 반도체 제조 프로세스 내의 대응하는 센서의 물리적 속성을 가질 수도 있다(입력에 추가하여). 이러한 보조 정보는 오토인코더 모델의 성능을 개선하기 위하여, 또는 오토인코더 모델의 적용가능성을 확장하기 위하여, 모델 예측이 물리적 현실과 매칭되는 것을 보장하기 위한 종래의 지식(예를 들어, "사전지식(a prior)")으로서 사용될 수 있다. 그러나, 입력, 압축 포인트, 및 출력을 포함하는 강성 아키텍처를 가지는 통상적인 오토인코더 모델에서는, 임의의 이러한 정보를 어떻게 포함시킬지가 불분명하다(예를 들어, 임의의 이러한 정보가 어디서 그리고 어떻게 모델 내에 삽입될지 또는 모델에 의해서 사용될지가 불분명함).
모듈식 오토인코더 모델(700)은 모듈식 구조를 가진다. 그러면 보조 정보를 활용하기 위해서 사용될 수 있는 추상화의 중간 레벨을 구성할 수 있게 된다. 비-일시적 컴퓨터 판독가능 매체에 저장된 명령은, 컴퓨터(예를 들어, 하나 이상의 프로세서)로 하여금, 예를 들어 파라미터 추정 및/또는 예측을 위해서 모델(700)을 실행(예를 들어, 훈련 및/또는 평가)하게 할 수 있다. 일부 실시형태들에서, 모델(700)(및/또는 이하 설명되는 모델(700)의 개별적인 컴포넌트 중 임의의 것)은 훈련 데이터를 보기 이전에 선험적으로 구성될 수 있다. 일부 실시형태들에서, 추정 및/또는 예측된 파라미터는(예를 들어, 퓨필 이미지, 전기장 이미지 등), 프로세스 측정치(예를 들어, 메트릭 값), 및/또는 다른 정보 중 하나 이상을 포함한다. 일부 실시형태들에서, 프로세스 측정치는 계측 메트릭, 세기, xyz 위치, 치수, 전기장, 파장, 조명 및/또는 검출 퓨필, 대역폭, 조명 및/또는 검출 편광각, 조명 및/또는 검출 지연각(retardance angle), 및/또는 다른 프로세스 측정치 중 하나 이상을 포함한다. 모듈식 오토인코더 모델(700)은 파라미터 추정을 위해서 잠재 공간을 사용하는 부분 지도 학습을 위해서 구성된다(후술되는 바와 같음).
도 7에 도시된 바와 같이, 모듈식 오토인코더 모델(700)은 네 가지 타입의 서브-모델을 가지고 형성된다: 입력 모델(702), 공통 모델(704), 출력 모델(706), 및 예측 모델(708)(하지만 임의의 개수, 타입, 및/또는 구조의 서브-모델도 가능함). 입력 모델(702)은 입력 데이터를 다른 입력과 조합되기에 적합한 더 높은 레벨의 추상화로 처리하도록 구성된다. 공통 모델(704)은 입력들을 조합하고, 정보를 보틀넥(bottleneck)(예를 들어, 모델(700) 내의 압축 포인트 또는 잠재 공간)까지 압축하며, 정보를 여러 출력으로 분할하기에 적합한 레벨로 다시 확장시킨다. 출력 모델(706)은 이러한 공통 추상화 레벨로부터의 정보를 각각의 입력을 근사화하는 다수의 출력으로 처리한다. 예측 모델(708)은 보틀넥을 통과하는 정보로부터 관심 파라미터를 추정하기 위하여 사용된다. 마지막으로, 모듈식 오토인코더 모델(700)이, 통상적인 오토인코더 모델과 반대로, 여러 상이한 입력 및 여러 상이한 출력에 대해서 구성된다는 것에 주의한다.
일부 실시형태들에서, 모듈식 오토인코더 모델(700)은 하나 이상의 입력 모델(702a, b, …, n), 공통 모델(704), 하나 이상의 출력 모델(706a, b, …, n), 예측 모델(708), 및/또는 다른 컴포넌트를 포함한다. 일반적으로, 모듈식 오토인코더 모델(700)은 위에서 논의된 통상적인 모놀리식 모델보다 더 복잡할 수 있다(자유 파라미터의 개수의 관점에서). 그러나, 그 대신에, 이러한 더 복잡한 모델은 해석, 규정, 및 확장시키기가 더 쉽다. 임의의 신경망에 대하여, 네트워크의 복잡도가 선택되어야 한다. 이러한 복잡도는 데이터에 내재된 프로세스를 모델링하기에 충분하게 높아야 하지만, 노이즈 실현(noise realization)(일반적으로 과다 근사화의 형태로서 해석됨)을 모델링하지 않도록 충분히 낮아야 한다. 모델은 센서가, 예를 들어 웨이퍼 상의 제조 공정의 결과를 센서가 바라보는 방식을 모델링하도록 구성될 수 있다. 데이터를 생성하는 프로세스가 흔히 알려지지 않기 때문에(또는 미지의 양태를 가지기 때문에), 적절한 네트워크 복잡도를 선택하는 것은 일부의 직관과 시행착오를 보통 수반한다. 이러한 이유로, 모듈식 오토인코더 모델(700)을 사용하여, 이해하기가 쉽고 모델 복잡도를 어떻게 스케일 업 또는 스케일 다운할지가 명확한 모델 아키텍처를 제공하는 것이 바람직하다.
여기에서, 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708)은 서로 별개이고, 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하도록 구성될 수 있다. 모델(700)은, 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708) 각각이 함께 및/또는 별개로 훈련될 수 있도록, 하지만 모듈식 오토인코더 모델(700) 내의 다른 모델과 별개로, 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성될 수 있도록 하는 방식으로 구성된다. 비한정적인 예시를 사용하여, 광계측 장치(툴, 플랫폼 등) 내에서의 타겟 및 센서의 기여도는 물리적으로 분리될 수 있다. 다르게 말하면, 상이한 타겟은 동일한 센서를 사용하여 측정될 수 있다. 이것 때문에, 타겟 및 센서 기여도를 별개로 모델링할 수 있다. 다르게 말하면, 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708)은 광이 센서 또는 스택을 통해 전파될 때에 광에 대한 물리특성과 연관될 수 있다.
하나 이상의 입력 모델(702)은 하나 이상의 입력(711)(예를 들어, 711a, 711b, …, 711n)을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하도록 구성된다. 이러한 처리는, 입력을 모델 친화적 포맷으로 필터링 및/또는 그 외의 변환하는 것, 입력을 압축하는 것, 데이터를 더 낮은 차원의 하부공간 상으로 투영시켜서 훈련 프로시저를 가속화하는 것, 데이터 정규화, 센서로부터 오는 신호 기여도를 제외하고 처리하는 것(예를 들어 소스 요동, 센서 선량 구성(생성된 광량) 등) 및/또는 다른 처리 동작을 포함할 수 있다. 이러한 처리는, 예를 들어 입력 또는 해당 입력과 연관된 데이터가 모델(700)에 대해 적합하다, 다른 입력과 조합되기에 적합하다 등을 보장하기 위한 전처리로 여겨질 수 있다. 차원수의 제 1 레벨은 주어진 입력(711)의 차원수의 레벨과 같거나 적을 수 있다. 일부 실시형태들에서, 하나 이상의 입력 모델(702)은 모듈식 오토인코더 모델(700)의 조밀한(예를 들어, 상이한 활성화를 가지는 선형 계층 및/또는 조밀한 계층) 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함한다. 이러한 구조는 예일 뿐이고, 한정하는 것으로 여겨져서는 안 된다.
일부 실시형태들에서, 입력(711)은 반도체 제조 공정의 퓨필, 타겟, 및/또는 다른 컴포넌트와 연관되고, 입력(711)을 생성하도록 구성된 복수 개의 특성화 장치(characterization apparatus) 중 하나 이상으로부터 수신된다. 특성화 장치는 타겟에 대한 데이터를 생성하도록 구성된 다양한 센서 및/또는 툴을 포함할 수 있다. 일부 실시형태들에서, 특성화 장치는, 예를 들어 도 4에 도시되는 바와 같은 광계측 플랫폼을 포함할 수 있다. 데이터는 이미지, 다양한 메트릭에 대한 값, 및/또는 다른 정보를 포함할 수 있다. 일부 실시형태들에서, 입력(711)은 입력 이미지, 입력 프로세스 측정치 및/또는 일련의 프로세스 측정치, 및/또는 다른 정보 중 하나 이상을 포함한다. 일부 실시형태들에서, 입력(711)은 하나 이상의 감지(예를 들어, 광계측 및/또는 다른 감지) 플랫폼으로부터의 측정 데이터의 채널과 연관된 신호일 수 있다. 채널은 스택, 예를 들어 측정할 때에 사용되는 머신/물리적 구성이 관찰되는 모드일 수 있다. 비한정적인 예시를 사용하여, 입력(711)은 이미지(예를 들어, 반도체 제조 중에 연관되거나 생성된 임의의 이미지)를 포함할 수 있다. 이미지는 입력 모델(702)에 의해서 전처리될 수 있고, 공통 모델(704)의 인코더부(705)(이하 설명된다)에 의해서 인코딩될 수 있어서, 이미지를 잠재 공간(707)(이하 설명된다) 내의 이미지로 나타내는 저차원 데이터가 된다. 일부 실시형태들에서, 입력 모델(들)(702)은 인코더부(705)의 일부일 수 있거나 일부인 것으로 여겨질 수 있다는 것에 주의한다. 그러면, 저차원 데이터는 디코딩될 수 있고, 프로세스 정보를 추정 및/또는 예측하기 위해서, 및/또는 다른 목적을 위하여 사용될 수 있다.
공통 모델(704)은 인코더-디코더 아키텍처, 변동형(variational) 인코더-디코더 아키텍처, 및/또는 다른 아키텍처를 포함한다. 일부 실시형태들에서, 공통 모델(704)은 잠재 공간(707)(상이한 센서 및/또는 툴로부터의 원시 입력 데이터에 대한 자유도의 개수에 비하여 분석할 자유도가 더 적음) 내에서, 주어진 입력(711)의 잠재 공간 표현을 결정하도록 구성된다. 프로세스 정보가 추정 및/또는 예측될 수 있고, 및/또는 다른 동작이 주어진 입력(711)의 잠재 공간 표현에 기반하여 수행될 수 있다.
일부 실시형태들에서, 공통 모델(704)은 인코더부(705), 잠재 공간(707), 디코더부(709), 및/또는 다른 컴포넌트를 포함한다. 일부 실시형태들에서, 디코더부(709)는 출력 모델(들)(706)을 포함하거나 포함하는 것으로 여겨질 수 있다. 일부 실시형태들에서, 공통 모델은 피드 포워드 및/또는 잔차 계층, 및/또는 다른 컴포넌트를 포함하지만, 이러한 예시적인 구조는 한정하는 것으로 여겨져서는 안 된다. 공통 모델(704)의 인코더부(705)는 처리된(예를 들어, 입력 모델(702)에 의하여 처리된) 입력들(711)을 조합하고, 조합된 처리된 입력들의 차원수를 감소시켜서 잠재 공간(707) 내의 저차원 데이터를 생성하도록 구성된다. 일부 실시형태들에서, 입력 모델(702)은 인코딩 중 적어도 일부를 수행할 수 있다. 예를 들어, 인코딩은 하나 이상의 입력(711)을 차원수의 제 1 레벨로 처리하는 것(예를 들어, 입력 모델(702)에 의하여), 그리고 조합된 처리된 입력들의 차원수를 감소시키는 것(예를 들어, 인코더부(705)에 의하여)을 포함할 수 있다. 이것은, 입력(711)의 차원수를 감소시켜서 잠재 공간(707) 내의 저차원 데이터를 형성하는 것, 및/또는 실제로 잠재 공간(707) 내의 저차원 레벨에 도달하기 이전의 임의의 양의 차원 감소(예를 들어, 인코더부(705)의 하나 이상의 계층에 의하여)를 포함할 수 있다. 이러한 차원수 감소는 반드시 모노토닉(monotonic)인 것은 아니라는 것에 주의한다. 예를 들어, 입력들의 조합(연쇄를 이용함)은 차원수가 증가하는 것으로 여겨질 수 있다.
잠재 공간(707) 내의 저차원 데이터는 제 1 레벨(예를 들어, 처리된 입력들의 차원수의 레벨)보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가진다. 다르게 말하면, 감소된 이후의 결과적으로 얻어지는 차원수는 감소되기 이전보다 더 적다. 일부 실시형태들에서, 잠재 공간 내의 저차원 데이터는 하나 이상의 상이한 형태, 예컨대 텐서, 벡터, 및/또는 다른 잠재 공간 표현(예를 들어, 주어진 입력(711)과 연관된 차원의 개수보다 적은 차원을 가지는 것)을 가질 수 있다.
공통 모델(704)은 잠재 공간 내의 저차원 데이터를 하나 이상의 입력(711)의 하나 이상의 확장된 버전이 되게 확장시키도록 구성된다. 잠재 공간(707) 내의 저차원 데이터를 하나 이상의 입력(711)의 하나 이상의 확장된 버전으로 확장시키는 것은, 예를 들어 디코딩, 디코더 신호를 생성하는 것, 및/또는 다른 동작을 포함한다. 일반적으로, 하나 이상의 입력의 하나 이상의 확장된 버전은, 공통 모델(704)(예를 들어, 공통 모델의 마지막 계층)로부터의 출력, 또는 출력 모델(706)로의 입력을 포함한다. 그러나, 하나 이상의 입력(711)의 임의의 확장된 버전은 디코더부(709)의 임의의 계층으로부터의 하나 이상의 확장된 버전 및/또는 공통 모델(704)로부터 출력 모델(706)로 전달되는 임의의 출력을 포함할 수 있다. 하나 이상의 입력(711)의 하나 이상의 확장된 버전은 잠재 공간(707) 내의 저차원 데이터와 비교할 때 증가된 차원수를 가진다. 하나 이상의 입력(711)의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력(713)(예를 들어, a, b, …n)을 생성하기에 적합하도록 구성된다. 공통 모델로의 입력(704)이 반드시 그 출력으로 복원되는 것은 아니라는 것에 주의한다. 이것은 단지 인터페이스를 기술하도록 의도된다. 그러나, 복원은 광역적으로, 입력(711)으로부터 출력(713)까지를 유지할 수 있다.
하나 이상의 출력 모델(706)은 하나 이상의 입력(711)의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력(713)을 생성하도록 구성된다. 하나 이상의 상이한 출력(713)은 하나 이상의 입력(711)의 근사화를 포함하고, 하나 이상의 상이한 출력(713)은 하나 이상의 입력(711)의 확장된 버전(예를 들어, 공통 모델(704)로부터의 출력)과 비교할 때 동일하거나 증가된 차원수를 가진다. 일부 실시형태들에서, 하나 이상의 출력 모델(706)은 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하지만, 이러한 예시적인 구조는 한정하려는 의도가 아니다. 비한정적인 예시를 사용하여, 입력(711)은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함할 수 있고, 입력(711)의 저차원 표현은 센서 신호의 압축된 표현일 수 있으며, 대응하는 출력(713)은 입력 센서 신호의 근사화일 수 있다.
예측 모델(708)은 하나 이상의 파라미터(관심 파라미터)(715)를 잠재 공간(707) 내의 저차원 데이터, 하나 이상의 상이한 출력(713), 및/또는 다른 정보에 기반하여 추정하도록 구성된다. 일부 실시형태들에서, 예를 들어 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터일 수 있다(본 명세서에서 설명된 바와 같이). 일부 실시형태들에서, 예측 모델(708)은 피드 포워드, 잔차 계층, 및/또는 다른 컴포넌트를 포함하지만, 이러한 예시적인 구조는 한정하려는 의도가 아니다. 비한정적인 예시를 사용하여, 입력(711) 센서 신호는 퓨필 이미지를 포함할 수 있고, 퓨필 이미지의 인코딩된 표현은 오버레이 및/또는 다른 파라미터를 추정하기 위해서 예측 모델(708)에 의해 사용되도록 구성될 수 있다.
일부 실시형태들에서, 모듈식 오토인코더 모델(700)은, 하나 이상의 상이한 출력(713)을 대응하는 입력(711)에 비교하고, 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708)의 파라미터화를 조절하여 출력(713)과 대응하는 입력(711) 사이의 차이를 감소시키거나 최소화하는 것에 의하여 훈련된다. 일부 실시형태들에서, 훈련은, 잠재 공간(707) 내의 저차원 데이터에 변동을 적용하여, 공통 모델(704)이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 디코더 신호(예를 들어, 공통 모델(704)로부터의 출력)을 생성하게 하는 것 - 출력(713)은 하나 이상의 출력 모델(706) 또는 양자 모두로부터 온 것임 -; 디코더 신호를 인코더(예를 들어, 하나 이상의 입력 모델(702), 공통 모델(704)의 인코더부(705) 또는 양자 모두)로 재귀적으로 제공하여 새로운 저차원 데이터를 생성하는 것; 새로운 저차원 데이터를 종래의 저차원 데이터에 비교하는 것; 및 모듈식 오토인코더 모델(700)의 하나 이상의 컴포넌트(702, 704, 706, 708)를, 새로운 저차원 데이터와 종래의 저차원 데이터 사이의 차이를 감소시키거나 최소화하기 위해서 비교에 기반하여 조절(예를 들어, 가중치 변경, 상수 변경, 아키텍처 변경 등)하는 것을 포함할 수 있다. 훈련은 모든 서브-모델(702-708)에 걸쳐서 모놀리식 방식으로 수행된다(하지만 이것은 각각의 모델에 대해서 별개일 수도 있음). 다르게 말하면, 잠재 공간(707) 내의 데이터를 변경하면 모듈식 오토인코더 모델(700)의 다른 컴포넌트에 영향을 준다. 일부 실시형태들에서, 조절하는 것은, 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 예측 모델(708), 및/또는 모델(700)의 다른 컴포넌트의 계층과 연관된 적어도 하나의 가중치, 상수, 및/또는 아키텍처(예를 들어, 계층들의 개수 등)를 조절하는 것을 포함한다. 모듈식 오토인코더 모델(700)을 훈련시키는 이런 양태들 및 다른 양태들은 다른 도면들에 관련하여 더 자세하게 설명된다.
일부 실시형태들에서, 상기 하나 이상의 입력 모델(702)의 양, 하나 이상의 출력 모델(706)의 양, 및/또는 모델(700)의 다른 특성은 데이터 필요성(예를 들어, 데이터를 모델 친화적인 포맷으로 필터링 및/또는 그 외의 변환을 하기 위해서는 입력 데이터를 전처리하는 것이 필요할 수 있음), 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이, 및/또는 다른 정보에 기반하여 결정된다. 입력 모델의 양은, 예를 들어 출력 모델의 양과 동일하거나 다를 수 있다. 일부 실시형태들에서, 개별적인 입력 모델(702) 및/또는 출력 모델(706)은 두 개 이상의 서브-모델을 포함한다. 두 개 이상의 서브-모델들은 감지 동작 및/또는 제조 공정의 상이한 부분들과 연관된다.
예를 들어, 이용가능한 데이터 채널의 개수는 센서에 대해서 가능한 구성 상태에 링크될 수 있다. 입력 모델(702) 및/또는 출력 모델(706)의 양, 특정 입력 모델(702) 및/또는 출력 모델(706)이 사용되는지 여부, 및/또는 모델(700)의 다른 특성은 이러한 정보, 및/또는 그 외의 제조 및/또는 감지 동작 정보에 기반하여 결정될 수 있다.
비한정적인 예시를 사용하여, 도 8은 두 개 이상의 서브-모델을 포함하는 모듈식 오토인코더 모델(700)의 출력 모델(706)을 예시한다. 일부 실시형태들에서는, 도 8에 도시된 바와 같이, 개별적인 출력 모델(706)이 두 개 이상의 서브-모델(720a, b, …, n, 및 722) 등을 포함한다. 일부 실시형태들에서는, 예를 들어 두 개 이상의 서브-모델이 반도체 센서 동작을 위한 스택 모델(예를 들어, 720a, b, …, n) 및 센서 모델(예를 들어, 722)을 포함할 수 있다. 전술된 바와 같이, 계측 장치 내의 타겟 및 센서 기여도는 분리될 수 있다. 그 이유로, 모델(700)은 타겟 및 센서 기여도를 별개로 모델링하도록 구성된다.
도 8에서, 모듈식 오토인코더 모델(700)은 특정 센서에 대해서 통합된 센서 모델(722)과 함께 도시된다. 이러한 예시적인 오토인코더 모델은 센서 모델(722)과 연관된 데이터를 사용하여 수집된 데이터로 훈련될 수 있다. 이러한 선택이 설명의 간결성을 위하여 이루어진다는 것에 주의한다. 원리는 임의의 개수의 센서에 대해서도 유효하다. 또한, 도 8에는 도시되지 않지만, 일부 실시형태들에서는 개별적인 입력 모델(702)(예를 들어, 702a)이 두 개 이상의 서브-모델을 포함할 수도 있다는 것에 주의한다. 입력 모델(702)의 서브-모델은, 예를 들어 데이터 전처리(예를 들어, 특이치 분해 투영(decomposition projection))을 위하여, 및/또는 목적을 위하여 사용될 수 있다.
도 9는 파라미터 추론(예를 들어, 추정 및/또는 예측) 도중에 사용될 수 있는 모듈식 오토인코더 모델(700)의 일 실시형태를 도시한다. 추론 도중에, 센서 모델(722)과 연관된 센서는 센서 모델 "72i"에 의하여 모델링된 임의의 무작위 센서와 스왑될 수 있다. 이러한 서브-모델 구성은 다음 문제를 풀이하기 위해서 사용되도록 구성된다:
(이것은 반전 문제(inverse problem)를 풀이함으로써 추론을 수행하는 하나의 방식이다.)
이러한 수학식에서, θ는 잠재 공간 내에서의 입력의 압축된 저차원 파라미터화를 나타내고, θ*는 결과적으로 얻어지는 타겟 파라미터화를 나타낸다. 결과적으로 얻어지는 타겟 파라미터화로부터, 대응하는 관심 파라미터(715)가 예측 모델(708)의 순방향 평가를 사용하여 발견될 수 있다.
도 10에 도시된 바와 같이, 모듈식 오토인코더 모델(700)(도 7을 역시 참조함)은, 이용가능 채널에 기반하여 복수 개의 입력 모델들(702)의 서브세트(도 7)를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 하나 이상의 감지 플랫폼(예를 들어, 광계측 및/또는 다른 감지 장치 및/또는 툴)으로부터의 측정 데이터의 이용가능 채널 P의 조합으로부터 관심 파라미터 를 추정하도록 구성된다. 일부 실시형태들에서, 입력 모델(702)은, 복수 개의 입력이 서로 조합되기에 적합해지도록, 이용가능 채널에 기반하여 복수 개의 입력(711)을 처리하도록 구성된다. 전술된 바와 같이, 이러한 처리는 입력을 모델 친화적인 포맷으로 필터링 및/또는 그 외의 변환하는 것, 입력을 압축하는 것, 및/또는 그 외의 처리 동작을 포함할 수 있다. 이러한 처리는, 예를 들어 입력 또는 해당 입력과 연관된 데이터가 모델(700)에 대해 적합하다, 다른 입력과 조합되기에 적합하다 등을 보장하기 위한 전처리로 여겨질 수 있다. 또한 전술된 바와 같이, 공통 모델(704)(예를 들어, 인코더부(705))는 처리된 입력들을 조합하고, 조합된 처리된 입력들에 기반하여 잠재 공간(707) 내의 저차원 데이터(도 7)를 생성하도록 구성된다. 저차원 데이터는 취출가능 양을 추정하고, 잠재 공간 내의 저차원 데이터는 복수 개의 입력(711)의 근사화를 생성하고 및/또는 파라미터(관심 파라미터)(715)를 저차원 데이터(본 명세서에서 설명된 바와 같음)에 기반하여 추정하기 위하여 하나 이상의 추가적 모델(예를 들어, 하나 이상의 출력 모델(706) 및/또는 예측 모델(708))에 의해서 사용되도록 구성된다.
일부 실시형태들에서, 모듈식 오토인코더 모델(700)(도 7)은 처리된(예를 들어, 압축된) 입력(711)의 서브세트를 반복적으로 변경하여(예를 들어, 서브-선택), 공통 모델(704)에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해 사용되도록(예를 들어, 압축되도록) 함으로써 훈련된다. 다르게 말하면, 입력(711)(처리된 입력, 압축된 입력, 또는 그 외의 입력)은 제 1 압축층에 맞게 변경된다. 훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화 및/또는 훈련용 파라미터는 대응하는 레퍼런스(예를 들어, 공지된 및/또는 그 외의 미리 결정된 레퍼런스 근사화 및/또는 훈련용 근사화 및/또는 훈련용 파라미터가 매칭될 것으로 여겨지는 파라미터)에 비교된다; 그리고 복수 개의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708) 중 하나 이상은 하나 이상의 훈련용 근사화, 및/또는 훈련용 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하기 위해서 이러한 비교에 기반하여 조절된다. 명확하게 말하자면, 잠재 공간 내에는 레퍼런스 값이 존재하지 않는다. 그 대신에, 모델(700)은 입력을 반복적으로 입력하고 네트워크의 나머지에게 모든 요구된 출력(즉 713 및 715 양자 모두)을 생성하도록 요구함으로써 훈련될 수 있다. 모듈식 오토인코더 모델(700)은 공통 모델(704)이 처리된 입력들(711)을 조합하고, 복수 개의 입력(711) 중 어느 것이 공통 모델(704)에 의해서 결과적으로 조합되는지와 무관하게 근사화 및/또는 추정된 파라미터(들)를 생성하기 위한 저차원 데이터를 생성하도록, 이러한 방식으로 훈련된다. 명확하게 말하자면, 도 10에서, 는 입력 모델(702)을 나타내고, 기대치 연산자 는 공통 모델(704)의 일부이지만, 기대치 연산자의 출력이 잠재적인 표현을 생성하는 것이(본 명세서에서 설명된 바와 같이) 반드시 참인 것은 아니다.
일부 실시형태들에서, 개별적인 반복에 대한 변동은 랜덤이고, 또는 개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동된다. 예를 들어, 임의의 특정 반복 시에 활성화된 채널들의 개수는 통상적으로 실제 추론 도중에 이용가능하게 될, 즉 통상적인 사용을 나타내는 채널들의 개수와 통상적으로 유사하다. 균일한 샘플링이 실제 애플리케이션과 매칭되는 확률을 가지는 채널들의 콜렉션에 걸쳐서 수행될 수 있다. 일부 실시형태들에서, 개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 처리된 입력(711) 각각이 처리된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된다. 일부 실시형태들에서, 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 처리된 입력들의 서브세트를 반복적으로 변동하는 것은, 가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함한다. 가능한 이용가능 채널들의 세트는, 예를 들어 감지(예를 들어, 광계측) 플랫폼과 연관된다. 반복적으로 변경하는 단계, 비교하는 단계, 및 조절하는 단계는 모델 및/또는 목표(비용 함수)가 수렴할 때까지 반복된다. 일부 실시형태들에서, 상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된다.
비한정적인 예시를 사용하여, 반도체 제조를 위한 광계측에서, 웨이퍼 상의 주어진 피쳐는 편광된 광을 사용하여 여기되고, 그 응답(원시 산란된 광 세기 및/또는 위상)이 주어진 피쳐의 관심 파라미터를 추론/측정하기 위해서 사용된다. 데이터-드리븐 추론 접근법이 파라미터 추정의 태스크를 위해서 사용되어 왔다. 이들은 상당량의 수집된 측정치 및 측정된 퓨필을 관심 파라미터로 매핑하는 모델에 의존하는데, 이러한 파라미터에 대한 라벨은 웨이퍼 상의 조심스럽게 설계된 타겟을 통해서 및/또는 제 3 자 측정치로부터 획득된다. 그러나, 이러한 접근법은 프로세스 변화를 다루기에는 성능이 부족한 것이 밝혀졌다.
광계측 플랫폼(예를 들어, 툴, 장치 등)은 많은 개수의 채널(예를 들어, 도 7에 도시되는 입력(711), 예컨대 다수의 파장, 여러 웨이퍼 회전 하에서의 측정, 여러 광 편광 스킴 등)을 측정하는 성능을 가진다. 그러나, 실무에서의 타이밍 제약에 기인하여, 생산 설정에서 측정할 때에 실제로 사용되는 채널(입력(711))의 개수는 흔히 이용가능한 것들의 서브세트(통상적으로 최대 두 개의 인입 광 채널까지)로 한정된다. 지금까지는, 최선의 채널을 선택하기 위하여, 모든 가능한 채널 조합을 테스트하는 강제 대입(brute force) 접근법이 사용된다. 이것은 시간이 많이 걸리고, 긴 레시피 생성 시간이 초래된다. 추가적으로, 이것은 과다 근사화에 취약할 수 있어서, 상이한 채널에 대한 상이한 바이어스를 도입한다.
모듈식 오토인코더 모델(700)(예를 들어, 입력 모델(702) 및/또는 공통 모델(704))은, 모든 이용가능 채널로부터의 퓨필 데이터(입력의 하나의 가능한 예임)를 를 조합하여 종래의 시스템에 비하여 간단하고 고속인 채널 선택을 제공하는 통계적 모델링의 프레임워크를 활용하도록 구성된다. 도 10에 도시된 바와 같이, 채널(P 1 내지 P n )(예를 들어, 도 7에 도시되는 입력(711))을 가지는 주어진 타겟에 대하여, 모듈식 오토인코더 모델(700)은 모든 이용가능한 데이터(모든 채널)를 사용할 수 있지만, 또한 그러한 채널들의 서브세트만을 사용하여 평가될 수도 있도록 구성된다. 모델(700)은, 채널마다의 기대된 정보 콘텐츠가 동일해지도록, 즉 모든 채널 에 대하여 가 만족되도록, 정보 콘텐츠를 각각의 타겟의 획득 채널(acquisition channel)()로부터 모든 채널에 걸쳐서 가간섭성 방식으로 추출하는 서브-모델(예를 들어,702) 를 사용하도록 구성된다. 이것으로부터, 가간섭성 파라미터화 모델(700)(모듈식 오토인코더 모델)이, 관심 파라미터를 다른 모델 을 통해서 예측하기 위하여 사용될 수 있는 정보를 추출하도록 구성되는데, 여기에서 는 모든 채널을 사용하여 측정될 수 있는 가정적 전체 정보 콘텐츠 기술(hypothetical full information content description)의 공동 추정(joint estimation)이다. 이러한 정보 콘텐츠가 다수의 채널에 걸쳐서 확산될 수 있고, 즉 전체 가 단일 채널/측정으로는 관찰되지 않을 수 있다는 것에 주의한다.
각각의에 대한 채널별 유잡음/불완전한 추정이 주어지면, 모델(700)은 다음과 같이 스택으로부터 취출될 수 있는 점근 정보(asymptotic information) 콘텐츠를 근사화하도록 구성된다:
이것은 이용가능한 유한 개수의 채널을 사용함으로써 구성된다. 이것은 모델(700)이 를 준수하는 파라미터화 의 세트를 검색하도록 구성된다는 것을 진술한다. 이러한 양은 관심 파라미터 (예를 들어, 도 7의 715)를 예측하기 위해서 추후에 사용된다. (예를 들어, 기대치 연산자를 제외한 도 7의 공통 모델(704)의 인코더부(705) 및 예측 모델(708) 모두)가 정보 콘텐츠의 기대된 값 를 입력으로서 취하기 때문에, 모델(700)은 관심 파라미터 를 추정하기 위해서 로 표시되는 채널들의 임의의 서브세트 및 가능한 조합을 사용할 수 있다. o가 참 라벨이고, 가 예측 모델에 의해 생성된 추정치라는 것에 주의한다. 추정 품질은 각각의 를 통해서 채널에 의해 제공되는 정보 품질에 의존하는데, 이것은 다음을 결정하는 것이 된다:
여기에서, 이용가능 채널이 더 적게 존재하고(), 따라서 에 대한 근사화 품질이 더 낮다. f i 에 의해 규정되는 모델들의 훈련에 후속하여, g 모델(700)은 채널들의 서브세트를 사용하여 양 을 추정함으로써 채널들의 임의의 조합에 대한 예측된 관심 파라미터를 평가한다. 두 개의 입력 채널(예를 들어, 1050) 및 세 개의 입력 채널(예를 들어, 1052)에 대한 예가 도 10에 제공되지만, 그 외의 가능한 많은 예들이 고찰된다.
일부 실시형태들에서, 입력 모델(예를 들어, 신경망 블록)(702)(도 7)은 각각의 입력 채널과 연관된다. 입력 모델(702)은 훈련되도록 구성되고, 앞서 제공된 함수 f c 를 나타낼 수 있다. 양호한 모델 성능을 보장하기 위하여, 모델(700)은 각각의 채널로부터 생성된(각각의 입력 모델(702)에 의하여) 정보 콘텐츠를 조합하여 도 7에 도시되는 모듈식 오토인코더 구조체를 생성하도록 구성된 공통 모델(704)을 포함한다.
도 11 도 모듈식 오토인코더 모델(700)을 도시하지만, 추가적 세부사항은 전술된 도 10의 논의 내용에 관련된다. 도 11은 공통 모델(704), 출력 모델(706)(신경망 블록 - 이러한 예에서는 각각의 입력 채널에 대응함), 및 모델(700)의 다른 컴포넌트를 예시한다. 이러한 예에서, 모델(700)은, 예를 들어 퓨필(퓨필 이미지) 및 관심 파라미터 양자 모두를 추정 및/또는 예측하게끔 훈련되도록 구성된다. 도 11(및 도 7)에 도시되는 모델(700)은 정보 콘텐츠 기대치 의 관점에서는 수렴하도록 구성되는데, 그 이유는 모델(700)이 훈련의 모든 단계(도 11에서 1100으로 표시됨) 도중에 를 근사화하기 위해서 사용되는 채널의 개수를 반복적으로 변경/서브-선택(예를 들어, 무작위적으로 또는 통계적으로 의미있는 방식으로)하도록 구성되기 때문이다. 이러한 반복적인 변동 / 서브-선택은, 이러한 모델(700)이 입력 채널들의 임의의 조합에 대하여 예측성/일관성을 유지하도록 보장한다. 더욱이, 내에 존재하는 정보 콘텐츠가 모든 채널(즉, )을 표현할 필요가 있기 때문에, 결과적으로 얻어지는 모델은 하나의 특정 채널에 특이적인 바이어스를 재현하지 않을 것이다. 수학적으로 말하자면, 훈련이란 도 11에 표시되는 비용 함수(1102)의 함수 의 규정에 대한 최소화라고 진술될 수 있다. 비용 함수(1102)에서, 함수 는 잠재 파라미터화의 정칙화(regularization) 또는 다른 타입의 정칙화로서의 역할을 수행하고, 양 는 다수의 측정된 타겟 에 대하여 집합 {0, 1}로부터 무작위로 선택된다(이러한 예에서).
다시 말하자면, 이러한 접근법은 최선의 모델/채널에 대한 강제 대입 조합형 검색 대신에 모든, 또는 실질적으로 모든 이용가능한 데이터를 사용하는 단일 모델(예를 들어, 700)의 훈련을 가능하게 한다. 그러면, 훈련의 계산 복잡성이 종래의 접근법에서는 조합형이었던 것과 대조적으로 채널의 개수에 선형적으로 의존하기 때문에 레시피까지의 시간이 줄어든다. 또한, 현재의 방법론은 채널들에 걸친 조합형 검색에 대해서 발생할 수 있는 바이어스를 감소시키는데, 그 이유는 본 발명의 방법론이 훈련 도중에 채널 정보 모두가 사용되는 것을 보장하기 때문이다. 전체 모델(700)이 채널의 모든 상이한 서브-선택을 고려하도록 훈련되기 때문에, 결과적으로 얻어지는 모델들은 채널 선택에 관하여 일관된 결과들을 생성한다.
도 12는 모듈식 오토인코더 모델(700)(도 7을 참조한다)이 제조 및/또는 감지(예를 들어, 광계측) 동작에 대한 관심 파라미터를 추정하기 위한 확장된 적용 범위를 어떻게 가지는지의 양태들을 예시한다. 모듈식 오토인코더 모델(700)(도 7을 참조한다)은 제조 및/또는 감지(예를 들어, 광계측) 동작에 대한 관심 파라미터를 추정하기 위한 확장된 적용 범위를 가지는데, 그 이유는 이것이 입력(711)(도 7)의 공지된 속성을 디코더부(709)(도 7) 내에서 집행하도록 구성되기 때문이고, 이것은 하나 이상의 출력 모델(706)을 포함한다(전술된 바와 같이). 일부 실시형태들에서, 디코더부(709)는, (훈련 도중의 집행의 결과)를 디코딩하는 동안에, 인코딩된 입력(711)의 공지된 속성을 집행하여 출력(713)을 생성하면서 입력(711)의 저차원 표현을 디코딩함으로써 입력(711)에 대응하는 출력(713)(도 7)을 생성하도록 구성된다. 효과적으로, 이러한 집행은 원래 훈련 도중에 발생한다. 훈련 이후에는, 이러한 집행이 모델의 속성이 된다. 하지만, 엄격하게 말하자면 훈련 도중에 디코딩도 수행된다. 공지된 속성은 입력(711, 및 출력(713)에 대한 잠재 공간(707) 내의 저차원 표현(도 7) 사이의 공지된 물리적 관계와 연관된다. 일부 실시형태들에서, 공지된 속성은 공지된 대칭 속성, 공지된 비-대칭 속성, 및/또는 다른 공지된 속성이다. 일부 실시형태들에서, 디코더부(709)는 모델(700)의 모듈성을 활용하면서, 공지된 속성을 일부 중간 디코딩 레벨에서(예를 들어, 공통 모델(704) 및 출력 모델(706) 사이의 인터페이스에서) 집행하도록 구성될 수 있다. 관심 파라미터는 출력(713) 및/또는 잠재 공간(707) 내의 입력(711)의 저차원 표현에 기반하여 추정될 수 있다(본 명세서에서 설명된 바와 같이). 예를 들어, 일부 실시형태들에서, 예측 모델의 경우, 대칭성을 사용하는 것에 대하여, 예측 모델은 선택 마스크(예를 들어, 관심 파라미터와 연관될 파라미터들의 잠재 공간으로부터의 선택)일 수 있다. 이것은 여전히 신경망 계층으로 표현될 수 있다. 그러나, 이것은 훈련 도중에는 고정된 상태를 유지한다(이것은 고정된 선형 계층 σ(W x + b)가 되고, 여기에서 W 내의 각각의 행은 오직 하나의 값(1)만을 포함하고, 다른 원소들은 0으로 설정되며, b는 0과 같은 원소만을 포함하고, 는 아이덴티티이다).
일부 실시형태들에서, 디코더부(709)(실시형태들에서 하나 이상의 출력 모델(706)을 포함할 수 있음)는 훈련 페이즈 도중에 인코딩된 입력의 공지된 대칭 속성 및/또는 다른 속성을 집행하도록 구성됨으로써, 모듈식 오토인코더 모델(700)이 추론 페이즈 도중에 집행된 공지된 대칭 속성(및/또는 다른 속성)을 준수하여 출력을 생성하게 한다. 집행하는 것은, 출력(713) 및 디코더부(709)와 연관된 비용 함수 내의 페널티 항을 사용하여 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를 페널티처리(penalizing)하는 것을 포함한다(이것은 하나 이상의 출력 모델(706)을 포함할 수 있음). 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함한다. 일부 실시형태들에서, 상기 공지된 속성은 공지된 대칭 속성이고, 상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 입력(711)의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함한다. 일부 실시형태들에서, 하나 이상의 입력 모델(702), 인코더부(705), 디코더부(709), 하나 이상의 출력 모델(706), 예측 모델(708), 및/또는 모델(700)의 다른 컴포넌트(도 7을 참조한다)는 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록(예를 들어, 훈련되거나 추가적으로 훈련되도록) 구성된다.
비한정적인 예시를 사용하여, 광계측 플랫폼(예를 들어, 장치, 툴 등)은 임계 반도체 스택 파라미터를 제품 구조체 바로 위에서 측정하도록 구성된다. 이를 수행하기 위하여, 광학 계측 플랫폼을 사용하여 획득된 광학 산란측정 데이터의 위에 흔히 머신 러닝 방법이 흔히 적용된다. 이러한 머신 러닝 방법은 개념적으로 지도 학습(supervised learning) 접근법, 즉 라벨링된 데이터세트로부터 학습하는 것이 된다. 이러한 방법의 성공은 라벨의 품질에 의존한다.
라벨을 획득하기 위한 공통 접근법이 존재한다. 하나의 접근법은 자기-참조(self-reference) 타겟을 사용하는데, 이들은 라벨링된 데이터를 획득하기 위해서 특수하게 설계된 타겟이다. 두 번째 접근법은 반도체 팹 내의 기록 툴(통상적으로 스캐닝 전자 현미경)에 의존한다. 자기-참조 타겟의 설계에 자유가 있는 경쟁적인 이점에 기인하여, 그리고 경쟁하는 계측 솔루션의 독립성에 기인하여, 자기-참조 타겟 접근법이 흔히 바람직하다.
자기-참조 타겟을 사용하는 것의 주된 도전과제 중 하나는, 이들이 매우 정확한 상대적(relative) 라벨만을 제공한다는 사실이다. 이것은 하나의 타겟 클러스터 내에서, 그 위에서 정확한 라벨이 알려져 있는 알려지지 않은 일부 클러스터 바이어스가 존재한다는 것을 의미한다. 이러한 미지의 클러스터 바이어스를 결정하는 것, 및 따라서 절대적 라벨을 획득하는 것은 자기-참조 타겟에 기반한 제조 및/또는 검사 파라미터 레시피의 정확도에게 있어서 중요하다. 클러스터 바이어스를 추정하는 프로시저는 보통 라벨 정정(label correction)이라고 불린다.
관심 파라미터의 함수로서의 선형 신호(예를 들어, 퓨필 이미지 등과 같이 도 7에 도시되는 입력(711))의 경우, 이러한 라벨 정정 문제점은 풀릴 수 없는 것이다. 그러므로, 신호, (예를 들어, 퓨필 이미지 및/또는 다른 입력(711)) 내의 비선형성을 활용하려는 접근법이 탐구되고 있다. 현재로는, 우리가 알기로는 신호 비선형성, 및/또는 신호-공간 내의 방향과 관련된 물리적 가정을 활용하는 공지된 접근법이 존재하지 않는다.
관심 신호(예를 들어, 입력(711))(예를 들어, 계측 플랫폼으로부터의 입력), 예컨대 오버레이에 의해서 초래된 반-대칭적 교차-편광된 퓨필 신호는, 모든 비대칭 파라미터가 동시에 음수일 경우 스택 파라미터화에 대해서 반-대칭적이다(기수-대칭 함수). 좀 더 구체적으로는, 신호는 그 외의 모든 비대칭 파라미터가 0인 경우에 0 주위에서 반-대칭적일 수 있다(기수-대칭 함수). 이러한 종류의 도메인 지식은 훈련 페이즈 도중에 모델(700)(도 7을 참조한다)에 임베딩될 수 있고, 이것이 모델(700)에 물리적 해석가능성(interpretability)을 추가한다. 더욱이, 대칭점이 중요한데, 그 이유는 이것이 모델의 파라미터화의 원점(영점)을 규정하기 때문이고, 이것은 적절한 정정된 라벨이 발견될 수 있도록 절대적 정확도를 교정하기 위해서 활용될 수 있다. 모델(700)은 이러한 이해 및 다른 물리적 이해를 활용하고 이것을 모델(700) 내에 임베딩하도록 구성된다. 이러한 예에서, 활용되는 일반적인 퓨필 속성은 다음과 같다:
여기에서 는 반대칭 정규화된 퓨필이고 및 는 비대칭 파라미터의 콜렉션이다.
도 10 및 도 11(및 도 7)에 도시된 모듈식 오토인코더 모델(700)을 참조하면, 이러한 예에서 (예를 들어, 입력(711))은 퓨필 이미지일 수 있고(편하게 표시하기 위해서 임), 는 이러한 퓨필 이미지를 인코딩하여(예를 들어, 하나 이상의 입력 모델(702) 및/또는 공통 모델(704)에 의하여) 압축된 표현 를 얻는데, 이것은 최종적으로 에 의해서 디코딩되어 근사화 퓨필 를 생성한다. 이러한 모델은 가 참 오버레이 를 근사화하는 방식으로 훈련되고, 즉 내의 원소 중 하나는 오버레이를 나타낸다. 자기-참조 타겟의 경우, 이러한 모델은 다음의 목적(예를 들어, 비용 함수)을 사용하여 훈련될 수 있다:
여기에서 참 오버레이는 로 설정되고, 공지된 라벨은 L이며 미지의 클러스터 바이어스는 B이다. 실무에서는, 클러스터 바이어스 B 를 선택하기 위한 일부 자유가 존재하기 때문에 이러한 접근법은 충분하지 않을 수 있다. 이것은 실질적으로 파라미터화의 원점 를 이동시키는 것이 되는데, 절대적 오버레이 추정이 소망되기 때문에 이것은 문제를 일으킬 수 있다. 이러한 모호성을 줄이기 위해서, 신호(예를 들어, 입력(711))의 대칭 속성을 디코딩 모델 (예를 들어, 공통 모델(704) 및/또는 하나 이상의 출력 모델(706))에 임베딩하는 다른 항이 이러한 목적(비용 함수)에 추가된다:
이것은 임의의 에 대해서 성립한다. 실무에서는, 임의의 에 대한 이러한 비용 함수의 최소화는 보장될 수 없지만, 프로세스 윈도우로부터의 포인트는 세 번째 항이 무작위로 큰 샘플에 대해서 작다는 것을 보장하도록 샘플링될 수 있다.
도 12는 인코딩된 입력(711)(도 7)의 공지된 속성을 집행하여 출력(713)(도 7)을 생성하는 것의 그래픽 해석을 예시한다. 공지된 속성은 입력(711, 및 출력(713)에 대한 잠재 공간(707) 내의 저차원 표현(도 7) 사이의 공지된 물리적 관계와 연관된다. 이러한 예에서, 공지된 속성은 공지된 대칭 속성(예를 들어, "대칭 사전지식(symmetry prior)")이다. 도 12는 이용가능할 수 있는 신호(예를 들어, 입력(711))의 샘플(도트(1201))을 예시하는데, 이것은 반도체 제조 및/또는 감지 프로세스(1202)의 진화를 (입력) 신호(1205) 대 파라미터(1207)의 그래프(1203)에서 열악하게 샘플링한다. 프로세스(1202)의 대칭에 대한 정보가 임베딩되지 않으면, 모델(700)은 최종적으로 도 12의 라인(1209)을 따라가는 파라미터(1207)를 추정 및/또는 예측할 수 있다. 라인(1209)이 데이터(도트(1201))를 매우 양호하게 근사화하지만, 이것은 샘플링된 범위를 많이 벗어나는 프로세스(1202)를 나타내지 않는다. 라인(1211)에 의해 표시되는 바와 같이 공지된 대칭 속성을 모델(700)(도 7) 내에 임베딩하면, 모델(700)이 훨씬 더 넓은 범위를 따라서 프로세스(1202)와 매칭되는 파라미터(1207)를 추정 및/또는 예측하게 된다. 더욱이, 앞에 언급된 바와 같이, 영점 교차(zero crossing; 1213) 또는 대칭점이 중요하다. 명백하게도, 이러한 예에서 데이터는 공지된 대칭 속성(사전지식(prior))를 추가한 이후에 모델(700)의 참인 원점에 훨씬 더 가까워진다.
도 13은 모듈식 오토인코더 모델(700)(도 7에 도시됨)을 반지도 학습을 위해서 적용하는 것을 예시한다. 예를 들어, 이것은 디바이스-내 계측을 위한 및/또는 다른 애플리케이션을 위한 것일 수 있다. 광계측 플랫폼(예를 들어, 장치, 툴 등)은 흔히 반도체 웨이퍼 상의 구조체의 물리적 파라미터를 대응하는 퓨필 이미지로부터 추론하도록 구성된다. 광계측 플랫폼과 연관된 모델이 보통 훈련되고, 그 후에 추론(예를 들어, 관심 파라미터의 추정 및/또는 예측)을 위해서 사용된다. 훈련 도중에, 훈련 퓨필(training pupil)이 획득되고 자기-참조 타겟을 사용하거나 임계 치수 스캐닝 전자 현미경(SEM) 데이터를 사용하여 라벨링된다. 이러한 라벨링된 퓨필로부터, 퓨필로부터 라벨로의 매핑이 모델에 의해 학습되고, 이것이 이제 추론 도중에 적용된다. SEM 데이터는 흔히 획득하기가 비싸기 때문에, 라벨링된 퓨필의 이용가능성은 제한된다. 이것은 SEM 측정이 반도체 스택에 파손을 줄 수 있다는 사실에 부분적으로 기인하고, 또한 이것이 느린 계측 기법이기 때문이다. 그 결과, 제한되지만 고가인 훈련 데이터 세트만이 이용가능하게 된다.
퓨필 이미지는 많은 수의 픽셀로 이루어진다. 현재로는, 훈련 단계가 이러한 고차원 신호(예를 들어, 도 7에 도시되는 입력(711))으로부터 하나 또는 여러 관심 파라미터(들)(예를 들어, 도 7에 도시되는 715)로의 매핑을 학습하는 것을 수반한다. 신호의 차원수가 많기 때문에 많은 개수의 훈련 이미지가 필요하고, 이것은 많은 개수의 SEM 측정치도 역시 요구된다는 것을 의미한다. 신호 노이즈에 대해서 살펴보면: 스택 응답 신호는 관측결과가 노이즈에 의해 오염되면 고차원이 되는 저차원 공간에 걸쳐 있다(노이즈는 전체 공간에 걸쳐 있음). 노이즈는 스택에 관련된 임의의 정보를 운반하지 않고, 따라서 섭동으로서의 역할만을 한다. 이것이, 노이즈 필터로서의 역할도 하면서 스택 기여도의 저차원 표현을 학습하기 위해서 오토-인코더 구조체가 사용될 수 있는 이유이다. 프로세스는 스택 응답을 사소하지 않은 방식으로 변경하고, 따라서 프로세스 윈도우 전체에 걸친 파라미터의 거동을 학습할 수 있으려면 프로세스 윈도우 내의 많은 위치를 샘플링할 필요가 있다.
하나의 예시적인 입력인 퓨필 이미지(예를 들어, 입력(711))는 낮은 신호 복잡도를 가진다. 이것은 반도체 스택이 제한된 세트의 물리적 파라미터를 사용하여 기술될 수 있다는 사실에 기인한다. 바람직하게는, 모델(700)은 상이한 훈련용 데이터세트를 사용하여 두 개 이상의 스테이지 내에서 훈련되도록 구성된다. 일부 실시형태들에서, 퓨필 이미지 신호 및/또는 다른 입력(711)은 비지도된 방식으로 압축되어, 퓨필(또는 사용되는 임의의 입력)으로부터 임의의, 저차원 하부공간(예를 들어, 도 7에 도시되는 잠재 공간(707))으로의 매핑을 제공한다. 그 다음에, 더 적은 수의 퓨필 및/또는 다른 입력(711)을 사용하여, 저차원 하부공간으로부터 관심 파라미터(들)로의 매핑이 학습된다. 이것은 감소된 개수의 타겟을 사용하여 수행될 수 있는데, 그 이유는 매핑이 더 간단하기 때문이고(차원수가 더 적음), 이것은 전술된 문제를 완화시키는 것을 돕게 된다. 이것은 반지도 학습의 애플리케이션이라고 여겨질 수 있다. 압축 단계(1301) 이후에 임베딩(1303), 회귀 단계(1305), 및 추론(1307)(예를 들어, 도 7에 도시되는 파라미터(715)를 결정함)이 후속하는 일반적인 개념이 도 13에 도시된다. 도 13에서 역시 도시되는 바와 같이, 압축 단계는 라벨링되지 않은(1311) 데이터세트에서 훈련되고, 회귀 단계는 더 작은, 라벨링된(1313) 데이터세트에서 훈련된다.
도 13(및 도 7 및/또는 다른 도면)에 도시된 구조체를 훈련시키기 위한 두 가지 일차적인 접근법들이 구별될 수 있다. 우선, 모델(700)의 컴포넌트(예를 들어, 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708))이 별개로, 순차적인 방식으로 훈련될 수 있다. 두 번째로, 이들이 동시에 훈련될 수 있다. 모델(700)의 컴포넌트가 순차적으로 훈련되면, 임의의 비지도식 차원수 감소 기법이 압축을 위해서 적용될 수 있다. 예를 들어, 선형 기법(주성분 분석(principal component analysis; PCA), 독립 성분 분석(independent component analysis; ICA), …) 또는 비선형 기법(오토인코더, t-분산 확률적 이웃 임베딩(t-distributed stochastic neighbor embedding; t-SNE), 균일한 매니폴드 근사화 및 투영(uniform manifold approximation and projection; UMAP), …)이 사용될 수 있다. 압축 단계 이후에, 임베딩에 임의의 회귀 기법(예를 들어, 선형 회귀, 신경망, …)이 적용될 수 있다. (예를 들어, 두 개 이상의) 컴포넌트를 동시에 훈련할 때, 양자 모두의 단계를 위해서 신경망이 사용될 수 있다. 이것은, 대부분의 비지도식 학습 기법이 이러한 반지도식 구조체에 맞춰 수정되기에 양호하게 적합하지 않기 때문이다. 압축 단계에서 오토인코더가 사용될 수 있고, 예를 들어 순방향 신경망이 회귀 단계에서 사용될 수 있다. 이들은, 회귀 단계가 데이터세트의 라벨링된 요소에 대해서만 훈련되는 반면에(즉 페널티처리됨), 압축 단계는 데이터세트의 임의의 요소에 대해서 훈련되게 하는 방식으로 최적화 목표(비용 함수)를 선택함으로써 동시에 훈련될 수 있다.
일부 실시형태들에서, 모듈식 오토인코더 모델(700)(도 7)은 재귀적 딥러닝 오토인코더 구조체를 포함하도록 구성된다. 도 14 및 도 15는 이러한 구조들의 예를 도시한다. 예를 들어, 반도체 디바이스를 위한 광계측에서, 웨이퍼 상의 피쳐는 편광된 광을 사용하여 여기되고, 그 응답(원시 산란된 광 세기 및/또는 위상)이 주어진 피쳐의 관심 파라미터를 추론/측정하기 위해서 사용된다. 두 가지 클래스의 방법이 파라미터 추론을 위해서 흔히 적용된다. 전술된 바와 같이, 데이터-드리븐 접근법은 상당량의 수집된 측정치 및 퓨필을 관심 파라미터로 매핑하는 단순화된 모델에 의존하는데, 라벨은 웨이퍼 상의 조심스럽게 설계된 타겟을 통해서 또는 제 3 자 측정치로부터 획득된다. 제 2 클래스는 센서 하에서의 타겟 응답을 명시적으로(예를 들어, 존스 모델(Jones model)을 사용함) 모델링한다. 이러한 클래스는 측정치를 가장 최선으로 근사화하는 스택 파라미터화를 결정하기 위해서 물리적 모델, 전자적, 및/또는 물리적 / 전자적 하이브리드 접근법을 사용한다.
오토인코더는 데이터-드리븐 방법(본 명세서에서 설명된 바와 같음)을 위해서 사용될 수도 있다. 이들은 더 풍성한 모델을 생성하고, 복잡한 파라미터 추론을 역시 수행하는 동안에 복잡한 신호(입력)를 모델링할 수 있다는 장점을 가진다. 오토인코더 모델을 변동형 베이지안 사전지식(예를 들어, 입력에 대해서 알려진 속성)과 커플링하여 잠재 공간(즉 오토인코더 내의 보틀넥의 차원수 감소 공간) 및 결과적으로 얻어지는 생성형 모델의 연속성을 보장하는 것도 역시 가능하다. 이러한 개념의 개략적인 표현이 도 7, 도 11 등에 표시되고 본 명세서에서 설명된다.
도 14는 전술된 개념을 따른다. 여러 채널(Ich1, …, Ichi)에 걸친 세기들의 세트를 포함하는(이러한 예에서) 입력(711)으로부터 콤팩트한 표현 c로의 매핑이 인코딩 계층(예를 들어, 하나 이상의 입력 모델(702), 및/또는 공통 모델(704))에 의해서 수행된다. 콤팩트한 표현 c(예를 들어, 잠재 공간(707) 내의 표현)으로부터 다시 세기 공간 , 예를 들어 출력(713)으로 돌아가는 이것의 반전은, 디코딩 계층(예를 들어, 공통 모델(704) 및/또는 하나 이상의 출력 모델(706))에 의해서 수행된다. 그러면, 많은 수(수 천 개의 범위에 속함)의 픽셀로부터 관련된 정보를 추출하고, 예를 들어 이것을 수 십 개의 파라미터의 공간으로 압축하도록 구성되는 모델(예를 들어, 모듈식 오토인코더 모델(700))이 생성된다. 이러한 압축된 표현으로부터, 관심 파라미터 로의 링크(예를 들어, 예측 모델(708)에 의함)이 이루어진다.
모델(700)은 표현 c가 연속이 되고 포인트 추정이 아니게 되도록, 잠재적인 표현 c에 적용되는(c가 주어진 분포, 예를 들어 다변량 가우시안을 따라가는 것을 보장하기 위함) 베이지안 사전지식(Bayesian prior)(예를 들어, 입력에 대한 공지된 속성)으로써 훈련될 수 있다. 효과적으로, 이러한 사전지식도 역시 수학적으로 인코딩하여, 파라미터화 c에서의 작은 변화는 추정된 세기 내의 유사하게 작은 변화에 의해서 반영될 필요가 있게 된다. 따라서, 주어진 입력(711)인 에 대해서, 잠재 공간의 특정 파라미터화가 획득되고, Ichk와 거의 같은 주어진 추정치 , 및 잠재 공간에서의 임의의 변화 는 추정치 에서의 비례하는 변화에 의해서 반영되어야 한다. 연속적인 잠재 공간을 생성하는 이러한 매핑은 모델(700)과 같은 모델이 데이터를 분류하는 것을 효과적으로 학습하지 못하게 할 수 있는데, 이것은 이산 잠재 공간을 가지는 신경망이 흔히 겪게 되는 문제점이다.
특히 변동형 사전지식(입력에 대한 공지된 속성)이 사용된다면, 모델(700)과 같은 오토인코더 모델 내의 디코딩 계층(예를 들어, 공통 모델(704) 및/또는 하나 이상의 출력 모델(706))은 신호(입력)의 특성화(characterizatino)를 연속적인 생성형 방식으로 제공할 수 있고, 양호하게 일반화할 수도 있다(잠재 공간으로부터 퓨필 공간으로). 일부 실시형태들에서, 사전지식은 잠재 공간의 분포를 정칙화하기 위해서 사용되고, 주로 모델의 생성형 부분에 영향을 준다. 이것은 모델의 매니폴드 압축부(하나 이상의 입력 모델(702) 및/또는 공통 모델(704)에 의해 형성된, 퓨필 공간으로부터 잠재 공간으로의 인코더)에는 상당한 방식으로 영향을 주지 않는다. 이와 같이, 모델(700)은 직접적인 파라미터 추론을 위해서 적용될 경우에 일반화 능력의 관점에서는 차선적일 수 있는데, 그 이유는 모델(700)의 인코더부가 연속적인 입력 공간을 고려하도록 훈련되지 않을 수 있기 때문이다(비록 모델(700)이 이러한 방식으로 훈련될 수 있고 및/또는 훈련되지만).
일부 실시형태들에서, 모델(700)은 인코딩 계층(702, 704) 및 디코딩 계층(704, 706) 양자 모두의 훈련이 잠재 공간 c(예를 들어, 707) 상에 배치된 하나 이상의 변동형 사전지식(입력에 대한 종래의 지식)으로부터 혜택을 받는 재귀적 모델 스킴을 포함한다. 도 14에서, 모델(700)의 인코딩부(702, 704)는 잠재 공간(707)의 파라미터화 c로 매핑하는 함수 를 포함한다. 이와 유사하게, 디코딩부(704, 706)는 이러한 기능의 역함수 의 근사화인 것으로 여겨질 수 있다. 잠재 공간(707) 상에 배치된 변동형 사전지식(예를 들어, 입력에 대한 종래의 지식)은 모델(700)이 포인트 추정이 아니라 잠재 변수 각각에 대한 분포를 학습하는 것을 보장한다. 이와 같이, 모델(700)은 잠재적인 분포가 주어지면 출력 데이터의 분포도 학습한다.
일부 실시형태들에서, 모델(700)은, 변동형 스킴(c에서의 작은 변동을 예측된 세기 에서의 작은 변동으로 매핑하는 연속적인 잠재 공간을 생성할 수 있음)을, 인코딩부 f가 세기 , 예를 들어 입력(711)에서의작은 변화를 잠재적인 표현 c에서의 유사한 변화로 매핑할 수 있는 방식으로 사용하도록 구성된다. 이것은, 모듈식 오토인코더 모델(700)을 재귀적인 방식으로 훈련시켜서, 생성된 출력(713), 예를 들어 세기 추정 가 동일한 모델(700)로의 입력(711)으로서 통과되면, 유효한 잠재적인 표현 c 및 유효한 디코딩된 출력(713)(예를 들어, 세기 추정)을 생성하는 것을 보장함으로써 수행될 수 있다.
도 15는 이러한 재귀적 스킴의 언폴딩된 버전을 예시한다. 이러한 스킴은 임의의 개수의 리커젼 패스(recursion pass)에 대해서 확장될 수 있다. (이러한 재귀적 스킴이 도 10 및 도 11과 관련하여 설명된 반복적 동작과 다르다는 것에 주의한다.) 도 15는 동일한 모델(700)을 통과하는 두 개의(또는 일반적으로, r 개의) 별개의 패스를 포함하는 모델(700)을 예시한다. 제 1 패스는 데이터의 측정된 물리적 실현(physical realization)을 취하고, 이것을 잠재 공간 내의 주어진 분포로 매핑한다. 잠재 공간의 이러한 분포로부터, 출력 추정 를 생성하도록 사용되는 샘플들이 유도될 수 있다. 그러면, 출력 추정에 대한 이러한 샘플이 모델(700)을 다시 통과하여 합성 입력으로서 전달되어, 모델(700)의 인코더부(702, 704)가 이들을 잠재 공간(707) 내의 유사한 분포로 매핑하게 보장한다.
통상적으로, 도 15에 도시되는 모델(700)의 언폴딩된 실시형태의 훈련을 위해서는, 전통적인 (변동형) 오토인코더(도 15의 1500 참조)에 대해서 사용되는 것과 동일한 입력-출력 비용 함수(1500)가 사용될 수 있다. 비용 함수(1500)에서, g는 변동형 사전지식을 인코딩하는 정칙화 항이고, o는 우리가 주어진 놈 p 내에서 예측 을 찾고자 하는 주어진 관심 파라미터 라벨이다. 리커젼들 사이의 데이터의 내부 상태를 링크시킴으로써, 더 정제된 비용 함수가 훈련을 위해서 고안될 수도 있다. 이것은 도 15에 도시되는 비용 함수(1502), 및/또는 그 외의 비용 함수를 포함할 수 있다.
비록 본 명세서의 설명이 흔히 (하나의) 잠재 공간을 언급하지만, 이것은 한정되는 것으로 간주되어서는 안 된다는 것에 주의해야 한다. 본 명세서에서 설명된 기법들은 0이 아닌 임의의 개수의 잠재 공간과 함께 및/또는 잠재 공간에 적용될 수 있다. 하나 이상의 잠재 공간은 직렬적으로(예를 들어, 데이터를 분석하고 및/또는 제 1 예측을 수행한 이후에 제 2 예측을 수행하는 등을 위함), 병렬적으로(예를 들어, 데이터를 분석하고 및/또는 예측을 동시에 수행하기 위함), 및/또는 다른 방식으로 사용될 수 있다.
일부 실시형태들에서, 본 명세서에서 설명되는 동작 중 하나 이상은 하나 이상의 특정한 방법으로 조합될 수 있다. 이러한 방법들 중 하나의 일 예가 도 16에 도시된다. 도 16은 파라미터 추정을 위한 방법(1600)을 예시한다. 방법(1600)은 파라미터 추정 및/또는 예측을 위하여 모듈식 오토인코더 모델(예를 들어, 도 7에 도시되되 본 명세서에서 설명되는 모델(700))을 훈련하는 것(1602)을 포함한다. 이것은 모델, 추론, 및/또는 다른 동작의 컴포넌트들을 프로그래밍하는 것을 포함할 수 있다. 훈련은, 예를 들어 본 명세서에서 설명되는 동작 중 하나 이상을 사용하여 수행될 수 있다. 방법(1600)은 모듈식 오토인코더 모델의 하나 이상의 입력 모델(예를 들어, 702)을 사용하여, 하나 이상의 입력(예를 들어, 711)을 처리하여(1604) 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨이 되게 하는 것을 포함한다. 방법(1600)은 모듈식 오토인코더 모델의 공통 모델(예를 들어, 704)을 사용하여, 처리된 입력들을 조합하고(1606), 잠재 공간 내의 저차원 데이터를 생성하도록, 조합된 처리된 입력들의 차원수를 감소시키는 것을 포함한다. 잠재 공간 내의 저차원 데이터는 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가진다. 방법(1600)은 공통 모델을 사용하여, 잠재 공간 내의 저차원 데이터를 하나 이상의 입력의 하나 이상의 확장된 버전이 되도록 확장하는 것(1608)을 포함한다. 하나 이상의 입력의 하나 이상의 확장된 버전은 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가진다. 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력(예를 들어, 713)을 생성하기에 적합하다. 방법(1600)은 모듈식 오토인코더 모델의 하나 이상의 출력 모델(예를 들어, 706)을 사용하여, 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하는 것(1610)을 포함한다. 하나 이상의 상이한 출력은 하나 이상의 입력의 근사화이다. 하나 이상의 상이한 출력은 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가진다. 방법(1600)은 모듈식 오토인코더 모델의 예측 모델(예를 들어, 708)을 사용하여, 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하는 것(1612)을 포함한다.
본 명세서에서 설명되는 다른 동작은 별개의 방법을 형성할 수 있고, 또는 이들은 방법(1600)의 하나 이상의 단계(1602-1612) 내에 포함될 수도 있다. 본 명세서에서 설명되는 동작은 예를 들기 위한 것이다. 일부 실시형태들에서, 방법은 설명되지 않은 하나 이상의 추가적 동작을 포함하고, 및/또는 설명된 동작 중 하나 이상을 포함하지 않고서 달성될 수 있다. 또한, 주어진 방법의 동작이 조합되고 그 외에 본 명세서에서 설명되는 순서는 한정하려는 의도가 아니다. 일부 실시형태들에서, 주어진 방법의 하나 이상의 부분은 하나 이상의 처리 디바이스(예를 들어, 하나 이상의 프로세서) 내에서 구현될 수 있다(예를 들어, 시뮬레이션, 모델링 등에 의하여). 하나 이상의 처리 디바이스는 전자적 저장 매체에 전자적으로 저장된 명령에 응답하여 본 명세서에 설명된 동작 중의 동작들 중 일부 또는 전부를 실행하는 하나 이상의 디바이스를 포함할 수 있다. 하나 이상의 처리 디바이스는, 예를 들어, 주어진 방법의 동작 중 하나 이상을 실행하도록 특수하게 설계될 하드웨어, 펌웨어, 및/또는소프트웨어를 통해서 구성되는 하나 이상의 디바이스를 포함할 수 있다.
본 명세서에서 설명되는 원리(예를 들어, 프로세스 정보를 예측 및/또는 그렇지 않으면 결정하기 위하여, 훈련된 파라미터화된 모델 내의 잠재 공간의 상대적으로 더 낮은 차원수를 활용하는 것)은 많은 추가적 애플리케이션을 가질 수 있다(예를 들어, 전술된 애플리케이션에 추가하고 및/또는 그 대신에). 예를 들어, 본 발명의 시스템(들) 및 방법(들)은 동일한 측정되거나 이미징되는 타겟에 대해서도 다를 수 있는 상이한 프로세스 센서 및/또는 툴로부터의 데이터를 조화(harmonize)시키기 위해서 사용될 수 있다. 다른 예로서(그 외의 가능한 많은 예 중에서), 모듈식 오토인코더 모델(예를 들어, 도 7에 도시되고 본 명세서에서 설명되는 모델(700))은 틸트 추론(및/또는 추정, 예측 등)을 위해서 웨이퍼 레벨 사전지식 및/또는 다른 정보를 사용하도록 구성될 수 있다.
도 17은 웨이퍼(기판)(1704) 상의 단일 격자(1702)에 대한, 식각기-유발 틸트(1700)의 일 예를 도시한다(틸트가 거의 없거나 틸트가 없는 영역(1701) 및 최대의 절대적 틸트가 있는 영역(1703)을 포함함). 도 17은 물리적 웨이퍼 거동의 일 예를 도시한다. 도 17은 수직 웨이퍼(1704) 방향에 대한 전기장 굽힘의 일 예(1706a, 1706b)를 도시한다. 도 17은 전기장 방향(1708), 틸트 불변 방향(1710), 및 격자 틸트 양(1712)을 예시한다. 1714에서, 도 17은 전기장 내의 틸트/굽힘이 에칭에 의존하여 피쳐 틸트에 어떻게 영향을 주는지를 표시한다. 편차가 격자(1702)와 정렬되면, 영향이 거의 없거나 없게 된다. 이러한 예에서, 최대인 절대적 틸트의 영역(1703)은 웨이퍼(1704)의 에지에서 또는 그 근처에서 생긴다.
흔히, 완전 비지도식 주성분 분석(PCA) 접근법이 틸트 추론(예를 들어, 웨이퍼(1704)의 에지에서의 틸트를 추정 또는 예측함)을 위해서 사용된다. 원시 퓨필 측정치들이 여러 선형 기반 요소들 위로 투영되고, 이들 중 하나가 기대된 틸트 거동에 기반하여 틸트 신호를 나타내는 것으로서 수동 선택된다. 그러면, 선택된 기반 요소 상으로의 신호의 투영으로부터 초래된 계수들이 지수형 모델(예를 들어, 방사 좌표 - 극좌표에서 지수형임)에 근사화되어, 틸트와 연관되는 것으로 기대되는 신호 성분을 추출하고 그 외의 가능한 성분을 저지한다. 가끔, 반전 문제(CD 재구성과 유사함)에 의존하는 전체 프로파일 계측도 틸트 추론을 위해서 사용될 수 있다. 이러한 접근법을 사용하면, 물리적 모델이 구성되고 파라미터화된 스택 신호가 전자기 솔버(electromagnetic solver)를 사용하여 추정된다. 최선의 근사화를 보장하고, 따라서 틸트 추정을 생성하는 파라미터화를 찾기 위해서 최적화 문제가 풀려진다.
바람직하게는, 본 발명의 모듈식 오토인코더 모델(예를 들어, 도 7에 도시되는 700)은, PCA 기반 방법에 의해서 사용되는 미통보 접근법(uninformed approach) 대신에, 또는 함께, 또는 추가하여 통보된 분해(informed decomposition)가 수행되는 것을 보장하기 위해서 웨이퍼 사전지식이 사용되도록 구성될 수 있다. 모듈식 오토인코더 모델은, 예를 들어 웨이퍼에 걸쳐서 (모델링된) 반경방향 거동을 유발하는 에칭 챔버내의 플라즈마의 거동을 인코딩하도록 구성될 수 있다. 이것은 웨이퍼 에지에서의 전기장의 굽힘 및/또는 다른 인자에 기인한다. 이러한 반경방향 효과는 특정 구조에 의존하는 거동과 함께 스택 피쳐 상으로 투영된다. 예를 들어, 무한 격자의 경우, 웨이퍼에 수직인 것에 기반하여, 그리고 격자 배향에 기반하여 전기장 굽힘 방향에 대하여 정현파 변동이 기대된다). 이것은 격자의 법선 벡터(이것은 xy-평면 내의 1710에 대한 법선 벡터("격자 틸트 양")임) 상으로의 투영으로 해석될 수 있고; 격자에 직교하면 최대이고 격자와 평행하면 최소이다. 도 17 이 다양한 개념을 전달하도록 의도되는 일 예이고, 여기에서 다양한 피쳐들이 도시된 것과 달라질 수 있지만 여전히 본 명세서에서 설명되는 개념에 대응한다는 것에 주의한다(예를 들어, 에칭 전기장 굽힘은 다소 과장될 수 있음).
도 18은 사전지식(모델(1800)을 통함)를 모듈식 오토인코더 모델(700) 상에 부과하는 것(1801)의 개략도를 도시한다. 좀 더 구체적으로는, 도 18은 모듈식 오토인코더 모델(700) 상에 사전지식을 부과하기 위하여, 라벨을 생성하기 위한 상호연결 구조체의 개략도를 도시한다. 사전지식은, 예를 들어 특정한 웨이퍼 및/또는 패터닝 프로세스 변수에 대한 공지된 값, 목표 값, 및/또는 그렇지 않으면 미리 결정된 값일 수 있고 및/또는 이들을 포함할 수도 있다. 사전지식을 부과하는 것은, 모델이 특정 규칙 및/또는 기대치에 따라서(예를 들어, 종래의 지식 및/또는 물리적인 이해에 기반하여) 거동하는 것을 보장하는 것을 포함할 수 있다. 이러한 종류의 지식은 보통 데이터로부터 학습되지 않을 수 있고, 따라서 사전지식을 부과하면 추가적인 지식이 모델에 효율적으로 추가될 수 있다.
도 18에서, 모델(1806)은 모델(708)(전술됨) 주어진 예시적인 실시형태라는 것에 주의한다. 일반적으로, 모델(1806)은 잠재 공간(예를 들어, 707)을 이러한 예에서는 틸트인 출력에 연결시키는 블록을 포함한다(도 18에 도시되는 바와 같은 모델(1806)의 출력에 연결시킬 수 있지만, 모델(1806)은 임의의 일반적인 예측 모델일 수 있음). 출력은 사전지식에 의해서 인코딩될 수 있는 신호들의 클래스에 속하도록 제약된다. 모델(1800)의 출력이 허용된 신호들의 클래스에만 속할 수 있는 반면에, 1806의 출력은 이러한 스테이지에서 자유롭다는 것에 주의한다.
훈련 도중에, 본 발명의 시스템 및 방법은 모델(1800)의 출력을 근사화하도록 모델(1806)의 출력을 훈련시킴으로써, 모델(1806)의 출력이 적절한 클래스에 속하는 것을 보장하도록 구성된다. 이러한 상황에서, 모델(1800)은 가능한 신호들의 클래스 내의 임의의 허용가능한 신호를 모델링하도록 훈련될 수 있다. 모델(1806)의 출력이 모델(1800)의 출력을 근사화하는 것을 보장함으로써, 본 발명의 시스템 및 방법은 정보(700으로 제공되는 정보)가 인코딩된 정확한 정보를 판정하기 위해서 사용될 수 있도록 여전히 허용하면서, 모델(1806)로부터의 출력이 관심 신호들의 클래스에 속하는 것을 보장한다. 이것은, 모델(1800)의 출력이 특정 데이터를 모델링하도록 변경될 수도 있기 때문에 가능해진다(이러한 변경이 가능한 신호들의 클래스 내에 있기만 하면).
일부 실시형태들에서, 모듈식 오토인코더 모델(700)은 잠재 공간(707) 내의 저차원 데이터 중 적어도 일부에 대한 라벨(1804)을 생성하도록 구성된 하나 이상의 보조 모델(1802)(모델(1802a … 1802n)을 포함함)을 포함한다. 파라미터(715)(예를 들어, 예컨대 틸트 및/또는 다른 파라미터)의 추정(예를 들어, 예측, 추론 등)을 위하여, 라벨(1804)은 1806에서(또는 좀 더 일반적으로는 예측 모델(708-1806)에 의해 예측 모델의 출력에서 또는 잠재 공간 내의 엔트리에서) 사용되도록 구성된다. 일부 실시형태들에서, 라벨(1804)은 잠재 공간(707) 및/또는 예측 모델(708)의 출력(예를 들어, 파라미터(715)의 추정) 상에 거동(예를 들어, 하나 이상의 독립 변수에 기반한 거동)을 부과하기 위해서 모듈식 오토인코더 모델(700)에 의해 사용되도록 구성된다. 거동은 가능한 신호들(예를 들어, 이러한 예에서는 틸트 신호이지만 임의의 개수의 그 외의 가능한 신호도 고찰됨)의 클래스와 연관된다. 예측 모델이 도 18에서 1806에 의해 표시되는 바와 같은 간단한 마스크이라면, 잠재 공간의 부분들은 서브-선택될 수 있고, 거동이 잠재 공간 상에 직접적으로 부과될 수 있다. 예측 모델에 대해서 상이한 모델(예를 들어, 상이한 모델(708))이 사용되면, 부과된 거동이 예측 모델(예를 들어, 상이한 모델(708))의 출력에 추가되고, 잠재 공간으로의 링크는 이것이 예측 모델을 통해서 역방향으로 진행하기 때문에 덜 간단해진다(less straightforward).
일부 실시형태들에서, 하나 이상의 보조 모델(1802)은 하나 이상의 웨이퍼 모델을 포함한다. 웨이퍼 모델은 잠재 공간(707) 상에 소망되는 거동을 부과하는 훈련가능한 모델을 나타낸다. 그러면, 모듈식 오토인코더 모델(700)의 하나 이상의 모델(예를 들어, 702, 704, 705, 709, 706, 708, 및/또는 1802)의 훈련 도중에, 에칭 프로세스(이러한 예에서)의 물리적 지식 및 그것의 스택과의 상호작용에 대한 물리적 지식을 포함시키는 것이 용이해진다. 본 명세서에서 설명된 바와 같이, 이러한 모델은 신경망, 그래프 모델, 및/또는 기대된 물리적 거동(이러한 예에서는 반경방향 및 정현파 틸트 거동)을 모델링하도록 제약되는 그 외의 모델일 수 있다.
일부 실시형태들에서, 하나 이상의 웨이퍼 모델(예를 들어, 보조 모델(1802))은 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된다. 이러한 예에서, 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고, 및 생성된 라벨(1804)은 틸트에 대응하도록 미리 규정된 잠재 공간(707) 내의 차원 데이터에 커플링되어, 웨이퍼 사전지식에 기반한 통보된 분해가 모듈식 오토인코더 모델(700)에 의해 수행되게 한다.
일부 실시형태들에서, 하나 이상의 웨이퍼 모델(예를 들어, 하나 이상의 보조 모델(1802))로의 입력은, 신호들(이러한 예에서는 틸트 신호)의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함한다. 하나 이상의 웨이퍼 모델(예를 들어, 보조 모델(1802))로의 입력은 웨이퍼 반경(1810)(r) 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 (격자-웨이퍼) 각도, 및/또는 다른 정보를 포함할 수 있다. 웨이퍼 상의 패턴과 연관된 제 2 각도(1812)(φ)도 웨이퍼 ID, 및/또는 다른 정보와 함께 사용될 수 있다. 이러한 각도는 웨이퍼 상의 패턴의 배향과 연관된 극좌표 각도 및 상수 위상 양자 모두로 구성된다.
도 17에서, 웨이퍼에 대해서 주어진 격자 배향이 도시된다. 그러면 최대 틸트가 기대되는 광역 회전이 결정된다. 그러면, 이러한 광역 회전과 함께 웨이퍼 상의 실제 위치에 기반하여, 본 발명의 시스템은 웨이퍼 상의 상이한 위치 및 틸트에 대한 값 사이의 관계를 규정할 수 있다. 1702 로부터의 각도가 변하면, 전체 이미지(1700)는 회전한다. 이제, 1700 내의 두 개의 상이한 위치에서, 틸트 관계는 이러한 광역 회전을 역시 고려하면서, 위치들의 각도에 기반한다.
도 18에 도시된 바와 같이, 라벨(1804)이 웨이퍼에 걸친 잠재 파라미터와 매칭되도록, 하나 이상의 적합한 보조 모델이 선택되고(예를 들어, 프로세서에 의하여)(1820) 입력에 기반하여 사용될 수 있다. 이러한 예에서, 사인과 유사한 거동이 기대되기 때문에 사인 함수가 사용된다. 틸트 사전지식 모델은, 이러한 예에서는 두 개의 입력인 반경 r 및 각도 파이(phi)를 가진다. 이러한 각도(이러한 예에서의 각도)가 웨이퍼와의 격자 정렬(도 17의 1702 참조) 및 웨이퍼 상의 위치(예를 들어 1706a)에 관련된 각도에 의해서 결정되는 상수 각도의 합이다. 본 발명의 모델은, 플라즈마의 틸트가 웨이퍼의 XY-평면 내에서의 격자 배향에 완전히 직교하는 경우에 대해서 최대 틸트 값 (즉 1820)을 생성하는, 반경방향 거동을 위한 모델인 것으로 여겨질 수 있다. 이러한 값은 틸트 사전지식의 반경방향 컴포넌트를 나타낸다. 이러한 컴포넌트는 격자와의 플라즈마 정렬에 의존하여 스택 틸트와 연관될 수 있는데(를 통하여), 그 이유는 정렬이 웨이퍼 상의 위치에 의존하여 달라지기 때문이다. 틸트에 대한 모델이 구축된 이후에, 이것이 오토-인코더와 커플링될 수 있다(1804에서).
sin 투영의 선택으로부터 시작되고 도 18에 표시된 수학식인 sin(φ)l은, 예를 들어 에칭에 의해 유발된 틸트에 대한 모델로부터 대두된다. 격자에 대한 에칭 플라즈마로부터의 이온의 주어진 정렬을 예시하는 위치(1706a)(도 17)를 고려한다. 이것이 격자에 대한 직교 방향 상으로의 플라즈마 굽힘의 투영에 비례하여 틸팅된다는 점에서, 이것은 격자의 틸트에 영향을 준다. φ의 적합한 정의가 제공되면, 이것은 sin(φ)l에 의해서 모델링될 수 있다. 이러한 투영에 기인한 틸트는, 예를 들어 sin(φ) = 0일 경우에 0이 된다(도 17의 1714 참조). 이러한 상황에서, 플라즈마는 여전히 굽혀져 있고, 이것은 단지 격자 틸트를 초래하지 않는다.
틸트 추론을 위한 이러한 예시적인 입력은 한정하려는 의도가 아니다. 다른 입력들이 존재할 수도 있다. 예를 들어, 다른 틸트 유발 인자는 웨이퍼 스트레스일 수 있다. 일부 실시형태들에서, 패턴 피쳐 밀도는 틸트에 대한 위치 기반 파라메트릭 웨이퍼 맵 모델에 영감을 주기 위해서(inspire) 사용될 수 있다. 그러나, 동일한 타입의 구조가 결과적으로 얻어지는 상이한 보조 모델과 함께 적용된다. 집행될 수 있는 다른 가능한 예시적인 거동은 틸트가 발생되고 있는 웨이퍼 상의 위치, 즉 웨이퍼 에지에서의 위치에 관련된다. 보조 모델(1802n)은 웨이퍼의 내부에서 틸트 신호에 대하여 작거나 0인 값을 보장하도록 구성될 수 있다(훈련됨). 에칭 챔버 사용의 지식은 틸트 거동 및/또는 크기에 링크될 수 있는(그리고 보조 모델(1802n)이 되도록 훈련될 수 있는) 다른 타입의 예시적인 정보로서의 역할을 할 수 있다. 이러한 정보가 있으면, 전기장을 제어하는 연령(age)(예를 들어, RF 시간) 또는 식각기 설정(예를 들어, 링 높이, DC 전압 등)이, 예를 들어 유발된 에칭 틸트 내의 단조로운(monotonical) 변동과 상관될 수 있다.
앞에서 제공된 도면 18의 이러한 설명이 한정하려는 것이 아님에 주의해야 한다. 예를 들어, 상이한 애플리케이션에 대한 상이한 입력이 존재한다. 전술된 바와 같이, 틸트 관련 입력은 에칭 챔버 사용, 격자 배향, 반경방향 변동, 원주의 (정현파) 변동, 패턴 피쳐 밀도, 및/또는 다른 스택 정보와 연관될 수 있다. 그러나, 입력(또는 사전지식)(틸트 및/또는 임의의 다른 애플리케이션에 대한 입력)은, 하나 이상의 관심 파라미터(715)와 연관된 형상, 기하학적 정보, 및/또는 다른 정보(예를 들어, 추출될 임의의 정보)를 추론, 추정, 예측, 또는 그렇지 않으면 결정하기 위해서 사용될 수 있는 임의의 데이터인 것으로 일반적으로 여겨질 수 있다. 하나 이상의 보조 모델(1802)로의 입력의 다른 타입의 예들은 퓨필 데이터, 슬릿 형상에 관련된 데이터 등을 포함한다.
다른 예로서, 전술된 것들보다 많거나 적은 보조 모델(1802)이 모듈식 오토인코더 모델(700) 내에 포함될 수 있고, 및/또는 보조 모델(1802)은 도 18에 도시되는 것과 다르게 배치될 수도 있다. 예를 들어, 하나 이상의 보조 모델(1802)은 모듈식 오토인코더 모델(700) 내의 하나 이상의 다른 모델(예를 들어, 인코더부(705)) 내에 임베딩될 수도 있다. 제 3 예로서, 예측 모델(708)은 두 개 이상의 개별적인 모델에 의해서 형성될 수 있다. 일부 실시형태들에서, 예측 모델(708)은 하나 이상의 예측 모델을 포함하고, 하나 이상의 예측 모델은 하나 이상의 보조 모델(1802)로부터의 라벨(1804) 및/또는 하나 이상의 상이한 출력에 기반하여 하나 이상의 파라미터(715)를 추정하도록 구성된다. 제 4 예로서, 일부 실시형태들에서는 하나 이상의 보조 모델(1802)이 하나 이상의 다른 보조 모델(1802) 및/또는 모듈식 오토인코더 모델(700)의 하나 이상의 다른 모델(예를 들어, 702, 704, 706, 708)과 함께 네스팅되도록(nested) 구성된다.
예를 들어 퓨필이 보조 모델(들)로의 입력으로서 사용될 수 있고, 이것은 일부 특수/전용 타겟 및/또는 다른 소스로부터 유래할 수 있다는 것에 주의해야 한다.
일부 실시형태들에서, 하나 이상의 보조 모델(1802)은 비용 함수를 사용하여, 하나 이상의 예측 모델(708)의 생성된 라벨들(1804) 및 출력들(예를 들어, 파라미터들(715)) 사이의 차이를 최소화하게끔 훈련되도록 구성된다. 하나 이상의 예측 모델(708)은 적절한 잠재 변수를 선택하도록 구성된다(예를 들어, 관심 파라미터(715)에 의존하여). 하나 이상의 보조 모델(1802)은 하나 이상의 입력 모델(702), 공통 모델(704), 하나 이상의 출력 모델(706), 및/또는 예측 모델(708)과 동시에 훈련되도록 구성된다.
본 발명의 시스템(들) 및 방법(들)의 원리가, 기대된 거동을 따라가는 관심 신호(예를 들어, 전술된 예에서는 틸트 신호)가 선택되게 하고, 관심 신호로 오인될 수 있는 신호들을 분리하는(예를 들어, 분리된 신호들이 상이한 웨이퍼 분포를 따라가는 한) 것(예를 들어, 크로스-토크를 제거함)이 유익할 임의의 애플리케이션 내에서도 사용될 수 있다는 것이 이해되어야 한다. 신호 상관에 의해서, 및/또는 다른 이유 때문에 생기는 임의의 문제점을 줄이는 것을 돕기 위해서 다른 스택 정보(예를 들어, 일 예인 오버레이)가 추가될 수 있다. 이것은, 다른 파라미터(예를 들어, 이러한 예에서는 틸트가 아닌 파라미터들)가 높은 신뢰도로 식별될 수 있기 때문에 가능해지고, 그러한 다른 신호가 관심 파라미터(들)(예를 들어, 틸트)와 연관되지 않는 것을 보장하는 것이 가능하다.
도 19는 본 명세서에 개시된 방법, 흐름, 시스템, 또는 장치를 구현하는 것을 수행 및/또는 보조할 수 있는 컴퓨터 시스템(100)을 예시하는 블록도이다. 컴퓨터 시스템(100)은 정보를 통신하기 위한 버스(102) 또는 다른 통신 매커니즘과, 정보를 처리하기 위하여 버스(102)와 커플링된 프로세서(104)(또는 여러 프로세서들(104 및 105))를 포함한다. 컴퓨터 시스템(100)은 프로세서(104)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(102)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(106)를 더 포함한다. 메인 메모리(106)는 프로세서(104)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(100)은 프로세서(104)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된 판독 전용 메모리(ROM)(108) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 자기 디스크 또는 광학 디스크와 같은 저장 디바이스(110)가 제공되고 정보 및 명령을 저장하기 위하여 버스(102)에 커플링된다.
컴퓨터 시스템(100)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(102)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(112)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(114)는 정보 및 커맨드 셀렉션을 프로세서(104)로 통신하기 위하여 버스(102)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(104)로 통신하고 디스플레이(112) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(116)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제 1 축(예를 들어, x)과 제 2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.
일 실시형태에 따르면, 본 명세서에서 설명되는 하나 이상의 방법 중 일부는 메인 메모리(106)에 포함된 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는 프로세서(104)에 응답하여 컴퓨터 시스템(100)에 의해서 수행될 수 있다. 이러한 명령들은 저장 디바이스(110)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(106)로 독출될 수 있다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하면, 프로세서(104)는 본 명세서에서 설명되는 프로세스 단계를 수행하게 된다. 메인 메모리(106)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 처리 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시형태에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 본 명세서의 설명은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.
"컴퓨터-판독가능 매체" 또는 "머신-판독가능(machine-readable)"이라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(104)로 명령을 제공하는 데에 참여하는 임의의 유형의(tangible) 매체를 가리킨다. 이러한 매체는 비-휘발성 매체, 휘발성 매체, 및 송신 매체를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 매체는 예를 들어, 저장 디바이스(110)와 같은 광학적이거나 자기적 디스크를 포함한다. 휘발성 매체는 메인 메모리(106)와 같은 동적 메모리를 포함한다. 송신 매체는 동축 케이블, 구리 배선, 및 버스(102)를 포함하는 와이어를 포함하는 섬유 광학기(fiber optics)를 포함한다. 송신 매체는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 매체의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, 자기-광학적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체들이 하나 이상의 명령의 하나 이상의 시퀀스를 실행되도록 프로세서(104)로 운반하는 것에 수반될 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(100)에 국지적으로 보유되는 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(102)에 커플링된 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신하고, 이러한 데이터를 버스(102)에 로딩할 수 있다. 버스(102)는 데이터를 메인 메모리(106)로 운반하며, 프로세서(104)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(106)로부터 수신된 명령들은 프로세서(104)에 의한 실행 이전에 또는 그 이후에 선택적으로 저장 디바이스(110)에 저장될 수 있다.
컴퓨터 시스템(100)은 버스(102)에 커플링된 통신 인터페이스(118)를 더 포함할 수 있다. 통신 인터페이스(118)는 국소 네트워크(122)에 연결된 네트워크 링크(120)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(118)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(integrated services digital network;(IS)DN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(118)는 호환가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(118)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기적, 전자기적이거나 광학적 신호를 전송하고 수신한다.
네트워크 링크(120)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(120)는 국소 네트워크(122)를 통해 호스트 컴퓨터(124) 또는 인터넷 서비스 제공자(ISP)(126)에 의하여 작동되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(126)는, 현재 일반적으로 "인터넷(128)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 국소 네트워크(122)와 인터넷(128) 양자 모두는 디지털 데이터 스트림을 운반하는 전기적, 전자기적이거나 광학적 신호를 사용한다. 컴퓨터 시스템(100)으로의 또는 그로부터의 디지털 데이터를 운반하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(120)를 통과하고 통신 인터페이스(118)를 통과하는 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(100)은 네트워크(들), 네트워크 링크(120), 및 통신 인터페이스(118)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(130)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(128), ISP(126), 국소 네트워크(122) 및 통신 인터페이스(118)를 통해 송신할 수 있다. 이렇게 다운로드된 하나의 애플리케이션은, 예를 들어 본 명세서에서 설명된 바와 같은 방법의 전부 또는 일부를 제공할 수 있다. 수신된 코드는 수신될 때 프로세서(104)에 의하여 실행되고, 및/또는 추후에 실행되도록 저장 디바이스(110), 또는 다른 비-휘발성 저장소에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(100)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.
도 20은 도 1에 도시되는 리소그래피 투영 장치(LA)에 대한 대안적인 디자인의 상세도이다. (도 1은 렌즈가 사용되고 투명 레티클이 사용되기 때문에 DUV 방사선에 관련되는 반면에, 도 18은 미러 및 반사성 레티클이 사용되기 때문에 EUV 방사선을 사용하는 리소그래피 장치에 관련된다.) 도 20에 도시된 바와 같이, 리소그래피 투영 장치는 소스(SO), 조명 시스템(IL), 및 투영 시스템(PS)을 포함할 수 있다. 소스(SO)는, 진공 환경이 소스(SO)의 밀폐 구조(220) 내에서 유지될 수 있도록 구성된다. EUV(예를 들어) 방사선 방출 플라즈마(210)는 방전 생성 플라즈마 소스에 의하여 형성될 수 있다. 플라즈마(210)가 전자기 스펙트럼의 EUV 범위에서 방사선을 방출하도록 생성되는, 가스 또는 증기, 예를 들어 Xe 가스, Li 증기 또는 Sn 증기에 의하여 EUV 방사선이 생성될 수 있다. 플라즈마(210)는, 예를 들어 적어도 부분적으로 이온화된 플라즈마를 초래하는 전기적 방전에 의하여 생성된다. 예를 들어, Xe, Li, Sn 증기 또는 임의의 다른 적합한 가스 또는 증기의(10) Pa의 분압이 방사선을 효율적으로 생성하기 위하여 필요할 수 있다. 일부 실시형태에서, 여기된 주석(Sn)의 플라즈마가 EUV 방사선을 생성하기 위하여 제공된다.
플라즈마(210)에 의해 방출된 방사선이 소스 챔버(211)로부터 콜렉터 챔버(212) 내로, 소스 챔버(211) 내의 애퍼쳐 내에 또는 뒤에 위치되는 선택적인 가스 베리어 또는 오염물 트랩(230)(일부 경우에는 오염물 베리어 또는 호일 트랩이라고도 불림)을 통해서 전달된다. 오염물 트랩(230)은 채널 구조를 포함할 수 있다. 챔버(211)는, 예를 들어 그레이징 입사 콜렉터일 수 있는 방사선 콜렉터(CO)를 포함할 수 있다. 방사선 콜렉터(CO)는 업스트림 방사선 콜렉터측(251) 및 다운스트림 방사선 콜렉터측(252)을 가진다. 콜렉터(CO)를 가로지르는 방사선은 격자 스펙트럼 필터(240)에 의해서 반사되어 'O'에 의해 표시되는 광축을 따라서 가상 소스 포인트(IF)에 포커싱될 수 있다. 가상 소스 포인트(IF)는 일반적으로 중간 초점이라고 지칭되고, 소스는, 중간 초점(IF)이 밀폐 구조(220) 내의 개구부(221)에 또는 이에 인접하게 위치되도록 정렬된다. 가상 소스 포인트(IF)는 방사선 방출 플라즈마(210)의 이미지이다.
후속하여, 방사선은 조명 시스템(IL)을 가로지르는데, 이것은 패터닝 디바이스(MA)에서 방사선 빔(21)의 원하는 각도 분포와 패터닝 디바이스(MA)에서의 방사선 강도의 원하는 균일도를 제공하도록 정렬되는 면 필드 미러 디바이스(facetted field mirror device;(22) 및 면 퓨필 미러 디바이스(24)를 포함할 수도 있다. 지지체 구조체(테이블)(T)에서의 방사선 빔(21)의 반사 시에, 패터닝된 빔(26)이 형성되고, 패터닝된 빔(26)은 반사성 요소(28, 30)를 통하여 기판 테이블(WT)에 의하여 홀딩되는 기판(W) 상에 투영 시스템(PS)에 의하여 이미징된다. 일반적으로, 도시된 것보다 더 많은 요소들이 조명 광학기(IL) 및 투영 시스템(PS) 내에 존재할 수도 있다. 예를 들어, 리소그래피 장치의 타입에 따라서 선택적으로 격자 스펙트럼 필터(240)가 존재할 수도 있다. 더욱이, 도면에 도시된 것보다 더 많은 미러가 존재할 수도 있고, 예를 들어 도 20에 도시되는 투영 시스템(PS) 내에 존재하는 것보다 1 개 내지 6 개의 추가적 반사성 요소가 존재할 수도 있다.
도 20에 도시된 바와 같은 콜렉터 광학기(CO)는 콜렉터(또는 콜렉터 미러)의 일 예로서, 그레이징 입사 반사기(253, 254 및 255)를 가지는 네스팅된 콜렉터로서 도시된다. 그레이징 입사 반사기(253, 254 및 255)는 광축(O)에 축대칭으로 배치되고, 이러한 타입의 콜렉터 광학기(CO)는 흔히 DPP(discharge produced plasma) 소스라고 불리는 방전 생성 플라즈마 소스와 함께 사용될 수 있다.
추가적인 실시형태들이 다음 번호를 가진 절들의 후속하는 목록에서 개시된다:
1. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
상기 명령은 컴퓨터로 하여금 파라미터 추정을 위한 모듈식 오토인코더 모델을 실행하게 하도록 구성되고,
상기 모듈식 오토인코더 모델은,
다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 하나 이상의 입력 모델;
공통 모델로서,
잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키고 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -,
상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 하나 이상의 출력 모델 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및
상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하도록 구성된 예측 모델을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
2. 제 1 절에 있어서,
개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된, 비-일시적 컴퓨터 판독가능 매체.
3. 제 1 절 또는 제 2 절에 있어서,
개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
4. 제 1 절 내지 제 3 절 중 어느 한 절에 있어서,
상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 비-일시적 컴퓨터 판독가능 매체.
5. 제 1 절 내지 제 4 절 중 어느 한 절에 있어서,
상기 하나 이상의 입력 모델의 양, 및 상기 하나 이상의 출력 모델의 양은 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여 결정된, 비-일시적 컴퓨터 판독가능 매체.
6. 제 1 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 입력 모델의 양은 상기 출력 모델의 양과 다른, 비-일시적 컴퓨터 판독가능 매체.
7. 제 1 절 내지 제 6 절 중 어느 한 절에 있어서,
상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고,
상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며,
상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
상기 모듈식 오토인코더 모델은,
상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 훈련된, 비-일시적 컴퓨터 판독가능 매체.
9. 제 1 절 내지 제 8 절 중 어느 한 절에 있어서,
상기 공통 모델은 인코더 및 디코더를 포함하고,
상기 모듈식 오토인코더 모델은,
상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것;
새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것;
상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및
상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 상기 비교에 기반하여 조절하는 것에 의하여 훈련된, 비-일시적 컴퓨터 판독가능 매체.
10. 제 1 절 내지 제 9 절 중 어느 한 절에 있어서,
상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고,
상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하며,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하고,
상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
11. 제 1 절 내지 제 10 절 중 어느 한 절에 있어서,
상기 모듈식 오토인코더 모델은,
상기 잠재 공간 내의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하도록 구성된 하나 이상의 보조 모델을 더 포함하고,
상기 라벨은 추정을 위해서 상기 예측 모델에 의해서 사용되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
12. 제 1 절 내지 제 11 절 중 어느 한 절에 있어서,
상기 라벨은 상기 잠재 공간 및/또는 상기 예측 모델의 출력에 거동을 부과하도록 상기 모듈식 오토인코더 모델에 의해 사용되도록 구성되고,
상기 거동은 가능한 신호들의 클래스와 연관된, 비-일시적 컴퓨터 판독가능 매체.
13. 제 1 절 내지 제 12 절 중 어느 한 절에 있어서,
상기 예측 모델 하나 이상의 예측 모델을 포함하고,
상기 하나 이상의 예측 모델은 상기 하나 이상의 보조 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
14. 제 1 절 내지 제 13 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함하는, 비-일시적 컴퓨터 판독가능 매체.
15. 제 1 절 내지 제 14 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 비용 함수를 사용하여 상기 하나 이상의 예측 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성되고,
상기 하나 이상의 예측 모델은 적절한 잠재 변수를 선택하도록 구성되며,
상기 하나 이상의 보조 모델은 상기 하나 이상의 입력 모델, 상기 공통 모델, 상기 하나 이상의 출력 모델 및/또는 상기 예측 모델과 동시에 훈련되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
16. 제 1 절 내지 제 5 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 하나 이상의 웨이퍼 모델을 포함하고,
상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며,
상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고,
생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 모듈식 오토인코더 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된, 비-일시적 컴퓨터 판독가능 매체.
17. 제 1 절 내지 제 16 절 중 어느 한 절에 있어서,
상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
18. 제 1 절 내지 제 17 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 상기 모듈식 오토인코더 모델의 하나 이상의 다른 보조 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested),
퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 보조 모델로의 입력으로서 사용되는, 비-일시적 컴퓨터 판독가능 매체.
19. 파라미터 추정을 위한 방법으로서,
모듈식 오토인코더 모델(modular autoencoder model) 중 하나 이상의 입력 모델을 사용하여, 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하는 단계;
상기 모듈식 오토인코더 모델의 공통 모델을 사용하여, 잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키는 단계 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -;
상기 공통 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 단계 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
상기 모듈식 오토인코더 모델의 하나 이상의 출력 모델을 사용하여, 상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하는 단계 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및
상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하는 단계를 포함하는, 파라미터 추정 방법.
20. 제 19 절에 있어서,
개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된, 파라미터 추정 방법.
21. 제 19 절 또는 제 20 절에 있어서,
개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함하는, 파라미터 추정 방법.
22. 제 19 절 내지 제 21 절 중 어느 한 절에 있어서,
상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 파라미터 추정 방법.
23. 제 19 절 내지 제 22 절 중 어느 한 절에 있어서,
상기 방법은,
상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여, 상기 하나 이상의 입력 모델의 양, 및/또는 상기 하나 이상의 출력 모델의 양을 결정하는 단계를 더 포함하는, 파라미터 추정 방법.
24. 제 19 절 내지 제 23 절 중 어느 한 절에 있어서,
상기 입력 모델의 양은 상기 출력 모델의 양과 다른, 파라미터 추정 방법.
25. 제 19 절 내지 제 24 절 중 어느 한 절에 있어서,
상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고,
상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며,
상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함하는, 파라미터 추정 방법.
26. 제 19 절 내지 제 25 절 중 어느 한 절에 있어서,
상기 방법은,
상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 상기 모듈식 오토인코더 모델을 훈련시키는 단계를 더 포함하는, 파라미터 추정 방법.
27. 제 19 절 내지 제 26 절 중 어느 한 절에 있어서,
상기 공통 모델은 인코더 및 디코더를 포함하고,
상기 방법은,
상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것;
새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것;
상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및
상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 비교에 기반하여 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 조절하는 것에 의하여 상기 모듈식 오토인코더 모델을 훈련시키는 단계를 더 포함하는, 파라미터 추정 방법.
28. 제 19 절 내지 제 27 절 중 어느 한 절에 있어서,
상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고,
상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하며,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하고,
상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함하는, 파라미터 추정 방법.
29. 제 19 절 내지 제 28 절 중 어느 한 절에 있어서,
상기 방법은,
상기 모듈식 오토인코더 모델의 하나 이상의 보조 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하는 단계를 더 포함하고,
상기 라벨은 추정을 위해서 상기 예측 모델에 의해서 사용되도록 구성된, 파라미터 추정 방법.
30. 제 19 절 내지 제 29 절 중 어느 한 절에 있어서,
상기 라벨은 상기 잠재 공간 및/또는 상기 예측 모델의 출력에 거동을 부과하도록 상기 모듈식 오토인코더 모델에 의해 사용되도록 구성되고,
상기 거동은 가능한 신호들의 클래스와 연관된, 파라미터 추정 방법.
31. 제 19 절 내지 제 30 절 중 어느 한 절에 있어서,
상기 예측 모델 하나 이상의 예측 모델을 포함하고,
상기 하나 이상의 예측 모델은 상기 하나 이상의 보조 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된, 파라미터 추정 방법.
32. 제 19 절 내지 제 31 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함하는, 파라미터 추정 방법.
33. 제 19 절 내지 제 32 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 비용 함수를 사용하여 상기 하나 이상의 예측 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성되고,
상기 하나 이상의 예측 모델은 적절한 잠재 변수를 선택하도록 구성되며,
상기 하나 이상의 보조 모델은 상기 하나 이상의 입력 모델, 상기 공통 모델, 상기 하나 이상의 출력 모델 및/또는 상기 예측 모델과 동시에 훈련되도록 구성된, 파라미터 추정 방법.
34. 제 19 절 내지 제 33 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 하나 이상의 웨이퍼 모델을 포함하고,
상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며,
상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고,
생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 모듈식 오토인코더 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된, 파라미터 추정 방법.
35. 제 19 절 내지 제 34 절 중 어느 한 절에 있어서,
상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된, 파라미터 추정 방법.
36. 제 19 절 내지 제 35 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 상기 모듈식 오토인코더 모델의 하나 이상의 다른 보조 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested),
퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 보조 모델로의 입력으로서 사용되는, 파라미터 추정 방법.
37. 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된, 모듈식 오토인코더 모델의 하나 이상의 입력 모델;
상기 모듈식 오토인코더 모델의 공통 모델로서,
잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키고 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -,
상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 하나 이상의 출력 모델 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및
상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하도록 구성된, 상기 모듈식 오토인코더 모델의 예측 모델
을 포함하는, 시스템.
38. 제 37 절에 있어서,
개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된, 시스템.
39. 제 37 절 또는 제 38 절에 있어서,
개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함하는, 시스템.
40. 제 37 절 내지 제 39 절 중 어느 한 절에 있어서,
상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 시스템.
41. 제 37 절 내지 제 40 절 중 어느 한 절에 있어서,
상기 하나 이상의 입력 모델의 양, 및 상기 하나 이상의 출력 모델의 양은 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여 결정된, 시스템.
42. 제 37 절 내지 제 41 절 중 어느 한 절에 있어서,
상기 입력 모델의 양은 상기 출력 모델의 양과 다른, 시스템.
43. 제 37 절 내지 제 42 절 중 어느 한 절에 있어서,
상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고,
상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며,
상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함하는, 시스템.
44. 제 37 항 내지 제 43 항 중 어느 한 항에 있어서,
상기 모듈식 오토인코더 모델은,
상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 훈련된, 시스템.
45. 제 37 절 내지 제 44 절 중 어느 한 절에 있어서,
상기 공통 모델은 인코더 및 디코더를 포함하고,
상기 모듈식 오토인코더 모델은,
상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것;
새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것;
상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및
상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 상기 비교에 기반하여 조절하는 것에 의하여 훈련된, 시스템.
46. 제 37 절 내지 제 45 절 중 어느 한 절에 있어서,
상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고,
상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하며,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하고,
상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함하는, 시스템.
47. 제 37 절 내지 제 46 절 중 어느 한 절에 있어서,
상기 모듈식 오토인코더 모델은,
상기 잠재 공간 내의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하도록 구성된 하나 이상의 보조 모델을 더 포함하고,
상기 라벨은 추정을 위해서 상기 예측 모델에 의해서 사용되도록 구성된, 시스템.
48. 제 37 절 내지 제 47 절 중 어느 한 절에 있어서,
상기 라벨은 상기 잠재 공간 및/또는 상기 예측 모델의 출력에 거동을 부과하도록 상기 모듈식 오토인코더 모델에 의해 사용되도록 구성되고,
상기 거동은 가능한 신호들의 클래스와 연관된, 시스템.
49. 제 37 절 내지 제 48 절 중 어느 한 절에 있어서,
상기 예측 모델 하나 이상의 예측 모델을 포함하고,
상기 하나 이상의 예측 모델은 상기 하나 이상의 보조 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된, 시스템.
50. 제 37 절 내지 제 49 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함하는, 시스템.
51. 제 37 절 내지 제 50 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 비용 함수를 사용하여 상기 하나 이상의 예측 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성되고,
상기 하나 이상의 예측 모델은 적절한 잠재 변수를 선택하도록 구성되며,
상기 하나 이상의 보조 모델은 상기 하나 이상의 입력 모델, 상기 공통 모델, 상기 하나 이상의 출력 모델 및/또는 상기 예측 모델과 동시에 훈련되도록 구성된, 시스템.
52. 제 37 절 내지 제 51 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 하나 이상의 웨이퍼 모델을 포함하고,
상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며,
상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고,
생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 모듈식 오토인코더 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 상기 잠재 공간 내의 차원 데이터에 커플링된, 시스템.
53. 제 37 절 내지 제 52 절 중 어느 한 절에 있어서,
상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된, 시스템.
54. 제 37 절 내지 제 53 절 중 어느 한 절에 있어서,
상기 하나 이상의 보조 모델은 상기 모듈식 오토인코더 모델의 하나 이상의 다른 보조 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested),
퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 보조 모델로의 입력으로서 사용되는, 시스템.
55. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
상기 명령은 컴퓨터로 하여금 파라미터 추정을 위한 머신-러닝 모델을 실행하게 하도록 구성되고,
상기 머신-러닝 모델은,
다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 하나 이상의 제 1 모델;
제 2 모델로서,
처리된 하나 이상의 입력을 조합하고, 조합된 처리된 하나 이상의 입력의 차원수를 감소시키도록,
조합된 처리된 하나 이상의 입력을 상기 하나 이상의 입력의 하나 이상의 복원된 버전으로 확장시키도록 구성된, 제 2 모델 - 상기 하나 이상의 입력의 하나 이상의 복원된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
상기 하나 이상의 입력의 하나 이상의 복원된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 하나 이상의 제 3 모델; 및
감소된 차원수의 조합된 압축된 입력 및 상기 하나 이상의 상이한 출력에 기반하여 파라미터를 추정하도록 구성된 제 4 모델
을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
56. 제 55 절에 있어서,
하나 이상의 제 3 모델의 개별적인 모델은 두 개 이상의 서브-모델을 포함하고,
상기 두 개 이상의 서브-모델은 제조 프로세스 및/또는 감지 동작의 상이한 부분과 연관된, 비-일시적 컴퓨터 판독가능 매체.
57. 제 55 절 또는 제 56 절에 있어서,
상기 두 개 이상의 서브-모델은 반도체 제조 공정을 위한 센서 모델 및 스택 모델을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
58. 제 55 절 내지 제 57 절 중 어느 한 절에 있어서,
상기 하나 이상의 제 1 모델, 상기 제 2 모델, 및 상기 하나 이상의 제 3 모델은 서로 별개이고, 상기 하나 이상의 제 1 모델, 상기 제 2 모델, 및/또는 상기 하나 이상의 제 3 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 비-일시적 컴퓨터 판독가능 매체.
59. 제 55 절 내지 제 58 절 중 어느 한 절에 있어서,
상기 하나 이상의 제 1 모델의 양, 및 상기 하나 이상의 제 3 모델의 양은 상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여 결정된, 비-일시적 컴퓨터 판독가능 매체.
60. 제 55 절 내지 제 59 절 중 어느 한 절에 있어서,
상기 제 1 모델의 개수는 상기 제 3 모델의 개수와 다른, 비-일시적 컴퓨터 판독가능 매체.
61. 제 55 절 내지 제 60 절 중 어느 한 절에 있어서,
상기 제 2 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고,
상기 하나 이상의 입력을 압축하는 것은 인코딩을 포함하며,
조합된 압축된 하나 이상의 입력을 상기 하나 이상의 입력의 하나 이상의 복원된 버전으로 확장시키는 것은 디코딩을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
62. 제 55 항 내지 제 61 항 중 어느 한 항에 있어서,
상기 머신 러닝 모델은,
상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 제 1 모델, 상기 제 2 모델, 및/또는 상기 하나 이상의 제 3 모델을 조절함으로써 훈련된, 비-일시적 컴퓨터 판독가능 매체.
63. 제 55 절 내지 제 62 절 중 어느 한 절에 있어서,
상기 제 2 모델은 인코더 및 디코더를 포함하고,
상기 제 2 모델은,
상기 제 2 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것;
새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것;
상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및
상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 제 2 모델을 상기 비교에 기반하여 조절하는 것에 의하여 훈련된, 비-일시적 컴퓨터 판독가능 매체.
64. 제 55 절 내지 제 63 절 중 어느 한 절에 있어서,
상기 파라미터는 반도체 제조 프로세스 파라미터이고,
상기 하나 이상의 제 1 모델 및/또는 상기 하나 이상의 제 3 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하며,
상기 제 2 모델은 피드 포워드 및/또는 잔차 계층을 포함하고,
상기 제 4 모델은 피드 포워드 및/또는 잔차 계층을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
65. 제 55 절 내지 제 64 절 중 어느 한 절에 있어서,
상기 머신-러닝 모델은, 조합된 처리된 입력들의 감소된 차원수 중 적어도 일부에 대한 라벨을 생성하도록 구성된 하나 이상의 제 5 모델을 더 포함하고,
상기 라벨은 추정을 위해서 상기 제 4 모델에 의해서 사용되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
66. 제 55 절 내지 제 65 절 중 어느 한 절에 있어서,
상기 라벨은 잠재 공간 및/또는 상기 제 4 모델의 출력에 거동을 부과하기 위해서 상기 머신-러닝 모델에 의해 사용되도록 구성되고,
상기 거동은 가능한 신호들의 클래스와 연관된, 비-일시적 컴퓨터 판독가능 매체.
67. 제 55 절 내지 제 66 절 중 어느 한 절에 있어서,
상기 제 4 모델은 하나 이상의 제 4 모델을 포함하고,
상기 하나 이상의 제 4 모델은 하나 이상의 제 5 모델로부터의 라벨 및/또는 하나 이상의 상이한 출력에 기반하여 상기 하나 이상의 파라미터를 추정하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
68. 제 55 절 내지 제 67 절 중 어느 한 절에 있어서,
상기 하나 이상의 제 5 모델로의 입력은, 신호들의 클래스를 생성, 인코딩, 및/또는 제약하기 위해서 사용되도록 구성된 웨이퍼 패턴 형상 및/또는 웨이퍼 좌표와 연관된 데이터를 포함하는, 비-일시적 컴퓨터 판독가능 매체.
69. 제 55 절 내지 제 68 절 중 어느 한 절에 있어서,
상기 하나 이상의 제 5 모델은 비용 함수를 사용하여 상기 하나 이상의 제 4 모델의 생성된 라벨들 및 출력들 사이의 차이를 최소화하게끔 훈련되도록 구성되고,
상기 하나 이상의 제 4 모델은 적절한 잠재 변수를 선택하도록 구성되며,
상기 하나 이상의 제 5 모델은 상기 하나 이상의 제 1 모델, 상기 제 2 모델, 상기 하나 이상의 제 3 모델 및/또는 상기 제 4 모델과 동시에 훈련되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
70. 제 55 절 내지 제 69 절 중 어느 한 절에 있어서,
상기 하나 이상의 제 5 모델은 하나 이상의 웨이퍼 모델을 포함하고,
상기 하나 이상의 웨이퍼 모델로의 입력은 웨이퍼 반경 및/또는 웨이퍼 상의 패턴과 연관된 극좌표의 위치를 포함하는 각도, 웨이퍼 상의 패턴과 연관된 제 2 각도, 및/또는 웨이퍼 ID 중 하나 이상을 포함하며,
상기 하나 이상의 웨이퍼 모델은 패턴 틸트와 연관되고,
생성된 라벨은 웨이퍼 사전지식(wafer priors)에 기반한 통보된 분해가 상기 머신-러닝 모델에 의해 수행되도록, 상기 틸트에 대응하도록 미리 규정된 잠재 공간 내의 차원 데이터에 커플링된, 비-일시적 컴퓨터 판독가능 매체.
71. 제 55 절 내지 제 70 절 중 어느 한 절에 있어서,
상기 하나 이상의 웨이퍼 모델은 상기 패턴 틸트를 스택 및/또는 패턴 피쳐 내의 다른 비대칭으로부터 분리하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
72. 제 55 절 내지 제 71 절 중 어느 한 절에 있어서,
상기 하나 이상의 제 5 보조 모델은 상기 머신-러닝 모델의 하나 이상의 다른 제 5 모델 및/또는 하나 이상의 다른 모델과 네스팅되고(nested),
퓨필 데이터를 포함하는 다른 입력은 상기 하나 이상의 제 5 모델로의 입력으로서 사용되는, 비-일시적 컴퓨터 판독가능 매체.
73. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
상기 명령은 컴퓨터로 하여금, 이용가능 채널에 기반하여 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능 채널의 조합으로부터 관심 파라미터를 추정하기 위한 모듈식 오토인코더 모델을 실행하게 하도록 구성되고,
상기 동작을 초래하는 명령은,
복수 개의 입력이 서로 조합되기에 적합해지도록, 상기 복수 개의 입력 모델로 하여금 복수 개의 입력을 이용가능 채널에 기반하여 압축하게 하는 것; 및
공통 모델로 하여금 압축된 입력들을 조합하고, 잠재 공간 내의 저차원 데이터를 조합된 압축된 입력에 기반하여 생성하게 하는 것을 포함하는 동작을 초래하며,
상기 저차원 데이터는 상기 취출가능 양을 추정하고,
상기 잠재 공간 내의 저차원 데이터는, 상기 저차원 데이터에 기반하여 복수 개의 입력을 생성하고 및/또는 파라미터를 추정하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
74. 제 73 절에 있어서,
상기 명령은,
상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것;
훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및
상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것에 의하여 상기 모듈식 오토인코더 모델을 훈련시킴으로써,
상기 공통 모델이 압축된 입력들을 조합하고, 상기 근사화 및/또는 추정된 파라미터를 생성하기 위한 저차원 데이터를 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 생성하도록 구성되게 하는 것을 포함하는 추가적인 동작을 초래하는, 비-일시적 컴퓨터 판독가능 매체.
75. 제 73 절 또는 제 74 절에 있어서,
개별적인 반복에 대한 변동은 랜덤이고, 또는
개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동되는, 비-일시적 컴퓨터 판독가능 매체
76. 제 73 절 내지 제 75 절 중 어느 한 절에 있어서,
개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
77. 제 73 절 내지 제 76 절 중 어느 한 절에 있어서,
상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은,
가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함하고,
상기 가능한 이용가능 채널들의 세트는 광계측 플랫폼과 연관된, 비-일시적 컴퓨터 판독가능 매체.
78. 제 73 절 내지 제 77 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복되는, 비-일시적 컴퓨터 판독가능 매체.
79. 제 73 절 내지 제 78 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
80. 제 73 절 내지 제 79 절 중 어느 한 절에 있어서,
상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고,
상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상은, 하나 이상의 훈련용 근사화, 및/또는 훈련 제조 프로세스 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하게끔 조절되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
81. 제 73 절 내지 제 80 절 중 어느 한 절에 있어서,
상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 비-일시적 컴퓨터 판독가능 매체.
82. 제 73 절 내지 제 81 절 중 어느 한 절에 있어서,
개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
83. 이용가능한 채널에 기반하여 모듈식 오토인코더 모델의 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능한 채널의 조합으로부터 관심 파라미터를 추정하기 위한 방법으로서,
복수 개의 입력이 서로 조합되기에 적합해지도록, 상기 복수 개의 입력 모델로 하여금 복수 개의 입력을 이용가능한 채널에 기반하여 압축하게 하는 단계; 및
상기 모듈식 오토인코더 모델의 공통 모델로 하여금 압축된 입력들을 조합하고, 잠재 공간 내의 저차원 데이터를 조합된 압축된 입력에 기반하여 생성하게 하는 단계를 포함하고,
상기 저차원 데이터는 상기 취출가능 양을 추정하고,
상기 잠재 공간 내의 저차원 데이터는, 상기 저차원 데이터에 기반하여 복수 개의 입력을 생성하고 및/또는 파라미터를 추정하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성된, 관심 파라미터 추정 방법.
84. 제 83 절에 있어서,
상기 방법은,
상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것;
훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및
상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것에 의하여 상기 모듈식 오토인코더 모델을 훈련시킴으로써,
상기 공통 모델이 압축된 입력들을 조합하고, 상기 근사화 및/또는 추정된 파라미터를 생성하기 위한 저차원 데이터를 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 생성하도록 구성되게 하는 단계를 더 포함하는, 관심 파라미터 추정 방법.
85. 제 83 절 또는 제 84 절에 있어서,
개별적인 반복에 대한 변동은 랜덤이고, 또는
개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동되는, 관심 파라미터 추정 방법.
86. 제 83 절 내지 제 85 절 중 어느 한 절에 있어서,
개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된, 관심 파라미터 추정 방법.
87. 제 83 절 내지 제 86 절 중 어느 한 절에 있어서,
상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은,
가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함하고,
상기 가능한 이용가능 채널들의 세트는 광계측 플랫폼과 연관된, 관심 파라미터 추정 방법.
88. 제 83 절 내지 제 87 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복되는, 관심 파라미터 추정 방법.
89. 제 83 절 내지 제 88 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된, 관심 파라미터 추정 방법.
90. 제 83 절 내지 제 89 절 중 어느 한 절에 있어서,
상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고,
상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상은, 하나 이상의 훈련용 근사화, 및/또는 훈련 제조 프로세스 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하게끔 조절되도록 구성된, 관심 파라미터 추정 방법.
91. 제 83 절 내지 제 90 절 중 어느 한 절에 있어서,
상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 관심 파라미터 추정 방법.
92. 제 83 절 내지 제 91 절 중 어느 한 절에 있어서,
개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하는, 관심 파라미터 추정 방법.
93. 이용가능한 채널에 기반하여 모듈식 오토인코더 모델의 복수 개의 입력 모델들의 서브세트를 사용하여 정보 콘텐츠의 취출가능 양을 추정함으로써, 광계측 플랫폼으로부터의 측정 데이터의 이용가능한 채널의 조합으로부터 관심 파라미터를 추정하기 위한 시스템으로서,
복수 개의 입력 모델 - 상기 복수 개의 입력 모델은, 복수 개의 입력이 서로 조합되기에 적합해지도록, 복수 개의 입력을 이용가능한 채널에 기반하여 압축하도록 구성됨 -; 및
압축된 입력들을 조합하고, 잠재 공간 내의 저차원 데이터를 조합된 압축된 입력에 기반하여 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 공통 모델을 포함하고,
상기 저차원 데이터는 상기 취출가능 양을 추정하며,
상기 잠재 공간 내의 저차원 데이터는, 상기 저차원 데이터에 기반하여 복수 개의 입력을 생성하고 및/또는 파라미터를 추정하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성된, 관심 파라미터 추정 시스템.
94. 제 93 절에 있어서,
상기 모듈식 오토인코더 모델은,
상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것;
훈련용 저차원 데이터에 기반하여 생성되거나 예측된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및
상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것에 의하여 훈련됨으로써,
상기 공통 모델이 압축된 입력들을 조합하고, 상기 근사화 및/또는 추정된 파라미터를 생성하기 위한 저차원 데이터를 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 생성하도록 구성되게 하는, 관심 파라미터 추정 시스템.
95. 제 93 절 또는 제 94 절에 있어서,
개별적인 반복에 대한 변동은 랜덤이고, 또는
개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동되는, 관심 파라미터 추정 시스템.
96. 제 93 절 내지 제 95 절 중 어느 한 절에 있어서,
개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된, 관심 파라미터 추정 시스템.
97. 제 93 절 내지 제 96 절 중 어느 한 절에 있어서,
상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은,
가능한 이용가능 채널들의 세트로부터의 채널 선택을 포함하고,
상기 가능한 이용가능 채널들의 세트는 광계측 플랫폼과 연관된, 관심 파라미터 추정 시스템.
98. 제 93 절 내지 제 97 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복되는, 관심 파라미터 추정 시스템.
99. 제 93 절 내지 제 98 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스를 감소 또는 제거하도록 구성된, 관심 파라미터 추정 시스템.
100. 제 93 절 내지 제 99 절 중 어느 한 절에 있어서,
상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고,
상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상은, 하나 이상의 훈련용 근사화, 및/또는 훈련 제조 프로세스 파라미터, 및 대응하는 레퍼런스 사이의 차이를 감소 또는 최소화하게끔 조절되도록 구성된, 관심 파라미터 추정 시스템.
101. 제 93 절 내지 제 100 절 중 어느 한 절에 있어서,
상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 관심 파라미터 추정 시스템.
102. 제 93 절 내지 제 101 절 중 어느 한 절에 있어서,
개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하고,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하는, 관심 파라미터 추정 시스템.
103. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
상기 명령은 컴퓨터로 하여금 파라미터 추정을 위한 모듈식 오토인코더 모델을 실행하게 하도록 구성되고,
상기 명령은,
복수 개의 입력이 서로 조합되기에 적합해지도록, 복수 개의 입력 모델로 하여금 복수 개의 입력을 압축하게 하는 것; 및
공통 모델로 하여금 압축된 입력들을 조합하고, 조합된 압축된 입력에 기반하여 잠재 공간 내의 저차원 데이터를 생성하게 하는 것 - 상기 잠재 공간 내의 저차원 데이터는 하나 이상의 입력의 근사화를 생성하고 및/또는 저차원 데이터에 기반하여 파라미터를 예측하기 위하여 하나 이상의 추가적 모델에 의해 사용되도록 구성됨 -
을 포함하는 동작을 초래하며,
상기 공통 모델은 압축된 입력들을 조합하고 복수 개의 입력 중 어느 것이 공통 모델에 의해 조합되는지와 무관하게 상기 저차원 데이터를 생성하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
104. 제 103 절에 있어서,
상기 명령은,
상기 모듈식 오토인코더를,
상기 공통 모델에 의하여 조합되고 훈련용 저차원 데이터(training low dimensional data)를 생성하기 위하여 사용될 압축된 입력들의 서브세트를 반복적으로 변경하는 것;
훈련용 저차원 데이터에 기반하여 생성되거나 추정된 하나 이상의 훈련용 근사화(training approximation) 및/또는 훈련용 파라미터(training parameter)를 대응하는 레퍼런스에 비교하는 것; 및
상기 하나 이상의 훈련용 근사화 및/또는 훈련 파라미터와 상기 레퍼런스 사이의 차이를 감소 또는 최소화하기 위하여, 상기 비교에 기반하여 상기 복수 개의 입력 모델 중 하나 이상, 상기 공통 모델, 및/또는 추가적 모델을 조절하는 것에 의하여 훈련시킴으로써,
상기 공통 모델이 압축된 입력들을 조합하고, 복수 개의 입력 중 어느 것이 상기 공통 모델에 의하여 조합되는지와 무관하게 상기 근사화를 생성하고 및/또는 파라미터를 추정하기 위한 저차원 데이터를 생성하도록 구성되게 하는 것을 포함하는 추가적인 동작을 초래하는, 비-일시적 컴퓨터 판독가능 매체.
105. 제 103 절 또는 제 104 절에 있어서,
개별적인 반복에 대한 변동은 랜덤이고, 또는
개별적인 반복에 대한 변동은 통계적으로 의미있는 방식으로 변동되는, 비-일시적 컴퓨터 판독가능 매체
106. 제 103 절 내지 제 105 절 중 어느 한 절에 있어서,
개별적인 반복에 대한 변동은 반복의 목표 횟수 이후에, 압축된 입력 각각이 압축된 입력들의 서브세트 내에 적어도 한 번 포함된 바 있도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
107. 제 103 절 내지 제 106 절 중 어느 한 절에 있어서,
상기 하나 이상의 추가적 모델은 하나 이상의 입력의 근사화를 생성하도록 구성된 하나 이상의 출력 모델, 및 파라미터를 상기 저차원 데이터에 기반하여 생성하도록 구성된 예측 모델을 포함하고,
하나 이상의 훈련용 근사화, 및/또는 훈련 파라미터, 및 레퍼런스 사이의 차이를 감소 또는 최소화하도록 상기 비교에 기반하여 상기 복수 개의 입력 모델, 공통 모델, 및/또는 추가적 모델 중 하나 이상을 조절하는 것은, 적어도 하나의 출력 모델 및/또는 예측 모델을 조절하는 것을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
108. 제 103 절 내지 제 107 절 중 어느 한 절에 있어서,
상기 복수 개의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은 서로 별개이고, 상기 복수 개의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 비-일시적 컴퓨터 판독가능 매체.
109. 제 103 절 내지 제 108 절 중 어느 한 절에 있어서,
상기 공통 모델에 의해서 조합되고 훈련용 저차원 데이터를 생성하기 위해서 사용되는 압축된 입력들의 서브세트를 반복적으로 변동하는 것은,
가능한 채널들의 세트로부터의 채널 선택을 포함하고,
상기 가능한 채널들의 세트는 반도체 제조 프로세스 및/또는 감지 동작의 하나 이상의 양태와 연관된, 비-일시적 컴퓨터 판독가능 매체.
110. 제 103 절 내지 제 109 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 목표가 수렴할 때까지 반복되는, 비-일시적 컴퓨터 판독가능 매체.
111. 제 103 절 내지 제 110 절 중 어느 한 절에 있어서,
상기 반복적으로 변경하는 단계, 상기 비교하는 단계, 및 상기 조절하는 단계는 채널들에 걸친 조합형 검색(combinatorial search)에 대해서 발생될 수 있는 바이어스에 대한 바이어스를 감소 또는 제거하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
112. 제 103 절 내지 제 111 절 중 어느 한 절에 있어서,
상기 파라미터는 반도체 제조 프로세스 파라미터이고,
개별적인 입력 모델은, 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하며,
상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
113. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
상기 명령은 컴퓨터로 하여금, 모듈식 오토인코더 모델의 디코더 내에 상기 모듈식 오토인코더 모델로의 입력의 공지된 속성을 집행(enforcing)함으로써 광계측 동작을 위한 관심 파라미터를 추정하기 위한 확장된 적용 범위를 가지고 상기 모듈식 오토인코더 모델을 실행하게 하도록 구성되고,
상기 명령은,
상기 모듈식 오토인코더 모델의 인코더로 하여금 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하게 하는 것; 및
상기 모듈식 오토인코더 모델의 디코더로 하여금, 상기 저차원 표현을 디코딩함으로써 상기 입력에 대응하는 출력을 생성하게 하는 것
을 포함하는 동작을 초래하며,
상기 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성되고,
상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현과 상기 출력 사이의 공지된 물리적 관계와 연관되며,
상기 관심 파라미터는 상기 출력 및/또는 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정된, 비-일시적 컴퓨터 판독가능 매체.
114. 제 113 절에 있어서,
상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
115. 제 113 절 또는 제 114 절에 있어서,
상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함하는, 비-일시적 컴퓨터 판독가능 매체.
116. 제 113 절 내지 제 115 절 중 어느 한 절에 있어서,
상기 공지된 속성은 공지된 대칭 속성이고,
상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 상기 입력의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함하는, 비-일시적 컴퓨터 판독가능 매체.
117. 제 113 절 내지 제 116 절 중 어느 한 절에 있어서,
상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고,
상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
118. 제 113 절 내지 제 117 절 중 어느 한 절에 있어서,
상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고,
상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며,
상기 출력은 입력 센서 신호의 근사화인, 비-일시적 컴퓨터 판독가능 매체.
119. 제 113 절 내지 제 118 절 중 어느 한 절에 있어서,
상기 센서 신호는 퓨필 이미지를 포함하고,
상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
120. 제 113 절 내지 제 119 절 중 어느 한 절에 있어서,
상기 명령은,
상기 모듈식 오토인코더 모델의 입력 모델을 사용하여, 상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하는 것;
상기 모듈식 오토인코더 모델의 출력 모델을 사용하여, 상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하는 것; 및
상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 관심 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현 및/또는 상기 출력(상기 출력은 상기 입력의 근사화를 포함하고 및/또는 상기 근사화에 관련됨)에 기반하여 추정하는 것을 포함하는 추가적인 동작을 초래하는, 비-일시적 컴퓨터 판독가능 매체.
121. 제 113 절 내지 제 120 절 중 어느 한 절에 있어서,
상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 비-일시적 컴퓨터 판독가능 매체.
122. 제 113 절 내지 제 121 절 중 어느 한 절에 있어서,
상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
123. 확장된 적용 범위를 가지는 모듈식 오토인코더 모델을 사용하여, 상기 모듈식 오토인코더 모델로의 입력의 공지된 속성을 상기 모듈식 오토인코더 모델의 디코더 내에 집행함으로써, 광계측 동작을 위한 관심 파라미터를 추정하기 위한 방법으로서,
상기 명령은,
상기 모듈식 오토인코더 모델의 인코더로 하여금 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하게 하는 것; 및
상기 모듈식 오토인코더 모델의 디코더로 하여금, 상기 저차원 표현을 디코딩함으로써 상기 입력에 대응하는 출력을 생성하게 하는 것
을 포함하는 동작을 초래하며,
상기 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성되고,
상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현과 상기 출력 사이의 공지된 물리적 관계와 연관되며,
상기 관심 파라미터는 상기 출력 및/또는 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정된, 관심 파라미터 추정 방법.
124. 제 123 절에 있어서,
상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함하는, 관심 파라미터 추정 방법.
125. 제 123 절 또는 제 124 절에 있어서,
상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함하는, 관심 파라미터 추정 방법.
126. 제 123 절 내지 제 125 절 중 어느 한 절에 있어서,
상기 공지된 속성은 공지된 대칭 속성이고,
상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 상기 입력의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함하는, 관심 파라미터 추정 방법.
127. 제 123 절 내지 제 126 절 중 어느 한 절에 있어서,
상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고,
상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함하는, 관심 파라미터 추정 방법.
128. 제 123 절 내지 제 127 절 중 어느 한 절에 있어서,
상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고,
상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며,
상기 출력은 입력 센서 신호의 근사화인, 관심 파라미터 추정 방법.
129. 제 123 절 내지 제 128 절 중 어느 한 절에 있어서,
상기 센서 신호는 퓨필 이미지를 포함하고,
상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된, 관심 파라미터 추정 방법.
130. 제 123 절 내지 제 129 절 중 어느 한 절에 있어서,
상기 방법은,
상기 모듈식 오토인코더 모델의 입력 모델을 사용하여, 상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하는 단계;
상기 모듈식 오토인코더 모델의 출력 모델을 사용하여, 상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하는 단계; 및
상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 관심 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현 및/또는 상기 출력(상기 출력은 상기 입력의 근사화를 포함하고 및/또는 상기 근사화에 관련됨)에 기반하여 추정하는 단계를 더 포함하는, 관심 파라미터 추정 방법.
131. 제 123 절 내지 제 130 절 중 어느 한 절에 있어서,
상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 관심 파라미터 추정 방법.
132. 제 123 절 내지 제 131 절 중 어느 한 절에 있어서,
상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된, 관심 파라미터 추정 방법.
133. 모듈식 오토인코더 모델로의 입력의 공지된 속성을 상기 모듈식 오토인코더 모델의 디코더 내에 집행함으로써, 광계측 동작을 위한 관심 파라미터를 추정하기 위하여 확장된 적용 범위를 가지는 모듈식 오토인코더 모델을 실행하도록 구성된 시스템으로서,
입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 인코더; 및
상기 저차원 표현을 디코딩함으로써 상기 입력에 대응하는 출력을 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 디코더를 포함하고,
상기 디코더는, 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성되며,
상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현과 상기 출력 사이의 공지된 물리적 관계와 연관되고,
상기 관심 파라미터는 상기 출력 및/또는 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정된, 시스템.
134. 제 133 절에 있어서,
상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함하는, 시스템.
135. 제 133 절 또는 제 134 절에 있어서,
상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함하는, 시스템.
136. 제 133 절 내지 제 135 절 중 어느 한 절에 있어서,
상기 공지된 속성은 공지된 대칭 속성이고,
상기 페널티 항은, 서로에 대하여 대칭점 중심으로 반사되거나 대칭점 주위로 회전되는 상기 입력의 저차원 표현의 디코딩된 버전들 사이의 차이를 포함하는, 시스템.
137. 제 133 절 내지 제 136 절 중 어느 한 절에 있어서,
상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고,
상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함하는, 시스템.
138. 제 133 절 내지 제 137 절 중 어느 한 절에 있어서,
상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고,
상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며,
상기 출력은 입력 센서 신호의 근사화인, 시스템.
139. 제 133 절 내지 제 138 절 중 어느 한 절에 있어서,
상기 센서 신호는 퓨필 이미지를 포함하고,
상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된, 시스템.
140. 제 133 절 내지 제 139 절 중 어느 한 절에 있어서,
상기 시스템은,
상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하도록 구성된, 상기 모듈식 오토인코더 모델의 입력 모델;
상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 출력 모델; 및
관심 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현 및/또는 상기 출력(상기 출력은 상기 입력의 근사화를 포함하고 및/또는 상기 근사화에 관련됨)에 기반하여 추정하도록 구성된, 상기 모듈식 오토인코더 모델의 예측 모델을 더 포함하는, 시스템.
141. 제 133 절 내지 제 140 절 중 어느 한 절에 있어서,
상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 시스템.
142. 제 133 절 내지 제 141 절 중 어느 한 절에 있어서,
상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된, 시스템.
143. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
상기 명령은 컴퓨터로 하여금 모듈식 오토인코더 모델을 실행하게 하도록 구성되고,
상기 모듈식 오토인코더 모델은 입력에 기반하여 출력을 생성하도록 구성되며,
상기 명령은,
상기 모듈식 오토인코더 모델의 인코더로 하여금 상기 입력을 인코딩하여 잠재 공간 내의 입력의 저차원 표현을 생성하게 하는 것; 및
상기 모듈식 오토인코더 모델의 디코더로 하여금 상기 저차원 표현을 디코딩함으로써 출력을 생성하게 하는 것을 포함하는 동작을 초래하고,
상기 디코더는 디코딩하는 동안에, 인코딩된 입력의 공지된 속성을 집행하여 출력을 생성하도록 구성되며,
상기 공지된 속성은 상기 잠재 공간 내의 저차원 표현 및 출력 사이의 공지된 물리적 관계와 연관된, 비-일시적 컴퓨터 판독가능 매체.
144. 제 143 절에 있어서,
상기 집행하는 것은, 상기 출력 및 상기 공지된 속성에 따라서 생성되어야 하는 출력 사이의 차이를, 상기 디코더와 연관된 비용 함수 내의 페널티 항(penalty term)을 사용하여 페널티처리(penalizing)하는 것을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
145. 제 143 절 또는 제 144 절에 있어서,
상기 페널티 항은 물리적 사전지식(physical priors)을 통해서 서로 관련되는 상기 입력의 저차원 표현의 디코딩된 버전의 디코딩된 버전들 사이의 차이를 포함하는, 비-일시적 컴퓨터 판독가능 매체.
146. 제 143 절 내지 제 145 절 중 어느 한 절에 있어서,
상기 인코더 및/또는 디코더는 상기 저차원 표현의 디코딩된 버전들 사이의 임의의 차이에 기반하여 조절되도록 구성되고,
상기 조절은 상기 인코더 및/또는 디코더의 계층과 연관된 적어도 하나의 가중치를 조절하는 것을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
147. 제 143 절 내지 제 146 절 중 어느 한 절에 있어서,
상기 입력은 반도체 제조 프로세스 내의 감지 동작과 연관된 센서 신호를 포함하고,
상기 입력의 저차원 표현은 상기 센서 신호의 압축된 표현이며,
상기 출력은 입력 센서 신호의 근사화인, 비-일시적 컴퓨터 판독가능 매체.
148. 제 143 절 내지 제 147 절 중 어느 한 절에 있어서,
상기 센서 신호는 퓨필 이미지를 포함하고,
상기 퓨필 이미지의 인코딩된 표현은 오버레이(많은 가능한 관심 파라미터 중 일 예로서)를 추정하기 위해서 사용되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
149. 제 143 절 내지 제 148 절 중 어느 한 절에 있어서,
상기 모듈식 오토인코더 모델은,
상기 입력을 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 처리하고, 처리된 입력들을 상기 인코더에 제공하도록 구성된 입력 모델;
상기 입력의 확장된 버전을 상기 디코더로부터 수신하고, 상기 입력의 근사화를 상기 확장된 버전에 기반하여 생성하도록 구성된 출력 모델; 및
제조 프로세스 파라미터를 상기 잠재 공간 내의 입력의 저차원 표현에 기반하여 추정하도록 구성된 예측 모델을 더 포함하는, 비-일시적 컴퓨터 판독가능 매체.
150. 제 143 절 내지 제 149 절 중 어느 한 절에 있어서,
상기 파라미터는 반도체 제조 프로세스 파라미터이고,
상기 입력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하는 신경망 블록을 포함하며,
상기 인코더 및/또는 디코더는 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하고,
상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함하는 신경망 블록을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
151. 제 143 절 내지 제 150 절 중 어느 한 절에 있어서,
상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델은 서로 별개이고, 상기 입력 모델, 상기 인코더/디코더, 및 상기 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 비-일시적 컴퓨터 판독가능 매체.
152. 제 143 절 내지 제 150 절 중 어느 한 절에 있어서,
상기 디코더는 상기 모듈식 오토인코더 모델이 추론 페이즈 도중에 집행된 공지된 대칭 속성을 준수하도록, 훈련 페이즈 도중에 상기 인코딩된 입력의 공지된 대칭 속성을 집행하도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
본 명세서에서 개시된 개념들은 서브 파장 피쳐를 이미징하기 위한 임의의 일반적인 이미징 시스템을 시뮬레이션하거나 수학적으로 모델링할 수 있고, 점점 더 작은 크기의 파장을 생성할 수 있는 대두되는 이미징 기술과 함께 사용될 때 특히 유용할 수 있다. 이미 사용 중인 대두되는 기술에는 ArF 레이저를 사용하여 193nm 파장을, 그리고 불소 레이저를 사용하여 심지어 157nm 파장을 생성할 수 있는 EUV(극자외선), DUV 리소그래피가 포함된다. 더욱이, EUV 리소그래피는 싱크로트론을 사용하거나 이러한 범위 내에서 광자를 생성하기 위하여 재료(고체 또는 플라즈마)를 고 에너지 전자로 타격함으로써 20-5nm의 범위 내에서 파장을 생성할 수 있다.
본 명세서에 개시된 개념들이 실리콘 웨이퍼와 같은 기판 상의 이미징을 위하여 사용될 수 있지만, 개시된 개념은 임의의 타입의 리소그래피 이미징 시스템, 예를 들어 실리콘 웨이퍼가 아닌 기판 상의 이미징을 위해서 사용되는 것들, 및/또는 계측 시스템과 함께 사용될 수도 있다는 것이 이해될 것이다. 또한, 개시된 요소들의 조합 및 서브-조합은 별개의 실시형태들을 포함할 수 있다. 예를 들어, 복소 전기장 이미지를 예측하고 오버레이와 같은 계측 메트릭을 결정하는 것은, 동일한 파라미터화된 모델 및/또는 상이한 파라미터화된 모델에 의해서 수행될 수 있다. 이러한 피쳐들은 별개의 실시형태를 포함할 수 있고, 및/또는 이러한 피쳐들은 동일한 실시형태 내에서 함께 사용될 수도 있다.
비록 본 명세서에서 계측 장치의 맥락에서 본 발명의 실시형태가 특정하게 참조되었지만, 본 발명의 실시형태는 다른 장치에서도 사용될 수 있다. 리소그래피 본 발명의 실시형태는 마스크 검사 장치, 리소그래피 장치, 또는 웨이퍼(또는 다른 기판) 또는 마스크(또는 다른 패터닝 디바이스)와 같은 대상물을 측정하거나 처리하는 임의의 장치의 일부가 될 수 있다. 이러한 장치는 일반적으로 리소그래피 툴이라고 지칭될 수 있다. 이러한 리소그래피 툴은 진공 조건 또는 주변(비-진공) 조건을 사용할 수 있다.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 본 발명의 실시형태의 사용에 대하여 이루어졌지만, 콘텍스트가 허용하는 경우 본 발명은 광학 리소그래피로 한정되지 않고, 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있다는 것이 인정될 것이다. 비록 본 발명의 특정한 실시형태가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 설명된 바와 같은 본 발명에 변경이 이루어질 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (15)

  1. 파라미터 추정을 위한 방법으로서,
    모듈식 오토인코더 모델(modular autoencoder model) 중 하나 이상의 입력 모델을 사용하여, 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하는 단계;
    상기 모듈식 오토인코더 모델의 공통 모델을 사용하여, 잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키는 단계 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -;
    상기 공통 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 단계 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
    상기 모듈식 오토인코더 모델의 하나 이상의 출력 모델을 사용하여, 상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하는 단계 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및
    상기 모듈식 오토인코더 모델의 예측 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하는 단계
    를 포함하는, 파라미터 추정 방법.
  2. 제 1 항에 있어서,
    개별적인 입력 모델 및/또는 출력 모델은 두 개 이상의 서브-모델을 포함하고,
    상기 두 개 이상의 서브-모델은 감지 동작 및/또는 제조 공정의 상이한 부분과 연관된, 파라미터 추정 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    개별적인 출력 모델은 두 개 이상의 서브-모델을 포함하고,
    상기 두 개 이상의 서브-모델은 반도체 센서 동작을 위한 센서 모델 및 스택 모델을 포함하는, 파라미터 추정 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 하나 이상의 입력 모델, 상기 공통 모델, 및 상기 하나 이상의 출력 모델은, 서로 별개이고, 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델 각각이 함께 및/또는 별개로 훈련될 수 있도록 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이(process physics difference)에 대응하지만, 상기 모듈식 오토인코더 모델 내의 다른 모델과 별개로, 상기 제조 프로세스 및/또는 감지 동작의 대응하는 부분에 대한 프로세스 물리특성에 기반하여 개별적으로 구성된, 파라미터 추정 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 방법은,
    상기 제조 프로세스 및/또는 감지 동작의 상이한 부분에서의 프로세스 물리특성 차이에 기반하여, 상기 하나 이상의 입력 모델의 양, 및/또는 상기 하나 이상의 출력 모델의 양을 결정하는 단계를 더 포함하는, 파라미터 추정 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 입력 모델의 양은 상기 출력 모델의 양과 다른, 파라미터 추정 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 공통 모델은 인코더-디코더 아키텍처 및/또는 변동형(variational) 인코더-디코더 아키텍처를 포함하고,
    상기 하나 이상의 입력을 차원수의 제 1 레벨로 처리하고, 조합된 처리된 입력들의 차원수를 감소시키는 것은 인코딩을 포함하며,
    상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키는 것은 디코딩을 포함하는, 파라미터 추정 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 방법은,
    상기 하나 이상의 상이한 출력을 대응하는 입력에 비교하고, 출력과 대응하는 입력 사이의 차이를 감소시키거나 최소화하도록 상기 하나 이상의 입력 모델, 상기 공통 모델, 및/또는 상기 하나 이상의 출력 모델의 파라미터화를 조절함으로써 상기 모듈식 오토인코더 모델을 훈련시키는 단계를 더 포함하는, 파라미터 추정 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 공통 모델은 인코더 및 디코더를 포함하고,
    상기 방법은,
    상기 공통 모델이 상대적으로 더 연속적인 잠재 공간을 디코딩하여 생성 디코더 신호를 생성하도록, 상기 잠재 공간 내의 저차원 데이터에 변동을 적용하는 것;
    새로운 저차원 데이터를 생성하도록 상기 디코더 신호를 상기 인코더에 반복적으로 제공하는 것;
    상기 새로운 저차원 데이터를 상기 저차원 데이터에 비교하는 것; 및
    상기 새로운 저차원 데이터와 상기 저차원 데이터 사이의 차이를 감소시키거나 최소화하도록, 상기 비교에 기반하여 상기 모듈식 오토인코더 모델의 하나 이상의 컴포넌트를 조절하는 것
    에 의하여 상기 모듈식 오토인코더 모델을 훈련시키는 단계를 더 포함하는, 파라미터 추정 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 하나 이상의 파라미터는 반도체 제조 프로세스 파라미터이고,
    상기 하나 이상의 입력 모델 및/또는 상기 하나 이상의 출력 모델은 상기 모듈식 오토인코더 모델의 조밀한 피드-포워드 계층, 콘볼루션 계층, 및/또는 잔차 네트워크 아키텍처를 포함하며,
    상기 공통 모델은 피드 포워드 및/또는 잔차 계층을 포함하고,
    상기 예측 모델은 피드 포워드 및/또는 잔차 계층을 포함하는, 파라미터 추정 방법.
  11. 제 1 항 내지 제 10 항 중 어느 한 항에 있어서,
    상기 방법은,
    상기 모듈식 오토인코더 모델의 하나 이상의 보조 모델을 사용하여, 상기 잠재 공간 내의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하는 단계를 더 포함하고,
    상기 라벨은 추정을 위해서 상기 예측 모델에 의해서 사용되도록 구성된, 파라미터 추정 방법.
  12. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
    상기 명령은 컴퓨터로 하여금 파라미터 추정을 위한 모듈식 오토인코더 모델을 실행하게 하도록 구성되고,
    상기 모듈식 오토인코더 모델은,
    다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 하나 이상의 입력 모델;
    공통 모델로서,
    잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키고 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -,
    상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
    상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 하나 이상의 출력 모델 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및
    상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하도록 구성된 예측 모델
    을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
  13. 제 12 항에 있어서,
    상기 모듈식 오토인코더 모델은,
    상기 잠재 공간 내의 저차원 데이터 중 적어도 일부에 대한 라벨을 생성하도록 구성된 하나 이상의 보조 모델을 더 포함하고,
    상기 라벨은 추정을 위해서 상기 예측 모델에 의해서 사용되도록 구성된, 비-일시적 컴퓨터 판독가능 매체.
  14. 다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된, 모듈식 오토인코더 모델의 하나 이상의 입력 모델;
    상기 모듈식 오토인코더 모델의 공통 모델로서,
    잠재 공간 내의 저차원 데이터를 생성하도록, 처리된 입력들을 조합하고, 조합된 처리된 입력들의 차원수를 감소시키고 - 상기 잠재 공간 내의 저차원 데이터는 상기 제 1 레벨보다 적은 결과적으로 감소된 차원수의 제 2 레벨을 가짐 -,
    상기 잠재 공간 내의 저차원 데이터를 상기 하나 이상의 입력의 하나 이상의 확장된 버전으로 확장시키도록 구성된, 공통 모델 - 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 상기 잠재 공간 내의 저차원 데이터와 비교할 때 증가된 차원수를 가지고, 상기 하나 이상의 입력의 하나 이상의 확장된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
    상기 하나 이상의 입력의 하나 이상의 확장된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된, 상기 모듈식 오토인코더 모델의 하나 이상의 출력 모델 - 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 근사화이고, 상기 하나 이상의 상이한 출력은 상기 하나 이상의 입력의 확장된 버전과 비교할 때 동일하거나 증가된 차원수를 가짐 -; 및
    상기 잠재 공간 내의 저차원 데이터 및/또는 하나 이상의 출력에 기반하여 하나 이상의 파라미터를 추정하도록 구성된, 상기 모듈식 오토인코더 모델의 예측 모델
    을 포함하는, 시스템.
  15. 명령을 저장한 비-일시적 컴퓨터 판독가능 매체로서,
    상기 명령은 컴퓨터로 하여금 파라미터 추정을 위한 머신-러닝 모델을 실행하게 하도록 구성되고,
    상기 머신-러닝 모델은,
    다른 입력과의 조합을 위해 적합한 차원수의 제 1 레벨로 하나 이상의 입력을 처리하도록 구성된 하나 이상의 제 1 모델;
    제 2 모델로서,
    처리된 하나 이상의 입력을 조합하고, 조합된 처리된 하나 이상의 입력의 차원수를 감소시키고,
    조합된 처리된 하나 이상의 입력을 상기 하나 이상의 입력의 하나 이상의 복원된 버전으로 확장시키도록 구성된, 제 2 모델 - 상기 하나 이상의 입력의 하나 이상의 복원된 버전은 하나 이상의 상이한 출력을 생성하기에 적합함 -;
    상기 하나 이상의 입력의 하나 이상의 복원된 버전을 사용하여 하나 이상의 상이한 출력을 생성하도록 구성된 하나 이상의 제 3 모델; 및
    감소된 차원수의 조합된 압축된 입력 및 상기 하나 이상의 상이한 출력에 기반하여 파라미터를 추정하도록 구성된 제 4 모델
    을 포함하는, 비-일시적 컴퓨터 판독가능 매체.
KR1020237022307A 2020-12-30 2021-12-20 제조 프로세스 파라미터 추정을 위한 모듈식 오토인코더모델 KR20230125793A (ko)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
EP20217883.6 2020-12-30
EP20217883 2020-12-30
EP20217888.5 2020-12-30
EP20217888 2020-12-30
EP20217886 2020-12-30
EP20217886.9 2020-12-30
EP21168592.0A EP4075340A1 (en) 2021-04-15 2021-04-15 Modular autoencoder model for manufacturing process parameter estimation
EP21168585.4A EP4075339A1 (en) 2021-04-15 2021-04-15 Modular autoencoder model for manufacturing process parameter estimation
EP21168585.4 2021-04-15
EP21168592.0 2021-04-15
EP21169035.9A EP4075341A1 (en) 2021-04-18 2021-04-18 Modular autoencoder model for manufacturing process parameter estimation
EP21169035.9 2021-04-18
EP21187893.9 2021-07-27
EP21187893 2021-07-27
PCT/EP2021/086782 WO2022144204A1 (en) 2020-12-30 2021-12-20 Modular autoencoder model for manufacturing process parameter estimation

Publications (1)

Publication Number Publication Date
KR20230125793A true KR20230125793A (ko) 2023-08-29

Family

ID=79287794

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237022307A KR20230125793A (ko) 2020-12-30 2021-12-20 제조 프로세스 파라미터 추정을 위한 모듈식 오토인코더모델

Country Status (5)

Country Link
US (2) US20240060906A1 (ko)
KR (1) KR20230125793A (ko)
IL (2) IL304024A (ko)
TW (3) TWI818397B (ko)
WO (3) WO2022144205A1 (ko)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG2010050110A (en) 2002-11-12 2014-06-27 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
NL2007176A (en) 2010-08-18 2012-02-21 Asml Netherlands Bv Substrate for use in metrology, metrology method and device manufacturing method.
IL290735B2 (en) 2014-11-26 2023-03-01 Asml Netherlands Bv Metrological method, computer product and system
JP6630369B2 (ja) 2015-06-17 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
US11086299B2 (en) * 2018-03-26 2021-08-10 Hrl Laboratories, Llc System and method for estimating uncertainty of the decisions made by a supervised machine learner
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
US11301748B2 (en) * 2018-11-13 2022-04-12 International Business Machines Corporation Automatic feature extraction from aerial images for test pattern sampling and pattern coverage inspection for lithography
CN111582468B (zh) * 2020-04-02 2022-08-09 清华大学 光电混合智能数据生成计算系统及方法

Also Published As

Publication number Publication date
IL303879A (en) 2023-08-01
TW202240311A (zh) 2022-10-16
TWI818397B (zh) 2023-10-11
TWI806324B (zh) 2023-06-21
WO2022144205A1 (en) 2022-07-07
US20240061347A1 (en) 2024-02-22
TW202240310A (zh) 2022-10-16
TWI807563B (zh) 2023-07-01
WO2022144204A1 (en) 2022-07-07
TW202244793A (zh) 2022-11-16
WO2022144203A1 (en) 2022-07-07
IL304024A (en) 2023-08-01
US20240060906A1 (en) 2024-02-22

Similar Documents

Publication Publication Date Title
US11847570B2 (en) Deep learning for semantic segmentation of pattern
TWI764339B (zh) 用參數化模型預測製程資訊之方法和系統
US20240152060A1 (en) Method and system for predicting process information with a parameterized model
TWI818397B (zh) 用於製造程序參數估計之模組自動編碼器模型
EP4075340A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP4075341A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP4254266A1 (en) Methods related to an autoencoder model or similar for manufacturing process parameter estimation
EP4181018A1 (en) Latent space synchronization of machine learning models for in-device metrology inference
EP3828632A1 (en) Method and system for predicting electric field images with a parameterized model
CN116802647A (zh) 用于制造过程参数估计的模块式自动编码器模型
KR102404117B1 (ko) 계측에서의 데이터 추정 기술
CN118284893A (zh) 用于器件内量测推断的机器学习模型的潜在空间同步
TW202418147A (zh) 用於判定與半導體製造相關之光罩設計的深度學習模型
CN118265953A (en) Obtaining parameters characterizing a manufacturing process