TW202236450A - 半導體裝置 - Google Patents
半導體裝置 Download PDFInfo
- Publication number
- TW202236450A TW202236450A TW110135058A TW110135058A TW202236450A TW 202236450 A TW202236450 A TW 202236450A TW 110135058 A TW110135058 A TW 110135058A TW 110135058 A TW110135058 A TW 110135058A TW 202236450 A TW202236450 A TW 202236450A
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric
- layer
- inhibitor
- deposited
- deposition
- Prior art date
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
- H01L21/76883—Post-treatment or after-treatment of the conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
- H01L23/5283—Cross-sectional geometry
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
- H01L23/53295—Stacked insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76832—Multiple layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Geometry (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
在一實施例中,提供了一種自對準導孔。在一實施例中,抑制層選擇性地沉積在下導電區上。在一實施例中,選擇性地沉積介電質在下導電區上。在一實施例中,可選擇性地蝕刻沉積的介電質。在一實施例中,選擇性地沉積抑製劑在下介電質區上。在一實施例中,選擇性地沉積介電質在下介電質區上。在一實施例中,位於下導電區上方的沉積的介電質與位於下介電質區上方的沉積的介電質具有不同的蝕刻速率,這可導致與下導電區對準的導孔結構。
Description
本發明實施例是關於一種半導體裝置及其形成方法,特別是關於一種內連線結構及其製造方法。
半導體裝置用於各式各樣的電子應用中,例如個人電腦、手機、數位相機、與其他電子裝置。隨著技術的進步,對具有改善性能的更小的半導體裝置的需求增加了。隨著部件密度的增加,生產線後端(back-end of line, BEOL)多層內連線結構的導線的寬度及導線的間距也需要縮小。
通常使用鑲嵌製程而不是藉由直接蝕刻來形成多層金屬內連線。鑲嵌製程通常是單鑲嵌或雙鑲嵌,包括藉由圖案化及蝕刻金屬間介電 (inter-metal dielectric, IMD) 層及導孔來形成開口、以及用金屬填充開口。然而,隨著臨界尺寸及節距(pitch)的不斷縮小,將連接導孔與金屬線對齊存在一些挑戰。
為了適應這些較小規模的生產線後端,需要改善的金屬內連線及其形成方法。
本發明實施例提供一種半導體裝置,包括:兩個或更多個第一階導體及一個或多個第二階導體,被第一介電材料所間隔開,及至少一個導孔導體,延伸穿過第一介電材料並將至少一個第二階導體耦合至至少一個第一階導體;其中至少一個導孔導體的下部的橫向寬度對應於至少一個第一階導體的橫向寬度;及其中第二第一階導體被第二介電材料所覆蓋,其中第二介電材料是與第一介電材料不同的介電材料,且其中第二介電材料的橫向寬度對應於第二第一階導體的橫向寬度 。
本發明實施例提供一種半導體裝置的形成方法,包括:提供基板,包括被第三介電材料所間隔開的兩個或更多個第一階導體;沉積第一抑製劑於第一階導體上方;沉積第四介電材料於未被第一抑製劑所覆蓋之基板的表面的部分上;沉積第一介電材料於基板的表面上方;及形成導孔,耦合至第一階導體之一。
本發明實施例提供一種形成半導體裝置的方法,包括:提供基板,包括由第三介電材料所間隔開的兩個或更多個第一階導體;選擇性地沉積第一抑製劑於第三介電材料上;沉積第四介電材料於未被第一抑製劑所塗佈的基板的表面 上;選擇性地沉積第二抑製劑於第一階導體上;及沉積第二介電材料於未被第二個抑製劑所塗佈的基板的表面上。
隨著臨界尺寸(critical dimensions, CD)縮減,需要形成多層內連線的新方法。舉例而言,隨著節距縮減及 CD 介電質間距縮減到 12 nm 以下,6 nm 內的光學覆蓋控制(optical overlay control)不再有效。缺乏控制會導致例如重疊 (overlay, OVL) 偏移及 CD 擴大等問題。
在由於例如OVL偏移及CD擴大之類的問題而使導孔著陸偏離(via landing deviates)的情況下,性能可能受到負面影響。容易出現例如下列這些問題:導孔至導線(via-to-line)崩潰、導線至導線(line-to-line)崩潰、導線至導線(line-to-line)滲漏、依時性閘極氧化物崩潰(time-dependent gate oxide breakdown, TDDB)。為了使用傳統方案緩解此類問題,需要越來越複雜的乾式-濕式-乾式-濕式蝕刻的蝕刻製程。因此,改善的結構及導孔對準方法對於縮減多層內連線是必要的。本文揭露了新穎結構及藉由選擇性沉積形成自對準導孔結構的方法。
所得之結構可表現出導孔結構對底金屬層的自對準。自對準導孔結構可具有增加的可靠性、較低的RC性能、及較低的電容的優點。在光學微影未對準的情況下,導孔的上部可保持與頂導電區自對準,且導孔的底部可保持與下導電區自對準。因此,結構可更容忍光學微影未對準。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件及其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一及第二元件直接接觸的實施例,也可能包含額外的元件形成在第一及第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參照數值以及∕或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本揭露提供了由多階(multi-level)內連線結構形成的半導體裝置及其製造方法的各種實施例。可藉由鑲嵌製程形成可包括金屬的多級內連線結構,上述金屬例如銅。半導體裝置可被包括在微處理器、記憶體單元、及/或其他積體電路(integrated circuit, IC)中。應注意的是,第1圖的方法未生產完整的半導體裝置。可使用互補式金屬氧化物半導體(complementary metal-oxide-semiconductor, CMOS)技術製程來製造完整的半導體裝置。因此,應理解的是,可在第1圖的方法150之前、期間、及之後提供額外製程,且在本文中可能僅簡要地描述一些其他的製程。此外,為了更好地理解本揭露,將第2圖至第12圖簡化。舉例而言,儘管附圖說明了半導體裝置,但可理解的是IC可包括許多其他裝置,包括晶體管、電阻器、電容器、電感器、保險絲(fuses)等。
第1圖係根據本揭露的各個方面,繪示用於製造半導體裝置的方法150的流程圖。第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、及第12圖係根據第1圖的方法150的實施例,繪示在製造的各個階段半導體裝置的示意性剖面圖。
參照第1圖及第2圖,方法150進行到步驟100,其中包括得到一半導體基板228,其具有形成在介電230材料中的金屬(n)232導電區。半導體基板228是在半導體積體電路製造中採用的基板,並且可在其中及/或在其上形成積體電路。如本文所用的,術語“半導體基板”是指包含半導體材料的任何構造,例如具有或不具有磊晶層的矽基板、包含埋藏絕緣層(buried insulator layer)的絕緣體上矽基板、或具有矽鍺層的基板。本文所使用的術語“積體電路”是指具有複數個單獨電路元件的電子電路,上述電路元件例如電晶體、二極體、電阻器、電容器、電感器、及其他主動及被動半導體裝置。
如圖所示,導電區232可為半導體基板228的一部分(例如,在半導體基板228中藉由凹蝕而露出,以形成導電區232)。導電區232可形成在介電層230中及/或上。在一些實施例中,介電層230可形成在基板228上。導電區232可為導電路徑的一部分並且具有可藉由平坦化製程處理的露出表面,上述平坦化製程例如化學機械拋光(chemical mechanical polishing, CMP)。適用於導電區232的材料可包括但不限於例如銅、鋁、銅合金、或其他可移動(mobile)導電材料。包含這種金屬導電區232的半導體基板228可為半導體裝置的第一或任何後續金屬內連線層。導電區可被覆蓋(capped)。上述的覆蓋可提高可靠性。
介電層230可為單層或多層結構。在一些實施例中,介電層230的厚度隨著所應用的技術而變化,例如約1000埃至約30000埃的厚度。在一些實施例中,介電層230為氧化矽、碳摻雜氧化矽、具有k值小於約4.0之相對低介電常數(k值)的介電材料、或其組合。在一些實施例中,介電層230是由包括下列的材料所形成:低k介電材料、極低k介電材料、多孔低k介電材料、及其組合。術語“低k”意於定義介電材料的介電常數為3.0或更小。術語“極低k(extreme low-k, ELK)”是指介電常數為2.5或更小,優選介於1.9至2.5之間。術語“多孔低k”是指介電材料的介電常數為2.0或更小,優選為1.5或更小。根據實施例,可採用多種例如下列低k材料:旋塗(spin on)無機介電質、旋塗有機介電質、多孔介電材料、有機聚合物、有機石英玻璃、FSG(SiOF系列材料)、HSQ(氫矽氧烷(hydrogen silsesquioxane))系列材料、MSQ(甲基矽氧烷(methyl silsesquioxane))系列材料、或多孔有機系列材料。在一些實施例中,藉由例如下列多種技術中的任一種來沉積介電層230:化學氣相沉積(Chemical Vapor Deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(Atomic Layer deposition, ALD)、遠程電漿增強化學氣相沉積(remote plasma enhanced chemical vapor deposition, RPECVD)、液體源霧化化學沉積 (liquid source misted chemical deposition, LSMCD)、塗佈(coating)、旋轉塗佈(spin-coating)、或其他適合在基板上形成薄膜層的製程。
在實施例中,介電層230為含氮層(nitrogen-containing layer)、含碳層(carbon-containing layer)、或含碳且含氮層。在一實施例中,介電層230為含矽且含氮介電層。在另一實施例中,介電層230為含矽且含碳的介電層。在又一實施例中,介電層230為含矽、含氮、且含碳的介電層。在一實施例中,介電層230具有約等於或大於0.5的碳與矽的重量比。在另一實施例中,介電層230具有約等於或大於0.3的氮與矽的重量比。在又一實施例中,介電層230具有約等於或大於0.5的碳與矽的重量比及約等於或大於0.3的氮與矽的重量比。
參照第1圖及第3圖,方法150進行到步驟102,其中抑製劑(inhibitor)234可選擇性地沉積在導電區232上。導電區232可為金屬且抑製劑可沉積在金屬上。金屬可用金屬蓋層覆蓋。可藉由離子佈植(ion implantation)、電漿處理(plasma treatment)、氣體浸泡(gas soak)、或許多其他方式來形成蓋層。蓋層可為導電區的合金、或由Cu、Si、N、C、O所構成的分子所組成、或為許多其他常用蓋層的元素,。可在金屬蓋層上沉積抑製劑。抑製劑234可形成抑製劑阻擋層(blocking layer)234。
抑製劑阻擋層234可為自組裝單層(self-assembled monolayer, SAM)。自組裝單層可具有錨定基團(anchor group)、尾部(tail) 、及官能端基團(functional end group)中的一種或多種。錨定基團可包括磷(phosphorous)、硫(sulfur)、矽(silicon)、碳(carbon)、或氮(nitrogen)。錨定基團可包括硫醇(thiols)、矽烷(silanes)、或膦酸酯(phosphonates)。抑製劑阻擋層234可由例如苯並三唑(benxotriazole, BTA)的分子所形成。
可使用例如下列乾式技術來沉積抑製劑阻擋層234:原子層沉積(atomic layer deposition, ALD)、化學氣相沉積(Chemical Vapor Deposition, CVD)、或氣相ALD。可使用催化生長(catalyzed growth)技術來沉積抑製劑阻擋層234。可使用任何下列沉積方法來沉積抑製劑阻擋層234:低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition, LPCVD)、常壓化學氣相沉積(atmospheric-pressure chemical vapor deposition ,APCVD)、電漿增強化學氣相沉積(Plasma-Enhanced Chemical Vapor Deposition, PECVD)、物理氣相沉積(physical vapor deposition, PVD)、濺鍍、及未來發展的沉積方法。
可使用例如下列濕式技術(wet techniques)來沉積抑製劑阻擋層234:例如旋轉塗佈(spin-on)、浸漬(dipping)、或噴塗(spraying)方法。舉例而言,抑製劑阻擋層234可為將結合至位於導電區232上的露出基團的組合物(composition)。舉例而言,抑製劑阻擋層234可包括可選擇性地結合至導電區232的硫醇官能基團。在一些情況下,可將導電區232的表面預處理,以形成基團以選擇性地結合至位於抑製劑阻擋層234上的基團。在一些情況下,可在將晶圓沉積於抑製劑阻擋層234上之後清洗晶圓,使得抑製劑阻擋層234僅保留在導電區 232 上方的區域中
在一些實施例中,可處理導電區 232 的表面,以允許抑製劑阻擋層234的沉積。在一些實施例中,處理製程可被配置為處理或以其他方式修飾(modify)導電區232的頂邊界(top boundary)。處理氣體可與導電區232的原子反應。舉例而言,處理製程可包括氫氣(hydrogen, H
2)電漿製程,藉由流送氫氣或前驅物至真空(氣密(airtight))腔室中,用於為導電區232及氫之間的鍵結提供氫。在另一示例中,處理製程可包括氮氣(nitrogen, N
2)電漿製程,藉由流送氮氣或前驅物至真空(氣密)腔室中,用於為導電區232及氮之間的鍵結供應氮。在又一示例中,處理製程可包括氨氣(ammonia, NH
3)電漿製程,藉由將氮氣或前驅物流送至真空(密閉)腔室中,用於為導電區232與氮及/或氫之間的鍵結提供氮及/或氫。在又一示例中,處理製程可包括用於提供氧化物鍵結的氧(oxygen, O
2)電漿處理。在又一示例中,處理製程可包括浸泡(soak)製程,藉由將相應的氣體或前驅物流送至真空(氣密)腔室中而不形成電漿,用於浸泡導電區232。在一些實施例中,氣體浸泡(gas soak)可為例如下列氣體:N
2、H
2、NH
3、CO
2、O
2、空氣、或其混合物。在一些實施例中,可在室溫下進行沉積或處理。在一些實施例中,沉積或處理可在高於室溫的溫度下進行。
抑製劑阻擋層234的處理可包括例如下列乾式方法:氣體浸泡或電漿處理。在一些實施例中,處理可包括例如下列濕式方法:酸清洗(acid clean)、溶液清洗(solution clean)或濕式蝕刻。
參照第1圖及第4圖,方法150進行到步驟104,其中可在抑製劑234未沉積的區域中選擇性地沉積介電質236。介電質236可沉積在介電質230上方並且不沉積在抑製劑234已經沉積在其上方的導電區232上方。介電質236可為單層或多層結構。在一些實施例中,介電質236的厚度可為約5埃。在一些實施例中,介電質236的厚度可在約5埃至約70奈米之間。在一些實施例中,介電質236為氧化矽、碳摻雜的氧化矽、具有k值小於約4.0的相對低介電常數(k值)的介電材料、或其組合。在一些實施例中,介電層236是由包括下列的材料所形成:低k介電材料、極低k介電材料、多孔低k介電材料、及其組合。根據實施例,可採用多種例如下列材料:包括Si、O的較低介電常數材料、氧化物、氮化物、或碳化物複合膜(carbide composite films)。可能的實施例的示例可包括旋塗無機介電質、旋塗有機介電質、多孔介電材料、有機聚合物、有機石英玻璃、FSG(SiOF系列材料)、HSQ(氫矽氧烷(hydrogen silsesquioxane))系列材料、MSQ(甲基矽氧烷(methyl silsesquioxane))系列材料、或多孔有機系列材料。在一些實施例中,藉由例如下列多種技術中的任一種來沉積介電層236:化學氣相沉積(Chemical Vapor Deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(Atomic Layer deposition, ALD)、遠程電漿增強化學氣相沉積(remote plasma enhanced chemical vapor deposition, RPECVD)、液體源霧化化學沉積 (liquid source misted chemical deposition, LSMCD)、塗佈(coating)、旋轉塗佈(spin-coating)、或適合在基板上形成薄膜層的其他製程。
參照第1圖及第5圖,方法150進行到步驟106,其中可移除抑製劑。可藉由乾式處理方法來移除抑製劑。乾式處理方法的示例包括氣體浸泡例如可用於氣體浸泡的下列氣體:N
2、H
2、NH
3、CO
2、O
2、空氣、或其混合物。可在室溫(ambient)或高溫 (elevated temperatures)下發生氣體浸泡。乾式處理方法的其他示例是在真空環境中或在N
2、H
2、NH
3、CO
2、O
2、空氣、或其混合物中的任一種存在下在室溫或高溫下的電漿處理。濕式處理方法的示例包括酸清洗、酸蝕刻、溶液清洗、或在室溫或高溫下的溶液蝕刻(solution etch)。
參照第1圖及第6圖,方法150進行到步驟108,其中抑製劑可選擇性地沉積在介電質236上。抑製劑可為自組裝單層或其他分子。自組裝單層可具有錨定基團、尾部、及官能端基團中的一種或多種。錨定基團可包括矽、碳、及氮之一。
可使用例如下列乾式技術來沉積抑製劑阻擋層238:原子層沉積(atomic layer deposition, ALD)、化學氣相沉積(Chemical Vapor Deposition, CVD)、或氣相ALD。可使用催化生長(catalyzed growth)技術來沉積抑製劑阻擋層238。可使用下列沉積方法來沉積抑製劑阻擋層238:低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition, LPCVD)、常壓化學氣相沉積(atmospheric-pressure chemical vapor deposition ,APCVD)、電漿增強化學氣相沉積(Plasma-Enhanced Chemical Vapor Deposition, PECVD)、物理氣相沉積(physical vapor deposition, PVD)、濺鍍、及未來發展的沉積方法。可使用例如下列濕式技術(wet techniques)來沉積抑製劑阻擋層238:例如旋轉塗佈(spin-on)、浸漬(dipping)、或噴塗(spraying)方法。
在一些實施例中,可處理介電區236的表面,以允許抑製劑阻擋層238的沉積。在一些實施例中,處理製程可被配置為處理或以其他方式修飾介電區236的頂邊界。處理氣體可與介電區236的原子反應。舉例而言,處理製程可包括氫氣(hydrogen, H
2)電漿製程,藉由流送氫氣或前驅物至真空(氣密(airtight))腔室中,用於為介電區236及氫之間的鍵結提供氫。在另一示例中,處理製程可包括氮氣(nitrogen, N
2)電漿製程,藉由流送氮氣或前驅物至真空(氣密)腔室中,用於為介電區236及氮之間的鍵結供應氮。在又一示例中,處理製程可包括氨氣(ammonia, NH
3)電漿製程,藉由將氮氣或前驅物流送至真空(密閉)腔室中,用於為介電區236與氮及/或氫之間的鍵結提供氮及/或氫。在又一示例中,處理製程可包括用於提供氧化物鍵結的氧(oxygen, O
2)電漿處理。在又一示例中,處理製程可包括浸泡製程,藉由將相應的氣體或前驅物流送至真空(氣密)腔室中而不形成電漿,用於浸泡介電區236。在一些實施例中,氣體浸泡可為例如下列氣體:N
2、H
2、NH
3、CO
2、O
2、空氣、或其混合物的氣體。在一些實施例中,可在室溫下進行沉積或處理。在一些實施例中,沉積或處理可在高於室溫的溫度進行。
用於抑製劑阻擋層238的處理可包括例如氣體之氣體浸泡的乾式方法,上述氣體例如N
2、H
2、NH
3、CO
2、O
2、空氣、或其混合物。乾式方法的另一個示例是在N
2、H
2、NH
3、CO
2、O
2、空氣、或混合物的環境中進行電漿處理。在一些實施例中,處理可包括例如下列濕式方法:酸清洗、溶液清洗、或濕式蝕刻濕。上述乾式方法及濕式方法可在室溫下進行。上述乾式方法及濕式方法可在高溫下進行。
參照第1圖及第7圖,方法150進行到步驟110,其中可在不存在抑製劑的區域上沉積介電質240。介電質240可沉積在導電區232上方。可藉由下列製程來沉積介電質240:化學氣相沉積(Chemical Vapor Deposition, CVD)、原子層沉積(Atomic Layer deposition, ALD)、分子層沉積(molecular layer deposition, MLD)、旋塗沉積、或其他製程。相較於介電質236,介電質240可具有更高的蝕刻選擇性,允許更快的蝕刻速率。介電質240的材料可為在氧化物、氮化物、或碳化物複合膜中的Al、Zr、Y、Hf、或Ti。介電質240的厚度可在小於SAM至約70nm的範圍。
參照第1圖及第8圖,方法150進行到步驟112,其中可移除抑製劑。可藉由乾式處理方法來移除抑製劑。乾式處理方法的示例包括在室溫或高溫下將氣體浸泡在氣體中,上述氣體例如N
2、H
2、NH
3、CO
2、O
2、空氣、或其混合物。乾式處理方法的其他示例是在真空環境中或在N
2、H
2、NH
3、CO
2、O
2、空氣或其混合物中的任何一種存在下在室溫或高溫下進行電漿處理。濕式處理方法的示例包括在室溫或在高溫下的酸清洗、酸蝕刻、溶液清洗、或溶液蝕刻。
參照第1圖及第9圖,方法150進行到步驟114,其中可沉積蝕刻停止層280。蝕刻停止層280可沉積在表面的頂部上方。蝕刻停止層289可覆蓋介電質240及介電質236。蝕刻停止層280用於在後續蝕刻製程期間控制終點。在一些實施例中,蝕刻停止層280是由氧化矽(silicon oxide)、氮化矽(silicon nitride)、碳化矽(silicon carbide)、氮氧化矽(silicon oxynitride)、或其組合所形成。在一些實施例中,蝕刻停止層280具有約10埃至約1000埃的厚度。藉由包括下列多種沉積技術中的任一種來形成蝕刻停止層280: 低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition, LPCVD)、常壓化學氣相沉積(atmospheric-pressure chemical vapor deposition ,APCVD)、電漿增強化學氣相沉積(Plasma-Enhanced Chemical Vapor Deposition, PECVD)、物理氣相沉積(physical vapor deposition, PVD)、濺鍍、及未來發展的沉積方法。
參照第1圖及第10圖,方法150進行到步驟116,其中可沉積介電層231。介電層231可沉積在蝕刻停止層280上方。介電層231可為單層或多層結構。在一些實施例中,介電層231的厚度隨著所應用的技術而變化,例如約1000埃至約30000埃的厚度。在一些實施例中,介電層231為氧化矽、碳摻雜氧化矽、k值小於約4.0的相對低介電常數(k值)的介電材料、或其組合。在一些實施例中,介電層231是由包括例如下列所形成:低k介電材料、極低k介電材料、多孔低k介電材料、及其組合的材料。術語“低k”意於定義介電材料的介電常數為3.0或更小。術語“極低k(extreme low-k, ELK)”是指介電常數為2.5或更小,優選介於1.9及2.5之間。術語“多孔低k”是指介電材料的介電常數為2.0或更小,優選為1.5或更小。
根據實施例,可採用例如下列多種低k材料:旋塗無機介電質、旋塗有機介電質、多孔介電材料、有機聚合物、有機石英玻璃、FSG(SiOF系列材料)、HSQ(氫矽氧烷(hydrogen silsesquioxane))系列材料、MSQ(甲基矽氧烷(methyl silsesquioxane))系列材料、或多孔有機系列材料。在一些實施例中,藉由例如下列多種技術中的任一種來沉積介電層231:化學氣相沉積(Chemical Vapor Deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、原子層沉積(Atomic Layer deposition, ALD)、遠程電漿增強化學氣相沉積(remote plasma enhanced chemical vapor deposition, RPECVD)、液體源霧化化學沉積 (liquid source misted chemical deposition, LSMCD)、塗佈(coating)、旋轉塗佈(spin-coating)、或適合在基板上形成薄膜層的其他製程。
在實施例中,介電層231為含氮層、含碳層、或含碳且含氮層。在一些實施例中,介電層231為含矽且含氮介電層。在一些實施例中,介電層231為含矽且含碳的介電層。在又一實施例中,介電層231為含矽、含氮、含碳的介電層。在一實施例中,介電層231具有約等於或大於0.5的碳與矽的重量比。在另一實施例中,介電層231具有約等於或大於0.3的氮與矽的重量比。在又一實施例中,介電層231具有約等於或大於0.5的碳與矽的重量比及約等於或大於0.3的氮與矽的重量比。
參照第1圖及第11圖,方法150進行到步驟118,其中可將用於導電區(n+1)及導孔(n到n+1)的溝槽圖案化。可蝕刻溝槽及導孔以用於導孔著陸(landing)。可使用雙鑲嵌製程蝕刻溝槽及導孔。在介電層231及蝕刻停止層281中圖案化溝槽,以在半導體基板上定義接觸區(contact region)。儘管實施例繪示了雙鑲嵌開口,但也可使用單鑲嵌製程。在包括“導孔先製”圖案化方法或“溝槽先製” 圖案化方法的雙鑲嵌技術中,可使用具有遮蔽技術及非等向性蝕刻操作(例如,電漿蝕刻或反應性離子蝕刻)的典型光學微影來形成溝槽242及導孔244。在替代實施例中,將底部蝕刻停止層(bottom etch stop layer)(未繪示)、中間蝕刻停止層(middle etch stop layer)(未繪示)、拋光停止層(polish stop layer)(未繪示)、或抗反射塗(anti-reflective coating, ARC)層(未繪示)沉積在介電層231上或介電層231中的中間,提供何時結束特定蝕刻製程的明確指示。本領域具有通常知識者應理解的是,在一些實施例中,也可存在本領域中詳述的額外層。
參照第1圖及第12圖,方法150進行到步驟120,可包括用於後蝕刻(post etch)的濕式清潔製程。濕式清潔製程也可移除介電質240。由於介電質240的蝕刻選擇性,導孔可自對準。介電質240可具有比介電質236及介電質231的蝕刻選擇性更好的蝕刻選擇性。蝕刻選擇性可防止蝕刻擊入(punch into)介電質236中。選擇性介電質240可被轉化而使用選擇性蝕刻製程的濕式清潔將之移除。濕式清潔可為酸清潔、酸蝕刻、溶液清潔、或溶液蝕刻。濕式清潔可使用RCA製程。可在室溫、低溫、或高溫下進行濕式清潔。濕式清潔可為等向性的或非等向性的。濕式清潔化學藥品的示例包括鹽酸(hydrochloric acid)、過氧化氫(hydrogen peroxide)、氫氟酸(hydrofluoric acid)、硫酸(sulfuric acid)、標準清潔-1 (standard clean-1, SC-1)、氨水過氧化氫混合物 (ammonia hydrogen peroxide mixture, APM)、鹽酸過氧化氫混合物 (hydrochloric acid hydrogen peroxide mixture, HPM)、硫酸過氧化氫混合物 (sulfuric acid hydrogen peroxide mixture, SPM)、及許多其他產業中眾所周知的化學藥品。此列表具有代表性,本領域技術人員知道目前使用的許多化學品將來可在濕式蝕刻製程中使用。
參照第1圖及第13圖,方法150進行到步驟122,其中可填充溝槽242及導孔244。溝槽242及導孔244可填充有導電材料。可使用金屬化層(metallization)來填充溝槽242及導孔244,上述金屬化層用於金屬間隙填充(gap-filling)。在一些實施例中,溝槽242及導孔244導電材料也可包括選擇性阻障。在一些實施例中,將導電材料形成為介電層231中的內連線結構。在一些實施例中,藉由下列沉積技術來沉積導電材料:電化學電鍍(electro-chemical plating, ECP)製程、物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(Chemical Vapor Deposition, CVD)、電漿增強化學氣相沉積(Plasma-Enhanced Chemical Vapor Deposition, PECVD)、低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition, LPCVD)、原子層沉積(Atomic Layer deposition, ALD)、或其他眾所周知的沉積技術。在一些實施例中,導電材料至少包含主要金屬元素,例如銅(copper, Cu)。在一些實施例中,導電材料更包含添加的(additive)金屬元素。在一些實施例中,導電材料中的添加的金屬元素為鉭(tantalum, Ta) 、銦(indium, In)、錫(tin, Sn)、鋅(zinc, Zn)、錳(manganese, Mn)、鉻(chromium, Cr)、鈦(titanium, Ti)、鍺(germanium, Ge)、鍶(strontium, Sr)、鉑(platinum, Pt)、鎂(magnesium, Mg)、鋁 (aluminum, Al) 、或鋯 (zirconium, Zr)。在一些實施例中,移除位於介電層231上方的導電區242中的一部分導電材料。在一些實施例中,移除製程是進行化學機械拋光(chemical mechanical polishing, CMP)製程,以移除位於導電區242外部的導電材料的多餘部分,因此露出介電層231並實現平坦化的表面。
在一些實施例中,導電材料可包括第一導電層,第一導電層形成為內襯在溝槽242及導孔244的側壁及底部上。第一導電層可包括例如下列金屬材料:鉭(tantalum, Ta)、鈦(titanium, Ti)、及鎢 (tungsten, W)。在一些實施例中,第一導電層包括上述定義的金屬材料的化合物或合金,例如氮化鉭(tantalum nitride, TaN)、氮矽化鉭 (tantalum nitride silicon, TaNSi)、鈦鎢(titanium tungsten, TiW)、及氮矽化鈦 (titanium nitride silicon, TiNSi)的。在一些實施例中,第一導電層具有約10埃至約250埃的厚度。在一些實施例中,可藉由使用例如下列沉積技術來沉積第一導電層:物理氣相沉積(physical vapor deposition, PVD)、化學氣相沉積(Chemical Vapor Deposition, CVD)、電漿增強化學氣相沉積(Plasma-Enhanced Chemical Vapor Deposition, PECVD)、低壓化學氣相沉積(Low-Pressure Chemical Vapor Deposition, LPCVD)、原子層沉積(Atomic Layer deposition, ALD)、或其他眾所周知的沉積技術。
第14圖繪示了方法150中的所有方法步驟的例示性剖面代表。在步驟100中,可得到半導體基板228,包括形成在介電質230材料中的金屬(n)232導電區。在步驟102中,可在導電區232上選擇性地沉積抑製劑234。在步驟104中,可在未沉積抑製劑234的區域中選擇性地沉積介電質236。在步驟108中,可在介電質230上選擇性地沉積抑製劑。在步驟110中,可在不存在抑製劑的區域上沉積介電質240。在步驟112中,可移除抑製劑。在步驟114中,可沉積蝕刻停止層280。在步驟116中,可沉積介電層231。在步驟118中,可將用於導電區(n+1)及導孔(n到n+1)的溝槽圖案化。在步驟120中,可在蝕刻後進行濕式清潔製程,這也可移除被轉化的(converted)介電質240。最後,在步驟122中,可填充溝槽242及導孔244。
第15圖繪示了本發明的替代實施例並且提出用於導致產生自對準導孔之方法的第二實施例。相反於先前提出的方法,在替代實施例中,首先將抑製劑沉積在介電質區230上而非沉積在導電區232上。除了與第1圖中的細節概述有所不同處以外,對應於第1圖方法的步驟細節在此被省略。
在步驟700(對應於第1圖的步驟100),提供基板,其中上表面包含由介電質所間隔開的導電金屬。在步驟702(對應於第1圖的步驟102),抑製劑可選擇性地沉積在介電質表面上。在步驟704(對應於第1圖的步驟104),可在晶圓(wafer)表面上抑製劑並未沉積的區域中沉積介電質。步驟704可導致介電質沉積在表面的導電區上。在步驟706(對應於第1圖的步驟106),移除抑製劑。在步驟708(對應於第1圖的步驟108),抑製劑可選擇性地沉積在導電區上方。在步驟710(對應於第1圖的步驟110),可在不存在抑製劑的區域中沉積介電質。在步驟712(對應於第1圖的步驟112),移除抑製劑。在步驟714(對應於第1圖的步驟114),蝕刻停止層可沉積在晶圓的表面上方。在步驟716 (對應於第1圖的步驟116),可在蝕刻停止層上方沉積層間介電質。在步驟718(對應於第1圖的步驟118),可將上導電溝槽及連接導孔(connecting vias)圖案化。在步驟720(對應於第1圖的步驟120),可蝕刻上導電溝槽及連接導孔,在步驟722(對應於第1圖的步驟122),可用金屬等導電材料填充溝槽及導孔。
第16圖繪示了在第二實施例方法的步驟700至706期間形成的結構的剖面表示。在步驟700,提供基板,其中上表面包含由介電質所間隔開的導電金屬。在步驟702,抑製劑可選擇性地沉積在介電質表面上。在步驟704,可在晶圓表面上並未沉積抑製劑的區域中沉積介電質。步驟704可導致介電質沉積在表面的導電區上。在步驟706,移除抑製劑。
第17圖繪示了本發明的替代實施例並且呈現了用於導致產生自對準導孔之方法的第三實施例。相較於第一實施例方法,省略了步驟108及112。不同於第一實施例,在第三實施例中,抑製劑僅沉積在導電區232上。沒有抑製劑沉積在介電質區236上。
除了與第1圖中的細節概述有所不同處以外,對應於第1圖方法的步驟細節在此被省略。
在步驟1700(對應於第1圖的步驟100),提供基板,其中上表面包含由介電質所間隔開的導電金屬。在步驟1702(對應於第1圖的步驟102),抑製劑可選擇性地沉積在表面的導電區上。在步驟1704(對應於第1圖的步驟104),可在晶圓的表面上抑製劑並未沉積的區域中沉積介電質。步驟1704可導致介電質沉積在表面的介電質區上。在步驟1706(對應於第1圖的步驟106),移除抑製劑。
在步驟1708,可在晶圓的表面上沉積介電質1802。介電質1802可覆蓋晶圓的整個表面。在步驟1710(對應於第1圖的步驟114),蝕刻停止層可沉積在晶圓的表面上方。在步驟1712((對應於第1圖的步驟116),可在蝕刻停止層上方沉積層間介電質。在步驟1714(對應於第1圖的步驟118),可將上導電溝槽及連接導孔圖案化。在步驟1716(對應於第1圖的步驟120),可蝕刻上導電溝槽及連接導孔。在步驟1718(對應於第1圖的步驟122),可用例如金屬的導電材料填充溝槽及導孔。
第18圖繪示了在第二實施例方法的步驟1700至1708期間形成的結構的剖面表示。在步驟1700,提供基板,其中上表面包含由介電質所間隔開的導電金屬。在步驟1702,抑製劑可選擇性地沉積在導電表面上。在步驟1704,可在晶圓表面上抑製劑並未沉積的區域中沉積介電質。步驟1704可導致介電質沉積在表面的介電質區上。在步驟 1706,可移除抑製劑。在步驟1708,可橫跨晶圓的表面沉積介電質。介電質1802可藉由導致間隙填充的旋塗濕式製程來沉積。可藉由化學氣相沉積(Chemical Vapor Deposition, CVD)、原子層沉積(Atomic Layer deposition, ALD)、或旋塗來沉積介電質1802,這可導致介電質1802形成橫跨晶圓表面的保形塗層。
第19圖至第20圖繪示在重疊(overlay, OVL)偏移的情況下本文自對準導孔結構的一個優點,上述覆蓋偏移的情況例如在光學微影圖案化中。這種偏移可能是由於例如光學微影遮罩的未對準。在 OVL 偏移的情況下,介電質 240 及介電質1802增加了導孔 244 及鄰近的(adjacent)第一階導電區232之間的臨界尺寸。這是由於藉由所揭露的方法形成的區域中的材料不同。臨界尺寸的增加降低了導孔244到第一階導電區232的崩潰及漏電流(leakage current)。
第21圖至第22圖繪示在擊穿(punch through) 的情況下及在重疊(overlay, OVL)偏移的情況下本文自對準導孔結構的一個優點,上述情況例如在光學微影圖案化中。在具有擊穿的OVL偏移的情況下,介電質240及介電質1802增加了導孔244及鄰近的第一階導電區232之間的臨界尺寸。臨界尺寸的增加降低了導孔244到第一階導電區232的崩潰及漏電流。
第23圖至第24圖繪示在導孔臨界尺寸(critical dimension, CD)擴大的情況下本文自對準導孔結構的一個優點,上述情況例如在光學微影圖案化中。在導孔CD擴大的情況下,介電質240及介電質1802增加了導孔及鄰近的第一階導電區232之間的臨界尺寸。臨界尺寸的增加降低了導孔到第一階導電區的崩潰及漏電流。
本文呈現的實施例1及實施例2(以及替代實施例)的所得結構可表現出導孔結構對底金屬層的自對準。這可能是由於對位於下導體上方的下介電質的選擇性蝕刻。由於第二抑製劑對介電質的阻擋而在下導體的表面上形成的選擇性蝕刻的介電質可約為下導體的橫向寬度。自對準導孔結構可具有增加的可靠性、較低的RC性能、及較低的電容的優點。在光學微影未對準的情況下,導孔的上部可保持與頂導電區自對準,而導孔的底部可保持與下導電區自對準。
第25圖繪示了在一個實施例中多層半導體中的後端金屬內連線的剖面表示。應注意的是,方法100可反復地進行,以形成半導體裝置的一個或多個後續金屬內連線層。舉例而言,藉由重複步驟100至122,半導體裝置可包括另一個介電層240、另一個蝕刻停止層280、另一個介電層231、另一個導孔244、及另一個與所討論的元件實質相似的溝槽導電區242。因此,將不再重複討論。這可重複直到形成所需數量的金屬內連線層。此外,可藉由本領域的替代方法來形成金屬疊層中的一些金屬層。舉例而言,可使用雙鑲嵌或其他方法來形成金屬疊層中的一些金屬層。
在第25圖中繪示出這種金屬層疊層的一個示例。在第25圖中,可例如藉由方法100來形成由2520及2524表示的金屬層。在第25圖中,可藉由替代方法來形成用2522表示的金屬層,上述替代方法例如雙鑲嵌。在第25圖中,可藉由形成金屬線及導孔的一些替代方法穿過介電質2502來形成金屬線2506及金屬導孔2504。本領域技術人員應理解的是可藉由替代方法來形成金屬層2520及2524。本領域技術人員將進一步理解的是可藉由方法100來形成金屬層2522。這僅為一個示例,本領域技術人員應理解的是形成位於多層半導體裝置之後端內連線中的層的多種方式及多種可能性。
在一實施例中,所形成的裝置可包括由一個或多個多層內連線所形成的半導體裝置。每個多層內連線可包括兩個或更多個第一階導體232及一個或多個第二階導體242。第一階導體及第二階導體可被第一介電材料231所間隔開,且形成穿過介電材料231的至少一個導孔導體。導孔導體可將第二階導體242耦合至第一階導體232。
在一些實施例中,導孔導體的下部的橫向寬度可對應於與其連接的至少一個第一階導體232的橫向寬度。在一些實施例中,第二第一階導體232可被第二介電材料240所覆蓋。在一些實施例中,第二介電材料240可為與第一介電材料231不同的介電材料。在一些實施例中,第二介電材料240的橫向寬度可對應於第二第一階導體232的橫向寬度。在一些實施例中,導孔可為空腔(cavity),穿過第一階金屬導體232及第二階金屬導體242之間的介電231層,空腔填充有金屬材料。
在一些實施例中,第二介電質240可為具有高移除選擇性的介電質。在一些實施例中,第二介電質240可為包括鋁、鋯、釔、鉿、及鈦中的一種或多種的介電質。第二介電質240可為具有低介電常數的介電材料,並且可為矽、氧、碳、及氮中的一種或多種。在一些實施例中,第二介電質240的厚度可大於或等於5埃且小於或等於70奈米。
在一實施例中,所述的方法可包括藉由提供基板來形成半導體裝置,上述基板包括由第三介電材料230所間隔開的兩個或更多個第一階導體232。方法可包括在第一階導體232上選擇性地沉積第一抑製劑234。方法可包括沉積第四介電材料236於未被第一抑製劑234所塗佈的基板的表面上方。方法可包括移除第一抑製劑234。方法可包括選擇性地沉積第二抑製劑238於第三介電材料230上。方法可包括沉積第二介電材料240於未被第二抑製劑238所塗佈的基板的表面上。方法可包括移除第二抑製劑238。方法可包括沉積第一介電材料231於基板的表面上,形成穿過第一介電層231的空腔,以及用金屬材料填充空腔,以形成第一階金屬導體232及第二階金屬導體242之間的導孔。
第四介電材料236及第二介電材料240可為不同的介電材料。第一抑製劑234可為自組裝單層,並且可藉由氣相原子層沉積、化學氣相沉積、旋轉塗佈、浸塗、或噴塗之一形成第一抑製劑234。第二抑製劑238可為自組裝單層,並且可藉由氣相原子層沉積、化學氣相沉積、旋轉塗佈、浸塗、或噴塗之一形成第二抑製劑238。第一抑製劑234可藉由氣體浸泡、電漿處理、酸處理、及溶液處理中的一種或多種進行處理。第一抑製劑234的處理可導致至少部分移除抑製劑層。第二抑製劑238可藉由氣體浸泡、電漿處理、酸處理、及溶液處理中的一種或多種進行處理。第二抑製劑238的處理可導致至少部分移除抑製劑層。
在一實施例中,方法可包括藉由提供基板來形成半導體裝置,上述基板包括由第三介電材料230所間隔開的兩個或更多個第一階導體232。方法可包括選擇性地沉積第一抑製劑234於第一階導體上232。方法可包括沉積第四介電材料236於未被第一抑製劑234所塗佈的基板的表面上。方法可包括選擇性地沉積第二抑製劑238於第三介電材料230上。方法可包括沉積第二介電材料240於未被第二抑製劑238所塗佈的基板表面上。方法可包括沉積第一介電材料 231於基板的表面上方,形成穿過第一介電層 231 的空腔,並用金屬材料填充空腔。以形成導孔於至少一個第一階金屬導體232及第二階金屬導體242之間。
方法可包括藉由化學氣相沉積、原子層沉積、分子層沉積、旋轉塗佈、及催化生長製程之一形成第一介電質231、第二介電質240、第三介電質230、或第四介電質236。第一介電質231、第二介電質240、第三介電質230、或第四介電質236可為低k介電質。第一抑製劑234可為錨定基團,並且可為磷、硫、矽、碳、及氮之一。第二抑製劑238可為錨定基團,並且可為矽、碳、及氮之一。
在一些實施例中,第二階金屬導體242被第四介電材料236提升。在一些實施例中,第四介電材料236不同於第三介電材料230及第一介電材料231。在一些實施例中,導孔244被第四介電材料提升。
在本揭露的一個面向,揭露了一種半導體裝置。半導體裝置包括一個或多個多層內連線。多層內連線包括由第一介電材料所間隔開的兩個或更多個第一階導體及一個或多個第二階導體。多層內連線包括延伸穿過第一介電材料並將至少一個第二階導體耦合至至少一個第一階導體的至少一個導孔導體。至少一個導孔導體的下部的橫向寬度可對應於至少一個第一階導體的橫向寬度。第二第一階導體可被第二介電材料覆蓋。第二介電材料可為與第一介電材料不同的介電材料。第二介電材料的橫向寬度可對應於第二第一階導體的橫向寬度。
在本揭露的另一方面,揭露了一種形成半導體裝置的方法。方法可包括提供基板,包括由第三介電材料所間隔開的兩個或更多個第一階導體。方法可包括沉積第一抑製劑於第一階導體上方。方法可包括沉積第四介電材料於未被第一抑製劑所覆蓋的基板表面的一部分上。方法可包括形成耦合至第一階導體之一的導孔。
在本揭露的又一方面,揭露了一種形成半導體裝置的方法。方法可包括提供基板,包括由第三介電材料所間隔開的兩個或更多個第一階導體。方法可包括選擇性地沉積第一抑製劑於第三介電材料上。方法可包括沉積第四介電材料於未被第一抑製劑所塗佈的基板的表面上。方法可包括選擇性地沉積第二抑製劑於第一階導體上。方法可包括沉積第二介電材料於未被第二抑製劑所塗佈的基板的表面上。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不悖離本發明之精神及範圍下,做各式各樣的改變、取代及替代。
100,102,104,106,108,110,112,114,116,118,120,122:步驟
150:方法
228:半導體基板
230:介電層
231:介電層
232:導電區
234:抑製劑阻擋層
236:介電區
238:抑製劑阻擋層
240:介電質
242:溝槽
244:導孔
280:蝕刻停止層
700,702,704,706,708,710,712,714,716,718,720,722:步驟
1700,1702,1704,1706,1708,1710,1712,1714,1716,1718:步驟
1802:介電質
2502:介電質
2504:金屬導孔
2506:金屬線
2520:金屬層
2522:金屬層
2524:金屬層
本揭露的各面向從以下詳細描述中配合附圖可最好地被理解。應強調的是,依據業界的標準做法,各種部件並未按照比例繪製且僅用於說明的目的。事實上,為了清楚討論,各種部件的尺寸可任意放大或縮小。
第1圖係根據一些實施例,繪示藉由選擇性沉積產生自對準導孔結構的方法。
第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、及第14圖係根據一些實施例,繪示出藉由第1圖的方法所製造的例示性半導體裝置在各個製造階段期間的剖面圖。
第15圖係根據一些實施例,繪示藉由選擇性沉積產生自對準導孔結構的方法。
第16圖係根據一些實施例,繪示藉由第15圖的方法所製造的例示性半導體裝置在各個製造階段期間的剖面圖。
第17圖係根據一些實施例,繪示藉由選擇性沉積產生自對準導孔結構的方法。
第18圖係根據一些實施例,繪示藉由第17圖的方法所製造的例示性半導體裝置在各個製造階段期間的剖面圖。
第19圖至第20圖係根據一些實施例,繪示在由第1圖或第15圖之方法所產生的重疊偏移(overlay shift)的情況下的例示性半導體裝置的剖面圖。
第21圖至第22圖係根據一些實施例,繪示在由第1圖或第15圖之方法所產生的重疊偏移及擊穿(punch through)的情況下的例示性半導體裝置的剖面圖。
第23圖至第24圖係根據一些實施例,繪示在由第1圖或第15圖之方法所產生的導孔臨界尺寸擴大(enlargement)的情況下的例示性半導體裝置的剖面圖。
第25圖係根據一些實施例,繪示例示性多層半導體的剖面圖,其中上述例示性多層半導體中至少一層為藉由第1圖或第15圖之方法所產生的。
122:步驟
230:介電層
231:介電層
232:導電區
236:介電區
240:介電質
242:溝槽
244:導孔
280:蝕刻停止層
Claims (1)
- 一種半導體裝置,包括: 兩個或更多個第一階導體及一個或多個第二階導體,被一第一介電材料所間隔開,及至少一個導孔導體,延伸穿過該第一介電材料並將至少一個第二階導體耦合至至少一個第一階導體; 其中所述至少一個導孔導體的一下部的一橫向寬度對應於所述至少一個第一階導體的一橫向寬度;及 其中一第二第一階導體被一第二介電材料所覆蓋,其中該第二介電材料是與該第一介電材料不同的介電材料,且其中該第二介電材料的一橫向寬度對應於該第二第一階導體的一橫向寬度。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/193,595 US11756878B2 (en) | 2021-03-05 | 2021-03-05 | Self-aligned via structure by selective deposition |
US17/193,595 | 2021-03-05 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202236450A true TW202236450A (zh) | 2022-09-16 |
Family
ID=82528564
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110135058A TW202236450A (zh) | 2021-03-05 | 2021-09-22 | 半導體裝置 |
Country Status (3)
Country | Link |
---|---|
US (2) | US11756878B2 (zh) |
CN (1) | CN114823499A (zh) |
TW (1) | TW202236450A (zh) |
Family Cites Families (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2018118090A1 (en) * | 2016-12-23 | 2018-06-28 | Intel Corporation | Conductive cap-based approaches for conductive via fabrication and structures resulting therefrom |
US10177028B1 (en) * | 2017-07-07 | 2019-01-08 | Globalfoundries Inc. | Method for manufacturing fully aligned via structures having relaxed gapfills |
US20220020638A1 (en) * | 2020-07-17 | 2022-01-20 | International Business Machines Corporation | Interconnect Structures with Selective Barrier for BEOL Applications |
-
2021
- 2021-03-05 US US17/193,595 patent/US11756878B2/en active Active
- 2021-09-22 TW TW110135058A patent/TW202236450A/zh unknown
-
2022
- 2022-03-03 CN CN202210202479.1A patent/CN114823499A/zh active Pending
-
2023
- 2023-07-28 US US18/361,567 patent/US20240021517A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
CN114823499A (zh) | 2022-07-29 |
US20220285266A1 (en) | 2022-09-08 |
US11756878B2 (en) | 2023-09-12 |
US20240021517A1 (en) | 2024-01-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI581326B (zh) | 積體電路中的蝕刻停止層 | |
KR102263321B1 (ko) | 로우 k 유전체 및 이를 형성하기 위한 프로세스 | |
US6893956B2 (en) | Barrier layer for a copper metallization layer including a low-k dielectric | |
US7871923B2 (en) | Self-aligned air-gap in interconnect structures | |
US9093501B2 (en) | Interconnection wires of semiconductor devices | |
TW201911435A (zh) | 半導體結構及其形成方法 | |
US9576894B2 (en) | Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same | |
US10062645B2 (en) | Interconnect structure for semiconductor devices | |
US6495448B1 (en) | Dual damascene process | |
US7436009B2 (en) | Via structures and trench structures and dual damascene structures | |
US11961803B2 (en) | Semiconductor structure having high breakdown voltage etch-stop layer | |
TWI323021B (en) | Forming a dual damascene structure without ashing-damaged ultra-low-k intermetal dielectric | |
TWI525746B (zh) | 多層元件及其製作方法 | |
US9659817B1 (en) | Structure and process for W contacts | |
US20220285214A1 (en) | The novel double patterning approach by direct metal etch | |
TW202236450A (zh) | 半導體裝置 | |
KR20040101008A (ko) | 반도체 장치의 제조 방법 | |
JP2005005697A (ja) | 半導体装置の製造方法 | |
US20060110912A1 (en) | Semiconductor devices with composite etch stop layers and methods of fabrication thereof | |
JP2003086679A (ja) | 集積回路装置およびその製造方法 | |
US20230099965A1 (en) | Airgap isolation for back-end-of-the-line semiconductor interconnect structure with top via | |
KR20070019748A (ko) | 상호접속 구조물의 제조방법 | |
KR20230085819A (ko) | 패터닝 프로세스를 위한 막 성막 | |
US20070210406A1 (en) | Semiconductor device and method of manufacturing the same | |
WO2004040623A2 (en) | An improved barrier layer for a copper metallization layer including a low k dielectric |