TW202234575A - 半導體構造及製作方法 - Google Patents

半導體構造及製作方法 Download PDF

Info

Publication number
TW202234575A
TW202234575A TW110114154A TW110114154A TW202234575A TW 202234575 A TW202234575 A TW 202234575A TW 110114154 A TW110114154 A TW 110114154A TW 110114154 A TW110114154 A TW 110114154A TW 202234575 A TW202234575 A TW 202234575A
Authority
TW
Taiwan
Prior art keywords
layer
heater
conductive
dielectric layer
mask
Prior art date
Application number
TW110114154A
Other languages
English (en)
Other versions
TWI775393B (zh
Inventor
陳建宏
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI775393B publication Critical patent/TWI775393B/zh
Publication of TW202234575A publication Critical patent/TW202234575A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/02002Arrangements for conducting electric current to or from the device in operations
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12007Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/024Arrangements for cooling, heating, ventilating or temperature compensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1228Tapered waveguides, e.g. integrated spot-size transformers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/28Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals
    • G02B6/293Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means
    • G02B6/29379Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device
    • G02B6/29395Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals with wavelength selective means characterised by the function or use of the complete device configurable, e.g. tunable or reconfigurable

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optics & Photonics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)
  • Led Devices (AREA)
  • Light Receiving Elements (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種半導體構造包括位於光學裝置之上的第一介電層。第一金屬化層位於所述第一介電層之上,且第一導電線位於所述第一金屬化層中。第一導電通孔位於所述第一金屬化層中且接觸所述第一導電線。第二金屬化層位於所述第一金屬化層之上。第二導電線位於所述第二金屬化層中且在第一界面處接觸所述第一導電通孔。加熱器位於所述光學裝置之上且具有低於所述第一界面的最下表面及高於所述第一界面的最上表面。

Description

半導體構造及製作方法
互聯網使用的迅速擴展已引起對包括光學鏈路及半導體構造在內的高速通信鏈路及半導體構造的需求。使用光纖的光學鏈路與電性鏈路相比具有許多優點:大的頻寬、高的雜訊抗擾性、減少的功率耗散及最小的串擾。由矽製成的光電子積體電路由於其可在用以製作超大規模積體(very-large scale integrated,VLSI)電路的相同代工廠中製作而為有用的。光學通信技術通常在1.3 μm及1.55 μm的紅外波長頻帶中運行。由於矽在1.31 μm及1.55 μm的紅外波長頻帶中的透明度以及矽的高折射率,矽的光學性質非常適合於光學信號傳輸。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及構造的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。例如,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成附加特徵從而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本公開在各種實例中可重複使用參考編號及/或字母。此種重複使用是出於簡明及清晰的目的,而自身並不表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「在…之下(beneath)」、「在…下面(below)」、「下部的(lower)」、「在…上面(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所示的取向外還囊括半導體構造在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
本文中提供一種或多種用於製作半導體構造的技術。由於矽與其包覆層相對比具有強的折射率(n r_Si= ~3.47對n r_SiO2= ~1.45),因此具有亞微米尺寸的矽波導能夠局限紅外光(l >~ 700 nm)。矽具有顯著的熱光係數(dn/dT > 2.5 × 10 -4K -1),從而使其對溫度變化敏感,這可能會在矽系光電子裝置中引起波長移位元。一些光學裝置(例如微環共振器及微環調製器)對製程變化是敏感的,這也可能會引發波長移位。在一些實施例中,在光學裝置之上設置加熱器並控制所述加熱器,以補償由溫度變化或製程變化造成的波長移位。
圖1至圖14示出根據一些實施例的處於各種製作階段的半導體構造100。圖1至圖11及圖13示出半導體構造100的實施例的剖視圖。圖12及圖14示出半導體構造100的實施例的俯視圖。
轉向圖1,根據一些實施例示出在形成半導體構造100時使用的多個層。所述多個層形成在半導體層105之上。在一些實施例中,半導體層105是基底102的一部分,基底102包括磊晶層、單晶半導體材料(例如Si、Ge、SiGe、InGaAs、GaAs、InSb、GaP、GaSb、InAlAs、GaSbP、GaAsSb或InP中的至少一者)、絕緣體上矽(silicon-on-insulator,SOI)結構、晶圓或由晶圓形成的晶粒中的至少一者。在一些實施例中,半導體層105包含結晶矽或其他適合材料中的至少一者。
在一些實施例中,所述多個層包括在半導體層105之上形成的罩幕層110。根據一些實施例,罩幕層110包括一起界定罩幕堆疊的多個單獨形成的層。在一些實施例中,罩幕層110包括硬罩幕層、底部抗反射塗布(bottom antireflective coating,BARC)層、有機平坦化層(organic planarization layer,OPL)或光阻層中的至少一者。
硬罩幕層是通過物理氣相沉積(physical vapor deposition,PVD)、濺鍍、化學氣相沉積(chemical vapor deposition,CVD)、低壓CVD(low pressure CVD,LPCVD)、原子層化學氣相沉積(atomic layer chemical vapor deposition,ALCVD)、超高真空CVD(ultrahigh vacuum CVD,UHVCVD)、減壓CVD(reduced pressure CVD,RPCVD)、原子層沉積(atomic layer deposition,ALD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、旋塗(spin on)、生長或其他適合技術中的至少一者而形成。在一些實施例中,硬罩幕層包含矽、氮或其他適合材料中的至少一者。在一些實施例中,BARC層是使用旋轉塗布製程施加的聚合物層。
在一些實施例中,OPL包含使用旋轉塗布製程施加的感光性有機聚合物。在一些實施例中,OPL包括介電層。在一些實施例中,根據一些實施例,光阻層是通過旋塗、噴塗或其他適合技術中的至少一者而形成。
光阻是負型光阻或正型光阻。關於負型光阻,當由光源照射時,負型光阻的區變得不可溶,使得在後續顯影階段期間向負型光阻施加溶劑會移除負型光阻的非照射區。因此,在負型光阻中形成的圖案是由光源與負型光阻之間的模板(例如罩幕)的不透明區界定的圖案的負像(negative image)。在正型光阻中,正型光阻的被照射區變得可溶,且在顯影期間通過施加溶劑而被移除。因此,在正型光阻中形成的圖案是光源與正型光阻之間的模板(例如罩幕)的不透明區的正像(positive image)。一種或多種蝕刻劑具有選擇性,使得所述一種或多種蝕刻劑以比所述一種或多種蝕刻劑移除或蝕刻掉光阻更大的速率移除或蝕刻掉被光阻暴露出或未被光阻覆蓋的一個或多個層。因此,光阻中的開口使得所述一種或多種蝕刻劑能夠在光阻下方的所述一個或多個層中形成對應的開口,從而將光阻中的圖案轉移到光阻下方的所述一個或多個層。在圖案轉移之後,光阻被剝除或洗掉。
參照圖2,根據一些實施例,對罩幕層110進行圖案化,以界定罩幕115。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除部分光阻層以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕115並暴露出罩幕115下方的部分半導體層105。
參照圖3,根據一些實施例,使用罩幕115作為蝕刻模板來執行蝕刻製程以對半導體層105進行圖案化,從而界定溝渠120A、120B、125A、125B。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(reactive ion etching,RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖4,根據一些實施例,執行沉積製程以在溝渠120A、120B、125A、125B中沉積介電材料,且執行平坦化製程以移除介電材料的在溝渠120A、120B、125A、125B之外延伸的部分並移除罩幕115。介電材料的剩餘部分界定隔離結構130、135A、135B,例如淺溝渠隔離(shallow trench isolation,STI)結構。在一些實施例中,形成隔離結構130、135A、135B的介電材料包括氧化矽或其他適合材料中的至少一者。
參照圖5,根據一些實施例,在半導體層105之上形成植入罩幕140,且使用植入罩幕140作為植入模板來執行植入製程145以在半導體層105中形成第一經摻雜區150。在一些實施例中,第一經摻雜區150包含n型雜質(例如磷、砷或不同n型摻雜劑中的至少一者),且具有輕度摻雜(N)濃度。
參照圖6,根據一些實施例,移除植入罩幕140,在半導體層105之上形成植入罩幕155,且使用植入罩幕155作為植入模板來執行植入製程160以在半導體層105中形成第二經摻雜區165。在一些實施例中,第二經摻雜區165包含n型雜質(例如磷、砷或不同n型摻雜劑中的至少一者),且具有比第一經摻雜區150的濃度大的中度摻雜(N+)濃度。
參照圖7,根據一些實施例,移除植入罩幕155,在半導體層105之上形成植入罩幕170,且使用植入罩幕170作為植入模板來執行植入製程175以在半導體層105中形成第三經摻雜區180。在一些實施例中,第三經摻雜區180包含n型雜質(例如磷、砷或不同n型摻雜劑中的至少一者),並且具有比第一經摻雜區150的濃度大且比第二經摻雜區165的濃度大的高度摻雜(N++)濃度。
根據一些實施例,執行至少一次退火製程以使第一經摻雜區150中的摻雜劑、第二經摻雜區165中的摻雜劑或第三經摻雜區180中的摻雜劑中的至少一者活化。在一些實施例中,在形成第三經摻雜區180之後執行退火製程。作為另一選擇,在植入製程145或160中的至少一者之後執行中間退火製程。
參照圖8,根據一些實施例,移除植入罩幕170,在半導體層105之上形成植入罩幕185,且使用植入罩幕185作為植入模板來執行植入製程190以在半導體層105中形成第四經摻雜區195。在一些實施例中,第四經摻雜區195包含p型雜質(例如硼、BF 2或其他適合p型摻雜劑中的至少一者),且具有輕度摻雜(P)濃度。
參照圖9,根據一些實施例,移除植入罩幕185,在半導體層105之上形成植入罩幕200,且使用植入罩幕200作為植入模板來執行植入製程205以在半導體層105中形成第五經摻雜區210。在一些實施例中,第五經摻雜區210包含p型雜質(例如硼、BF 2或其他適合p型摻雜劑中的至少一者),且具有比第四經摻雜區195的濃度大的中度摻雜(P+)濃度。
參照圖10,根據一些實施例,移除植入罩幕200,在半導體層105之上形成植入罩幕215,且使用植入罩幕215作為植入模板來執行植入製程220以在半導體層105中形成第六經摻雜區225。在一些實施例中,第六經摻雜區225包含p型雜質(例如硼、BF 2或其他適合p型摻雜劑中的至少一者),並且具有比第四經摻雜區195的濃度大且比第五經摻雜區210的濃度大的高度摻雜(P++)濃度。
參照圖11及圖12,移除植入罩幕215,且執行至少一次退火製程以使第四經摻雜區195中的摻雜劑、第五經摻雜區210中的摻雜劑或第六經摻雜區225中的摻雜劑中的至少一者活化。在一些實施例中,在形成第六經摻雜區225之後執行退火製程。作為另一選擇,在植入製程190或205中的至少一者之後執行中間退火製程。
如圖11及圖12所示,第一經摻雜區150不鄰接第四經摻雜區195,從而得到本徵區230,本徵區230延伸到形成在半導體層105中且由隔離結構130限界的波導235中。在一些實施例中,本徵區230及波導235包括半導體層105的未摻雜部分。
參照圖13及圖14,根據一些實施例,本徵區230被省略。圖14是圖13所示半導體構造100的俯視圖。根據一些實施例,為省略本徵區230,將圖5中的植入罩幕140及圖8中的植入罩幕185界定成使得第一經摻雜區150及第四經摻雜區195彼此鄰接。
根據一些實施例,圖11至圖14中所示的第一經摻雜區150、第二經摻雜區165、第三經摻雜區180、第四經摻雜區195、第五經摻雜區210、第六經摻雜區225、本徵區230及波導235是光學裝置250的元件。在一些實施例中,波導235具有錐形端部分240。在一些實施例中,錐形程度依據光學裝置的特定實施方案或用途而變化。根據一些實施例,半導體構造100的至少一些部分包括光學裝置250。
根據一些實施例,第三經摻雜區180及第六經摻雜區225是光學裝置250的接觸區。在一些實施例中,向由第三經摻雜區180及第六經摻雜區225界定的接觸區提供電信號會啟動光學裝置250以在波導235中產生光學信號。光學裝置250對溫度變化是敏感的。為能夠對光學裝置250進行調諧,例如為便於在波導235中傳導某些波長,在光學裝置250上面設置加熱器。
圖15至圖35示出根據一些實施例的處於各種製作階段從而在光學裝置250之上形成加熱器375的半導體構造100的剖視圖,其中各圖的左側對應於圖12中A-A處的視圖且各圖的右側對應於圖12中B-B處的視圖。
參照圖15,根據一些實施例,尤其在半導體層105及波導235之上形成介電層300,且在介電層300之上形成罩幕層305。半導體層105及介電層300界定其中形成有光學裝置250的裝置層310。根據一些實施例,罩幕層305包括一起界定罩幕堆疊的多個單獨形成的層。在一些實施例中,罩幕層305包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者。在一些實施例中,用於介電層300的材料包括Si、O、C或H(例如SiCOH及SiOC)或者其他適合材料中的至少一者。可對介電層300使用例如聚合物等有機材料。在一些實施例中,介電層300包括由以下中的至少一者而成的一個或多個層:含碳材料、有機矽酸鹽玻璃、含成孔劑的材料或其他適合材料。在一些實施例中,介電層300包含氮。在一些實施例中,介電層300是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。
參照圖16,根據一些實施例,對罩幕層305進行圖案化以界定罩幕315,且使用罩幕315作為蝕刻模板來執行蝕刻製程以對介電層300進行圖案化,從而在介電層300中界定觸點開口320A、320B。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕315並暴露出罩幕315下方的部分介電層300。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖17,根據一些實施例,在第三經摻雜區180的及第六經摻雜區225的上表面上形成矽化物層325A、325B。在一些實施例中,矽化物層325A、325B是通過以下來形成:在半導體構造100之上沉積由耐火金屬而成的保形層,並執行蝕刻製程、平坦化製程或其他適合技術中的至少一者以移除未定位於觸點開口320A、320B中的部分保形層。根據一些實施例,耐火金屬包括鎳、鉑、鈷或其他適合材料中的至少一者。在一些實施例中,為觸點開口320A、320B中的每一者選擇不同的耐火金屬。根據一些實施例,執行退火製程以使耐火金屬與下方的含矽材料進行反應,從而形成金屬矽化物,且執行蝕刻製程以移除耐火金屬層的未反應部分。在一些實施例中,執行附加退火製程,以形成金屬矽化物的最終相。根據一些實施例,矽化物形成製程會消耗第三經摻雜區180及第六經摻雜區225的材料中的一些材料。
參照圖18,根據一些實施例,在觸點開口320A、320B中形成導電觸點330A、330B。在一些實施例中,導電觸點330A、330B是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,導電觸點330A、330B中的至少一者包含鎢、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,導電觸點330A、330B中的至少一者包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,執行平坦化製程(例如化學機械平坦化(chemical mechanical planarization,CMP)),以移除導電觸點330A、330B的在觸點開口320A、320B之外延伸的材料。在一些實施例中,平坦化製程移除罩幕315。
參照圖19,根據一些實施例,在介電層300之上形成介電層335,且在介電層335之上形成罩幕層340。在一些實施例中,罩幕層340包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者。在一些實施例中,用於介電層335的材料包括Si、O、C或H(例如SiCOH及SiOC)或者其他適合材料中的至少一者。可對介電層335使用例如聚合物等有機材料。在一些實施例中,介電層335包括由以下中的至少一者而成的一個或多個層:含碳材料、有機矽酸鹽玻璃、含成孔劑的材料或其他適合材料。在一些實施例中,介電層335包含氮。在一些實施例中,介電層335是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,介電層335包含與介電層300相同的材料組成。在一些實施例中,介電層335包含與介電層300不同的材料組成。在一些實施例中,介電層335的材料組成被選擇成相對於介電層300具有不同的蝕刻選擇性。在一些此類實施例中,罩幕315被移除,且介電層335直接接觸介電層300。
參照圖20,根據一些實施例,對罩幕層340進行圖案化以界定罩幕341,且使用罩幕341作為蝕刻模板來執行蝕刻製程以對介電層335進行圖案化,從而在介電層335中界定溝渠開口342A、342B。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕341並暴露出罩幕341下方的部分介電層335。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖21,根據一些實施例,在溝渠開口342A、342B中形成導電線345A、345B,且移除罩幕341。在一些實施例中,導電線345A、345B是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,導電線345A、345B中的至少一者包含鎢、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,導電線345A、345B中的至少一者包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,執行平坦化製程(例如CMP),以移除導電線345A、345B的在溝渠開口342A、342B之外延伸的材料。在一些實施例中,平坦化製程移除罩幕341。
參照圖22,根據一些實施例,尤其在介電層335之上形成蝕刻停止層350、介電層355及罩幕層360。在一些實施例中,罩幕層360包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者。在一些實施例中,用於介電層355的材料包括Si、O、C或H(例如SiCOH及SiOC)或者其他適合材料中的至少一者。可對介電層355使用例如聚合物等有機材料。在一些實施例中,介電層355包括由以下中的至少一者而成的一個或多個層:含碳材料、有機矽酸鹽玻璃、含成孔劑的材料或其他適合材料。在一些實施例中,介電層355包含氮。在一些實施例中,介電層355是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,蝕刻停止層350包含電介質,例如氧化物、氮化物或其他適合材料中的至少一者。在一些實施例中,蝕刻停止層350包含Si、Al、Zr、Hf、Y或其他適合材料中的至少一者。在一些實施例中,蝕刻停止層350是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,介電層355包含與介電層335相同的材料組成。在一些實施例中,介電層355包含與介電層335不同的材料組成。在一些實施例中,蝕刻停止層350被省略,且介電層355的材料組成被選擇成相對於介電層335具有不同的蝕刻選擇性。
參照圖23,根據一些實施例,對罩幕層360進行圖案化以界定罩幕365,且使用罩幕365作為蝕刻模板來執行蝕刻製程以對介電層355進行圖案化,從而在介電層355中界定加熱器凹槽370。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕365並暴露出罩幕365下方的部分介電層355。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖24,根據一些實施例,移除罩幕365,且在加熱器凹槽370中形成加熱器375。在一些實施例中,加熱器375是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,加熱器375包含鎢、氮化鈦、氮化鉭、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,加熱器375包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,加熱器375包含與導電線345A、345B中的至少一者相同的材料。在一些實施例中,加熱器375包含與導電線345A、345B中的至少一者不同的材料。在一些實施例中,執行平坦化製程(例如CMP),以移除加熱器375的在加熱器凹槽370之外延伸的材料。在一些實施例中,平坦化製程移除罩幕365。
參照圖25,根據一些實施例,在介電層355及加熱器375之上形成介電層380及罩幕層385。在一些實施例中,罩幕層385包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者。在一些實施例中,用於介電層380的材料包括Si、O、C或H(例如SiCOH及SiOC)或者其他適合材料中的至少一者。可對介電層380使用例如聚合物等有機材料。在一些實施例中,介電層380包括由以下中的至少一者而成的一個或多個層:含碳材料、有機矽酸鹽玻璃、含成孔劑的材料或其他適合材料。在一些實施例中,介電層380包含氮。在一些實施例中,介電層380是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,介電層380包含與介電層355相同的材料組成且與介電層355在界面處合併,如虛線390所指示。經合併的介電層355、380在以下被稱為介電層395且虛線390被省略。在一些實施例中,介電層380包含與介電層355不同的材料組成。
參照圖26,根據一些實施例,對罩幕層385進行圖案化以界定罩幕400,且使用罩幕400作為蝕刻模板來執行蝕刻製程以對介電層395進行圖案化,從而在介電層395中界定通孔開口405A、405B。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層以形成罩幕400並暴露出罩幕400下方的部分介電層395。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖27,根據一些實施例,移除罩幕400以及由通孔開口405A、405B暴露出的部分蝕刻停止層350。在一些實施例中,執行蝕刻製程以移除罩幕400以及由通孔開口405A、405B暴露出的部分蝕刻停止層350。蝕刻製程包括濕蝕刻製程、電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖28,根據一些實施例,在介電層395之上及在通孔開口405A、405B中形成罩幕層410。在一些實施例中,罩幕層410包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者,其包含如本文中所述的材料且如本文中所述而形成。
參照圖29,根據一些實施例,對罩幕層410進行圖案化以界定罩幕415,且使用罩幕415作為蝕刻模板來執行蝕刻製程以對介電層395進行圖案化,從而在介電層395中界定溝渠開口420A、420B。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕415並暴露出罩幕415下方的部分介電層395。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。在一些實施例中,實施雙重鑲嵌製程以形成通孔開口405A、405B及溝渠開口420A、420B。
參照圖30,根據一些實施例,移除罩幕415,且在介電層395之上以及在介電層395中的通孔開口405A、405B及溝渠開口420A、420B中形成罩幕層420。在一些實施例中,罩幕層420包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者,其包含如本文中所述的材料且如本文中所述而形成。
參照圖31,根據一些實施例,對罩幕層420進行圖案化以界定罩幕425,且使用罩幕425作為蝕刻模板來執行蝕刻製程以對介電層395進行圖案化,從而在介電層395中形成加熱器線開口430以暴露出加熱器375的最上表面435。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕425並暴露出罩幕425下方的部分介電層395。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖32,根據一些實施例,移除罩幕425,且分別在通孔開口405A、405B、溝渠開口420A、420B及加熱器線開口430中形成導電通孔440A、440B、導電線445A、445B及導電加熱器線450。在一些實施例中,導電通孔440A、440B、導電線445A、445B及導電加熱器線450是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,導電通孔440A、440B、導電線445A、445B或導電加熱器線450中的至少一者包含鎢、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,導電通孔440A、440B、導電線445A、445B或導電加熱器線450中的至少一者包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,執行平坦化製程(例如化學機械平坦化(CMP)),以移除導電通孔440A、440B、導電線445A、445B或導電加熱器線450中的至少一者的在通孔開口405A、405B、溝渠開口420A、420B或加熱器線開口430中的至少一者之外延伸的材料。
根據一些實施例,介電層335、導電線345A、345B、與導電通孔440A、440B相鄰的部分介電層395以及導電通孔440A、440B界定M1金屬化層456,亦可稱為第一金屬化層。
參照圖33,根據一些實施例,尤其在介電層395之上形成蝕刻停止層455、介電層460及罩幕層465。在一些實施例中,罩幕層465包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者。在一些實施例中,用於介電層460的材料包括Si、O、C或H(例如SiCOH及SiOC)或者其他適合材料中的至少一者。可對介電層460使用例如聚合物等有機材料。在一些實施例中,介電層460包括由以下中的至少一者而成的一個或多個層:含碳材料、有機矽酸鹽玻璃、含成孔劑的材料或其他適合材料。在一些實施例中,介電層460包含氮。在一些實施例中,介電層460是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,蝕刻停止層455包含電介質,例如氧化物、氮化物或其他適合材料中的至少一者。在一些實施例中,蝕刻停止層455包含Si、Al、Zr、Hf、Y或其他適合材料中的至少一者。執行沉積製程(例如CVD、ALD、PVD、旋塗或其他適合技術),以形成蝕刻停止層455。在一些實施例中,介電層460包含與介電層395相同的材料組成。在一些實施例中,介電層460包含與介電層395不同的材料組成。在一些實施例中,蝕刻停止層455被省略,且介電層460的材料組成被選擇成相對於介電層395具有不同的蝕刻選擇性。
參照圖34,根據一些實施例,對罩幕層465進行圖案化以界定罩幕470,且使用罩幕470作為蝕刻模板來執行蝕刻製程以對介電層460進行圖案化,從而在介電層460中界定通孔開口475A、475B、480。對介電層460的蝕刻在蝕刻停止層455暴露出時終止。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕470並暴露出罩幕470下方的部分介電層460。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖35,根據一些實施例,移除由通孔開口475A、475B、480暴露出的部分蝕刻停止層455,在通孔開口475A、475B、480中形成導電通孔490A、490B、495,且移除罩幕470。在一些實施例中,導電通孔490A、490B、495是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,導電通孔490A、490B、495中的至少一者包含鎢、鋁、銅、鈷或其他適合材料。在一些實施例中,導電通孔490A、490B、495中的至少一者包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,執行平坦化製程(例如CMP),以移除導電通孔490A、490B、495的在通孔開口475A、475B、480之外延伸的材料。在一些實施例中,平坦化製程移除罩幕470。
根據一些實施例,與導電線445A、445B及導電加熱器線450相鄰的部分介電層395、導電線445A、445B、導電加熱器線450、介電層460以及導電通孔490A、490B、495界定M2金屬化層500,亦可稱為第二金屬化層。
根據一些實施例,加熱器375部分地定位於M1金屬化層456中且部分地定位於M2金屬化層500中。加熱器375的最上表面435定位於M1導電通孔440A、440B與M2導電線445A、445B之間的界面505的上方。加熱器375的最下表面510定位於界面505的下方。加熱器375的定位界定加熱器375與光學裝置250之間的垂直間隔515。
在圖35的視圖中,加熱器375沿Z方向(例如進出頁面)延伸。在一些實施例中,加熱器375的形狀及/或尺寸中的至少一者反映將由加熱器375加熱的光學裝置250的形狀及/或尺寸。舉例來說,如圖12所示,波導235具有大致矩形形狀。因此,加熱器375具有沿Z方向延伸的相似形狀。
加熱器375與光學裝置之間的垂直間隔515影響熱效率且可影響光學裝置250的操作。舉例來說,如果垂直間隔515太小,則加熱器375可吸收光學裝置250中矽的隱失光(evanescent light)且引起光學密度損失,從而降低光學裝置250的性能。如果垂直間隔515太大,則光學損失會減輕,但加熱器375的熱效率會由於熱傳遞的減少而降低。加熱器375的材料組成以及由最上表面435與最下表面510之間的距離界定的厚度影響加熱器375的熱容量。如下所述,加熱器375應具有在相移的至少一個自由光譜範圍(free spectral range,FSR)內對光學裝置250進行調諧的能力。與M1金屬化層456及M2金屬化層500相關聯的製程及佔用面積約束可影響垂直間隔及加熱器厚度的容許範圍。
在一些實施例中,垂直間隔515的允許可接受的損失及熱性能的值為大約600 nm。在一些實施例中,對於用於加熱器375的材料(例如TiN、TaN及/或W),大約150 nm的厚度值提供充足的熱容量。在一些實施例中,用於為加熱器375供電的電源域為大約2.5 V。將加熱器375設置在M1金屬化層456及M2金屬化層500中會避免影響與M1導電通孔440A、440B及M2導電線445A、445B相關聯的M2/Via1高度,以符合相關聯的高寬比約束。
圖36至圖39示出根據一些實施例在光學裝置250之上形成金屬化層及加熱器635期間處於各種製作階段的半導體構造100。圖36至圖39示出半導體構造100的實施例的剖視圖。圖36中所示的製作以在形成M1導電線345A、345B之後圖21所示的半導體構造100開始。
參照圖36,根據一些實施例,在介電層335之上形成第一蝕刻停止層600、第一介電層605、第二蝕刻停止層610、第二介電層615及罩幕層620。在一些實施例中,罩幕層620包括硬罩幕層、底部抗反射塗布(BARC)層、有機平坦化層(OPL)或光阻層中的至少一者。在一些實施例中,用於第一介電層605及/或第二介電層615的材料包括Si、O、C或H(例如SiCOH及SiOC)或者其他適合材料中的至少一者。可對第一介電層605及/或第二介電層615使用例如聚合物等有機材料。在一些實施例中,第一介電層605及/或第二介電層615包括由以下中的至少一者而成的一個或多個層:含碳材料、有機矽酸鹽玻璃、含成孔劑的材料或其他適合材料。在一些實施例中,第一介電層605及/或第二介電層615包含氮。在一些實施例中,第一介電層605及/或第二介電層615是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,第一蝕刻停止層600及/或第二蝕刻停止層610包含電介質,例如氧化物、氮化物或其他適合材料中的至少一者。在一些實施例中,第一蝕刻停止層600及第二蝕刻停止層610各自包含Si、Al、Zr、Hf、Y或其他適合材料中的至少一者。執行沉積製程(例如CVD、ALD、PVD、旋塗或其他適合技術),以形成第一蝕刻停止層600及第二蝕刻停止層610。在一些實施例中,第一蝕刻停止層600包含與第二蝕刻停止層610相同的材料組成。在一些實施例中,第一介電層605包含與第二介電層615及/或介電層335相同的材料組成。在一些實施例中,第一介電層605包含與第二介電層615及/或介電層335不同的材料組成。在一些實施例中,第一蝕刻停止層600被省略,且第一介電層605的材料組成被選擇成相對於介電層335具有不同的蝕刻選擇性。
參照圖37,根據一些實施例,對罩幕層620進行圖案化以界定罩幕625,且使用罩幕625作為蝕刻模板來執行蝕刻製程以對第一介電層605、第二蝕刻停止層610及第二介電層615進行圖案化,從而在第一介電層605、第二蝕刻停止層610及第二介電層615中界定加熱器凹槽630。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕625並暴露出罩幕625下方的部分第二介電層615。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖38,根據一些實施例,移除罩幕625,且在加熱器凹槽630中形成加熱器635。在一些實施例中,加熱器635是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,加熱器635包含鎢、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,加熱器635包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,加熱器635包含與導電線345A、345B中的至少一者相同的材料。在一些實施例中,加熱器635包含與導電線345A、345B中的至少一者不同的材料。在一些實施例中,執行平坦化製程(例如CMP),以移除加熱器635的在加熱器凹槽630之外延伸的材料。在一些實施例中,平坦化製程移除罩幕625。
參照圖39,根據一些實施例,執行參照圖25至圖35所述的製程,以完成M1金屬化層456及M2金屬化層500。在進行參照圖29所述的蝕刻製程以在第二介電層615中界定溝渠開口420A、420B期間,蝕刻製程在第二蝕刻停止層610暴露出時終止。
根據一些實施例,加熱器635部分地定位於M1金屬化層456中且部分地定位於M2金屬化層500中。加熱器635的最上表面435定位於M1導電通孔440A、440B與M2導電線445A、445B之間的界面505的上方。加熱器635的最下表面510定位於界面505的下方。
加熱器635的定位界定加熱器635與光學裝置250之間的垂直間隔640。在一些實施例中,垂直間隔640的允許可接受的損失及熱性能的值為大約600 nm。在一些實施例中,對於用於加熱器635的材料(例如TiN、TaN及/或W),大約150 nm的厚度值提供充足的熱容量。在一些實施例中,用於為加熱器635供電的電源域為大約2.5 V。將加熱器635設置在M1金屬化層456及M2金屬化層500中會避免影響與M1導電通孔440A、440B及M2導電線445A、445B相關聯的M2/Via1高度,以符合相關聯的高寬比約束。
圖40至圖44示出根據一些實施例在光學裝置250之上形成金屬化層及加熱器710期間處於各種製作階段的半導體構造100。圖40至圖42示出半導體構造100的實施例的剖視圖。圖40中所示的製作以在形成罩幕層620之後圖36所示的半導體構造100開始。
參照圖40,根據一些實施例,對罩幕層620進行圖案化以界定罩幕700,且使用罩幕700作為蝕刻模板來執行蝕刻製程以對第一介電層605、第二蝕刻停止層610及第二介電層615進行圖案化,從而在第二介電層615中界定加熱器凹槽705。蝕刻製程在第二蝕刻停止層610暴露出時終止。在一些實施例中,使用輻射源及罩幕版將光阻層曝光以在光阻層中界定圖案,且移除光阻層的部分以界定經圖案化光阻層。使用經圖案化光阻層作為模板來蝕刻下方的OPL、BARC層及硬罩幕層,以形成罩幕700並暴露出罩幕700下方的部分第二介電層615。蝕刻製程包括電漿蝕刻製程、反應性離子蝕刻(RIE)製程或其他適合技術中的至少一者。根據一些實施例,蝕刻製程包括非等向性蝕刻製程。
參照圖41,根據一些實施例,移除罩幕700,且在加熱器凹槽705中形成加熱器710。在一些實施例中,加熱器710是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,加熱器710包含鎢、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,加熱器710包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,加熱器710包含與導電線345A、345B中的至少一者相同的材料。在一些實施例中,加熱器710包含與導電線345A、345B中的至少一者不同的材料。在一些實施例中,執行平坦化製程(例如CMP),以移除加熱器710的在加熱器凹槽705之外延伸的材料。在一些實施例中,平坦化製程移除罩幕700。
參照圖42,根據一些實施例,執行參照圖25至圖29所述的製程以在第一介電層605中形成通孔開口715A、715B,形成罩幕731,且使用罩幕731作為蝕刻模板以在第二介電層615中形成溝渠開口720A、720B。在進行參照圖29所述的蝕刻製程以在第二介電層615中界定溝渠開口720A、720B期間,蝕刻製程在第二蝕刻停止層610暴露出時終止。在一些實施例中,實施雙重鑲嵌製程,以形成通孔開口715A、715B及溝渠開口720A、720B。
參照圖43,根據一些實施例,移除罩幕731,且分別在通孔開口715A、715B及溝渠開口720A、720B中形成導電通孔725A、725B及導電線730A、730B。在一些實施例中,導電通孔725A、725B及導電線730A、730B是通過PVD、濺鍍、CVD、LPCVD、ALCVD、UHVCVD、RPCVD、ALD、MBE、LPE、旋塗、生長或其他適合技術中的至少一者而形成。在一些實施例中,導電通孔725A、725B或導電線730A、730B中的至少一者包含鎢、鋁、銅、鈷或其他適合材料中的至少一者。在一些實施例中,導電通孔725A、725B或導電線730A、730B中的至少一者包括多個層,例如阻擋層、晶種層及導電填充層。在一些實施例中,執行平坦化製程(例如化學機械平坦化(CMP)),以移除導電通孔725A、725B或導電線730A、730B中的至少一者的在通孔開口715A、715B或溝渠開口720A、720B中的至少一者之外延伸的材料。
參照圖44,根據一些實施例,執行參照圖33至圖35所述的製程,以完成M2金屬化層500。加熱器710設置在M2金屬化層500中。根據一些實施例,加熱器710的最下表面511不低於M1導電通孔725A、725B與M2導電線730A、730B之間的界面507,且加熱器710的最上表面436高於界面507。
加熱器710的定位界定加熱器375與光學裝置250之間的垂直間隔735。在一些實施例中,垂直間隔735的值為大約680 nm。在一些實施例中,對於用於加熱器710的材料(例如TiN、TaN及/或W),大約220 nm的厚度值提供充足的熱容量且補償垂直間隔735的增加。在一些實施例中,用於為加熱器375供電的電源域為大約2.5 V。將加熱器710設置在M2金屬化層500的線部分中會避免影響與M1導電通孔440A、440B及M2導電線445A、445B相關聯的M2/Via1高度,以符合相關聯的高寬比約束。
在一些實施例中,加熱器375、635、710的形狀及/或尺寸中的至少一者反映將由加熱器375、635、710加熱的光學裝置的形狀及/或尺寸。
參照圖45,根據一些實施例,半導體構造100包括在裝置層310中形成的矩形光學裝置800及在矩形光學裝置800上面形成的對應矩形加熱器805。如上所述,矩形加熱器805形成在M1金屬化層456及/或M2金屬化層500中。為易於說明,省略了環繞矩形光學裝置800及矩形加熱器805的層。根據一些實施例,矩形光學裝置800為波導,且矩形加熱器805為棒形或板形的。矩形光學裝置800可為主動光學裝置或被動光學裝置。
參照圖46,根據一些實施例,半導體構造100包括在裝置層310中形成的環形光學裝置810及在環形光學裝置810上面形成的對應連續環形加熱器815。如上所述,環形加熱器815形成在M1金屬化層456及/或M2金屬化層500中。根據一些實施例,環形光學裝置810為微環共振器。環形光學裝置810可為主動光學裝置或被動光學裝置。
參照圖47,根據一些實施例,半導體構造100包括在裝置層310中形成的環形光學裝置820及在環形光學裝置820上面形成的對應不連續環形加熱器825。如上所述,環形加熱器825形成在M1金屬化層456及/或M2金屬化層500中。根據一些實施例,環形光學裝置820為微環共振器。環形光學裝置820可為主動光學裝置或被動光學裝置。
參照圖48,根據一些實施例,控制單元900控制加熱器905對光學裝置910的性能特性進行調諧。光學裝置910可為主動光學裝置或被動光學裝置。半導體構造100可包括多個光學裝置910,一些光學裝置910為被動的,一些光學裝置910為主動的。一般來說,溫度變化及製程變化會使光學裝置910的中心波長移位。
參照圖49,其為示出光學裝置的中心波長回應於溫度變化或製程變化或溫度變化及製程變化而發生的移位的圖。圖48所示控制單元900測試光學裝置910的操作,以確定其實際特性波長(由曲線915表示)與其目標波長(由曲線920表示)的相對關係。在一些實施例中,控制單元900使用回饋控制技術來控制一個或多個加熱器905的輸出,使得由曲線915表示的實際性能移位元以與由曲線920表示的目標性能匹配。所述一個或多個加熱器905被設計成具有使光學裝置910的操作波長在至少一個自由光譜範圍(FSR)內產生移位的熱容量。
根據一些實施例,提供一種半導體構造。所述半導體構造包括位於光學裝置之上的第一介電層及位於所述第一介電層之上的第一金屬化層。第一導電線位於所述第一金屬化層中。第一導電通孔位於所述第一金屬化層中且接觸所述第一導電線。第二金屬化層位於所述第一金屬化層之上。第二導電線位於所述第二金屬化層中且在第一界面處接觸所述第一導電通孔。加熱器位於所述光學裝置之上且具有低於所述第一界面的最下表面及高於所述第一界面的最上表面。
根據一些實施例,提供一種半導體構造。所述半導體構造包括位於光學裝置之上的第一介電層及位於所述第一介電層之上的第一金屬化層。第一導電線位於所述第一金屬化層中。第一導電通孔位於所述第一金屬化層中且接觸所述第一導電線。第二金屬化層位於所述第一金屬化層之上。第二導電線位於所述第二金屬化層中且在第一界面處接觸所述第一導電通孔。加熱器位於所述光學裝置之上且具有不低於所述第一界面的最下表面及高於所述第一界面的最上表面。
根據一些實施例,提供一種形成半導體構造的方法。所述方法包括在光學裝置之上形成第一介電層。在所述第一介電層中形成第一導電觸點,且所述第一導電觸點接觸所述光學裝置。在所述第一介電層之上形成第一金屬化層。在所述第一金屬化層中形成第一導電線,且所述第一導電線接觸所述第一導電觸點。在所述第一金屬化層中形成第一導電通孔,且所述第一導電通孔接觸所述第一導電線。在所述第一金屬化層之上形成第二金屬化層。在所述第二金屬化層中形成第二導電線,且所述第二導電線在第一界面處接觸所述第一導電通孔。在所述第二金屬化層中所述光學裝置之上形成加熱器。
以上概述了若干實施例的特徵,以使所屬領域中的普通技術人員可更好地理解本公開的各方面。所屬領域中的普通技術人員應瞭解,其可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的各種實施例相同的目的及/或實現與本文中所介紹的各種實施例相同的優點。所屬領域中的普通技術人員還應認識到,此種等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下對其作出各種改變、代替及更改。
儘管已採用結構特徵或方法動作專用的語言闡述了本主題,然而應理解,所附權利要求書的主題未必僅限於上述具體特徵或動作。確切來說,上述具體特徵及動作是作為實施權利要求中的至少一些權利要求的示例性形式而公開的。
本文中提供實施例的各種操作。闡述一些或所有所述操作時的次序不應被理解為暗示這些操作必須依照次序進行。將瞭解,替代次序也具有本說明的有益效果。此外,將理解,並非所有操作均必須存在於本文中提供的每一實施例中。此外,將理解,在一些實施例中,並非所有操作均是必要的。
應瞭解,在一些實施例中,例如出於簡潔及便於理解的目的,本文中繪示的層、特徵、元件等是以相對於彼此的特定尺寸(例如,結構尺寸或取向)進行例示,且所述層、特徵、元件等的實際尺寸實質上不同於本文中所例示的尺寸。另外,舉例來說,存在例如以下中的至少一者等各種技術來形成本文中所提及的層、區、特徵、元件等:蝕刻技術、平坦化技術、植入技術、摻雜技術、旋塗技術、濺鍍技術、生長技術或沉積技術(例如,化學氣相沉積(CVD))。
此外,本文中使用「示例性」來指充當實例、例子、示例等,而未必指為有利的。本申請中使用的「或」旨在意指包含性「或」而不是意指排他性「或」。另外,除非另有指明或從上下文中清楚地表明指單數形式,否則本申請及所附權利要求書中使用的「一(a及an)」一般被視為意指「一個或多個」。此外,A及B中的至少一者及/或類似表述一般指A或B、或A與B兩者。此外,就使用「包含(includes)」、「具有(having、has)」、「帶有(with)」或其變型的程度而言,此種用語旨在以相似於用語「包括(comprising)」的方式表示包含。此外,除非另有指明,否則「第一」、「第二」等並不旨在暗示時間方面、空間方面、次序等。確切來說,此種用語僅用作特徵、元件、物項等的識別符、名稱等。舉例來說,第一元件及第二元件一般對應於元件A及元件B、或兩個不同元件、或兩個相同元件、或同一元件。
此外,儘管已針對一種或多種實施方案示出並闡述了本公開,然而所屬領域中的普通技術人員在閱讀及理解本說明書及附圖後將想到等效更改及修改形式。本公開包括所有此種修改及更改形式,且僅受限於以上權利要求書的範圍。特別對於由上述元件(例如,元件、資源等)執行的各種功能而言,用於闡述此種元件的用語旨在對應於執行所述元件的指定功能的(例如,功能上等效的)任意元件(除非另有表明),即使所述元件在結構上不與所公開的結構等效。另外,儘管可能僅相對於若干實施方案中的一種實施方案公開了本公開的特定特徵,然而在對於任意給定或特定應用而言可能為期望的及有利的時,此種特徵可與其他實施方案的一種或多種其他特徵進行組合。
100:半導體構造 102:基底 105:半導體層 110、305、340、360、385、410、420、465、620:罩幕層 115、315、341、365、400、415、425、470、625、700、731:罩幕 120A、120B、125A、125B:溝渠 130、135A、135B:隔離結構 140、155、170、185、200、215:植入罩幕 145、160、175、190、205、220:植入製程 150:第一經摻雜區 165:第二經摻雜區 180:第三經摻雜區 195:第四經摻雜區 210:第五經摻雜區 225:第六經摻雜區 230:本徵區 235:波導 240:錐形端部分 250、910:光學裝置 300、335、355、380、395、460:介電層 310:裝置層 320A、320B:觸點開口 325A、325B:矽化物層 330A、330B:導電觸點 342A、342B、420A、420B、720A、720B:溝渠開口 345A、345B、730A、730B:導電線 350、455:蝕刻停止層 370、630、705:加熱器凹槽 375、635、710、905:加熱器 390、505、507:界面 405A、405B、475A、475B、480、715A、715B:通孔開口 430:加熱器線開口 435、436:最上表面 440A、440B:導電通孔 445A、445B:導電線 450:導電加熱器線 456:M1金屬化層 490A、490B、495、725A、725B:導電通孔 500:M2金屬化層 510、511:最下表面 515、640、735:垂直間隔 600:第一蝕刻停止層 605:第一介電層 610:第二蝕刻停止層 615:第二介電層 800:矩形光學裝置 805:矩形加熱器 810、820:環形光學裝置 815、825:環形加熱器 900:控制單元 915、920:曲線 A-A、B-B:線
結合附圖閱讀以下詳細說明,會最好地理解本公開的方面。注意,根據本行業中的標準慣例,各種特徵並未按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1至圖11示出根據一些實施例的處於各種製作階段的半導體構造的剖視圖。 圖12示出根據一些實施例的處於製作階段的半導體構造的俯視圖。 圖13示出根據一些實施例的處於製作階段的半導體構造的剖視圖。 圖14示出根據一些實施例的處於製作階段的半導體構造的俯視圖。 圖15至圖35示出根據一些實施例的處於各種製作階段的半導體構造的剖視圖。 圖36至圖39示出根據一些實施例的處於各種製作階段的半導體構造的剖視圖。 圖40至圖44示出根據一些實施例的處於各種製作階段的半導體構造的剖視圖。 圖45至圖47示出根據一些實施例的半導體構造的各種配置。 圖48示出根據一些實施例對半導體構造的控制。 圖49示出根據一些實施例的溫度相關波長移位元。
100:半導體構造
102:基底
105:半導體層
130、135A、135B:隔離結構
150:第一經摻雜區
165:第二經摻雜區
180:第三經摻雜區
195:第四經摻雜區
210:第五經摻雜區
225:第六經摻雜區
230:本徵區
235:波導
250:光學裝置
300、335、395、460:介電層
310:裝置層
315:罩幕
325A、325B:矽化物層
330A、330B:導電觸點
345A、345B:導電線
350、455:蝕刻停止層
375:加熱器
435:最上表面
440A、440B:導電通孔
445A、445B:導電線
450:導電加熱器線
456:M1金屬化層
490A、490B、495:導電通孔
500:M2金屬化層
505:界面
510:最下表面
515:垂直間隔

Claims (20)

  1. 一種半導體構造,包括: 第一介電層,位於光學裝置之上; 第一金屬化層,位於所述第一介電層之上; 第一導電線,位於所述第一金屬化層中; 第一導電通孔,位於所述第一金屬化層中,接觸所述第一導電線; 第二金屬化層,位於所述第一金屬化層之上; 第二導電線,位於所述第二金屬化層中,在第一界面處接觸所述第一導電通孔;以及 加熱器,位於所述光學裝置之上,具有低於所述第一界面的最下表面及高於所述第一界面的最上表面。
  2. 如請求項1所述的半導體構造,其中所述加熱器的所述最上表面低於所述第二導電線的最上表面。
  3. 如請求項1所述的半導體構造,包括: 第三導電線,位於所述第一金屬化層中; 第二導電通孔,位於所述第一金屬化層中,接觸所述第三導電線;以及 第四導電線,位於所述第二金屬化層中,在第二界面處接觸所述第二導電通孔,其中: 所述加熱器位於所述第一界面與所述第二界面之間, 所述加熱器的所述最下表面低於所述第二界面,且 所述加熱器的所述最上表面高於所述第二界面。
  4. 如請求項3所述的半導體構造,其中所述加熱器的所述最上表面低於所述第四導電線的最上表面。
  5. 如請求項3所述的半導體構造,包括: 第一導電觸點,位於所述第一介電層中,接觸所述第一導電線及所述光學裝置的第一接觸區;以及 第二導電觸點,位於所述第一介電層中,接觸所述第三導電線及所述光學裝置的第二接觸區,其中所述加熱器位於所述第一導電觸點與所述第二導電觸點之間。
  6. 如請求項1所述的半導體構造,包括: 第一導電觸點,位於所述第一介電層中,接觸所述第一導電線及所述光學裝置的第一接觸區。
  7. 如請求項6所述的半導體構造,其中: 所述第一接觸區包含第一材料,且 所述第二導電線包含與所述第一材料不同的第二材料。
  8. 如請求項1所述的半導體構造,包括: 第二導電通孔,位於所述第二金屬化層中,接觸所述第二導電線。
  9. 一種半導體構造,包括: 第一介電層,位於光學裝置之上; 第一金屬化層,位於所述第一介電層之上; 第一導電線,位於所述第一金屬化層中; 第一導電通孔,位於所述第一金屬化層中,接觸所述第一導電線; 第二金屬化層,位於所述第一金屬化層之上; 第二導電線,位於所述第二金屬化層中,在第一界面處接觸所述第一導電通孔;以及 加熱器,位於所述光學裝置之上,具有不低於所述第一界面的最下表面及高於所述第一界面的最上表面。
  10. 如請求項9所述的半導體構造,其中所述加熱器的所述最下表面低於所述第二導電線的最上表面。
  11. 如請求項9所述的半導體構造,其中所述加熱器的第一厚度與所述第二導電線的第二厚度相等。
  12. 如請求項9所述的半導體構造,其中所述加熱器的所述最上表面不高於所述第二導電線的最上表面。
  13. 如請求項9所述的半導體構造,包括: 第三導電線,位於所述第一金屬化層中; 第二導電通孔,位於所述第一金屬化層中,接觸所述第三導電線;以及 第四導電線,位於所述第二金屬化層中,在第二界面處接觸所述第二導電通孔,其中: 所述加熱器位於所述第一界面與所述第二界面之間, 所述加熱器的所述最下表面不低於所述第二界面,且 所述加熱器的所述最上表面高於所述第二界面。
  14. 如請求項13所述的半導體構造,其中所述加熱器的所述最下表面低於所述第四導電線的最上表面。
  15. 如請求項14所述的半導體構造,其中所述加熱器的所述最上表面不高於所述第四導電線的所述最上表面。
  16. 如請求項13所述的半導體構造,包括: 第一導電觸點,位於所述第一介電層中,接觸所述第一導電線及所述光學裝置的第一接觸區;以及 第二導電觸點,位於所述第一介電層中,接觸所述第三導電線及所述光學裝置的第二接觸區,其中所述加熱器位於所述第一導電觸點與所述第二導電觸點之間。
  17. 一種半導體構造的製作方法,包括: 在光學裝置之上形成第一介電層; 在所述第一介電層中形成接觸所述光學裝置的第一導電觸點; 在所述第一介電層之上形成第一金屬化層; 在所述第一金屬化層中形成接觸所述第一導電觸點的第一導電線; 在所述第一金屬化層中形成接觸所述第一導電線的第一導電通孔; 在所述第一金屬化層之上形成第二金屬化層; 在所述第二金屬化層中形成在第一界面處接觸所述第一導電通孔的第二導電線;以及 在所述第二金屬化層中所述光學裝置之上形成加熱器。
  18. 第一金屬化層如請求項17所述的半導體構造的製作方法,其中形成所述加熱器包括: 形成所述加熱器,使得所述加熱器的最下表面低於所述第一界面且所述加熱器的最上表面高於所述第一界面。
  19. 第一金屬化層如請求項17所述的半導體構造的製作方法,其中形成所述加熱器包括: 形成所述加熱器,使得所述加熱器的最下表面不低於所述第一界面且所述加熱器的最上表面高於所述第一界面。
  20. 第一金屬化層如請求項17所述的半導體構造的製作方法,包括: 在所述第一介電層中形成接觸所述光學裝置的第二導電觸點,其中所述加熱器位於所述第一導電觸點與所述第二導電觸點之間。
TW110114154A 2021-02-22 2021-04-20 半導體構造及製作方法 TWI775393B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/181,205 US11500151B2 (en) 2021-02-22 2021-02-22 Semiconductor arrangement and method of making
US17/181,205 2021-02-22

Publications (2)

Publication Number Publication Date
TWI775393B TWI775393B (zh) 2022-08-21
TW202234575A true TW202234575A (zh) 2022-09-01

Family

ID=81897599

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110114154A TWI775393B (zh) 2021-02-22 2021-04-20 半導體構造及製作方法

Country Status (3)

Country Link
US (2) US11500151B2 (zh)
CN (1) CN114628531A (zh)
TW (1) TWI775393B (zh)

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090636A (en) * 1998-02-26 2000-07-18 Micron Technology, Inc. Integrated circuits using optical waveguide interconnects formed through a semiconductor wafer and methods for forming same
US7447393B2 (en) * 2004-01-16 2008-11-04 Neophotonics Corporation Thermal control of optical components
EP1779444A4 (en) * 2004-07-08 2011-01-05 Ion Optics Inc ADJUSTABLE PHOTONIC CRYSTAL
KR20080062045A (ko) * 2006-12-29 2008-07-03 동부일렉트로닉스 주식회사 시모스 소자 및 그 제조 방법
US7880303B2 (en) * 2007-02-13 2011-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked contact with low aspect ratio
US8274039B2 (en) * 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
EP2214049B1 (en) * 2009-02-03 2011-12-07 Optisense B.V. Integrated optical waveguide interferometric sensor
DE102012103189A1 (de) * 2012-04-13 2013-10-17 Ceramtec Gmbh Brennstoffzellensystem und dessen Verwendung
US20150033094A1 (en) 2013-07-23 2015-01-29 Yuan Ze University Window-stopped method for applying to turbo decoding
US9529150B2 (en) 2013-10-22 2016-12-27 Massachusetts Institute Of Technology Waveguide formation using CMOS fabrication techniques
EP3153899A1 (en) 2015-10-09 2017-04-12 Caliopa NV Optical coupling scheme
JP2019012120A (ja) * 2017-06-29 2019-01-24 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN207868222U (zh) * 2017-09-13 2018-09-14 陈贤尧 一种散热效果好的防静电led灯
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
US10656351B1 (en) * 2018-10-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd Package structure for optical fiber and method for forming the same
US11550099B2 (en) * 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system
KR20210125102A (ko) 2019-03-01 2021-10-15 네오포토닉스 코포레이션 실리콘 포토닉 외부 캐비티 가변 레이저의 파장 제어 방법
US10895702B2 (en) 2019-04-01 2021-01-19 Google Llc Integrated heater structures in a photonic integrated circuit for solder attachment applications
CN110911961B (zh) * 2019-12-06 2021-05-04 中国科学院长春光学精密机械与物理研究所 一种可调谐窄线宽激光器
US11226506B2 (en) * 2020-03-17 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Heater structure with a gas-filled isolation structure to improve thermal efficiency in a modulator device

Also Published As

Publication number Publication date
US11500151B2 (en) 2022-11-15
US20230072132A1 (en) 2023-03-09
TWI775393B (zh) 2022-08-21
US20220269000A1 (en) 2022-08-25
CN114628531A (zh) 2022-06-14

Similar Documents

Publication Publication Date Title
JP6326544B2 (ja) 同じ基板上でトランジスタと共に光検出器を製作するためのモノリシック集積技法
US8912032B2 (en) Temperature control device for optoelectronic devices
US6667528B2 (en) Semiconductor-on-insulator lateral p-i-n photodetector with a reflecting mirror and backside contact and method for forming the same
US11251326B2 (en) Method of fabrication of a photonic chip comprising an SACM-APD photodiode optically coupled to an integrated waveguide
CN114488396B (zh) 不影响互连层的用于光子集成电路的扩大的波导
WO2022043513A1 (en) Diode with light-sensitive intrinsic region
US10795084B2 (en) Grating with plurality of layers
TWI775393B (zh) 半導體構造及製作方法
US20230111170A1 (en) Optical waveguide apparatus and method of fabrication thereof
US11152520B1 (en) Photodetector with reflector with air gap adjacent photodetecting region
US11520113B1 (en) Photodetectors and terminators including a tapered thickness
EP4345918A1 (en) Photodetector and method for fabricating a photodetector
US11296482B2 (en) Semiconductor structure having group III-V chiplet on group IV substrate and cavity in proximity to heating element
US20240176067A1 (en) Enlarged multilayer nitride waveguide for photonic integrated circuit
KR102552526B1 (ko) 게르마늄 광검출기에 대한 인시츄 캡
WO2024068643A1 (en) Photodetector and method for fabricating a photodetector
TW202341458A (zh) 腔增強波導光偵測器
CN114428377A (zh) 与一个或多个气隙集成的光栅耦合器

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent